WO2017034687A1 - Methods to improve in-film particle performance of amorphous born-carbon hardmask process in pecvd system - Google Patents

Methods to improve in-film particle performance of amorphous born-carbon hardmask process in pecvd system Download PDF

Info

Publication number
WO2017034687A1
WO2017034687A1 PCT/US2016/041560 US2016041560W WO2017034687A1 WO 2017034687 A1 WO2017034687 A1 WO 2017034687A1 US 2016041560 W US2016041560 W US 2016041560W WO 2017034687 A1 WO2017034687 A1 WO 2017034687A1
Authority
WO
WIPO (PCT)
Prior art keywords
boron
processing volume
containing gas
gas mixture
time period
Prior art date
Application number
PCT/US2016/041560
Other languages
French (fr)
Inventor
Ziqing Duan
Kwangduk Douglas Lee
Abdul Aziz Khaja
Amit Kumar BANSAL
Bok Hoen Kim
Prashant Kumar Kulshreshtha
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to KR1020187008528A priority Critical patent/KR20180035929A/en
Publication of WO2017034687A1 publication Critical patent/WO2017034687A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Implementations of the present disclosure generally relate to the fabrication of integrated circuits. More particularly, the implementations described herein provide techniques for deposition of boron-containing amorphous carbon films on a substrate with reduced particle contamination. In one implementation, the method comprises flowing a hydrocarbon-containing gas mixture into a processing volume having a substrate positioned therein, flowing a boron-containing gas mixture into the processing volume, stabilizing the pressure in the processing volume for a predefined RF-on delay time period, generating an RF plasma in the processing volume after the predefined RF-on delay time period expires to deposit a boron-containing amorphous film on the substrate, exposing the processing volume of the process chamber to a dry cleaning process and depositing an amorphous boron season layer over at least one surface in the processing volume of the process chamber.

Description

METHODS TO IMPROVE IN -FILM PARTICLE PERFORMANCE OF
AMORPHOUS BORN-CARBON HARDMASK PROCESS IN PECVD SYSTEM
BACKGROUND
Field
[0001] Implementations of the present disclosure generally relate to the fabrication of integrated circuits. More particularly, the implementations described herein provide techniques for deposition of boron-containing amorphous carbon films on a substrate with reduced particle contamination.
Description of the Related Art
[0002] One of the primary processes in the fabrication of modern semiconductor devices is the formation of a thin film on a semiconductor substrate by chemical reaction of gases. Such a deposition process is referred to as chemical vapor deposition or CVD. Conventional thermal CVD processes supply reactive gases to the substrate surface where heat-induced chemical reactions take place to produce a desired film. The high temperatures at which some thermal CVD processes operate can damage device structures having metal layers previously formed thereon.
[0003] Processes which have been developed to deposit mask materials at relatively low temperatures include plasma-enhanced CVD (PECVD) techniques. Plasma-enhanced CVD techniques promote excitation and/or disassociation of the reactant gases by the application of radio frequency (RF) energy to a reaction zone near the substrate surface, thus creating plasma of highly reactive species. The high reactivity of the released species reduces the energy required for a chemical reaction to take place, and thus lowers the required temperature for such PECVD processes.
[0004] The surface upon which a CVD layer is deposited may contain sorbable contaminants such as fluorine deposits from chamber cleaning and dopants from other processes. The presence of fluorine or other sorbable contaminants, for example, boron, may affect the absorption of precursors and slow or inhibit the deposition rate of the CVD layer. Fluorine in the chamber can also form particles when contacted by the reactive gases used to deposit a PECVD layer.
[0005] Particle contamination within the chamber is typically controlled by periodically cleaning the chamber using cleaning gases, typically fluorinated compounds, which are excited to inductively or capacitively coupled plasmas. Cleaning gases are selected based on their ability to bind the precursor gases and the deposition material, which has formed on the chamber components, in order to form stable volatile products which can be exhausted from the chamber, thus cleaning the process environment.
[0006] Once the chamber has been sufficiently cleaned of the process gases and the cleaning by-products have been exhausted out of the chamber, a season process is performed to deposit a film onto components of the chamber forming the processing volume to seal remaining contaminants therein and reduce the contamination level during processing. This process is typically carried out by depositing a season film to coat the interior surfaces forming the processing volume of the chamber.
[0007] While chamber cleaning and depositing a season film have been successful in reducing most contaminants in a plasma reactor, sorbable contaminants such as fluorine and boron have still been measured above desired levels. Therefore, there exists a need for methods for further reducing sorbable contaminants within a plasma reactor.
SUMMARY
[0008] Implementations of the present disclosure generally relate to the fabrication of integrated circuits. More particularly, the implementations described herein provide techniques for deposition of boron-containing amorphous carbon films on a substrate with reduced particle contamination. In one implementation, a method of processing a substrate in a process chamber is provided. The method comprises flowing a hydrocarbon-containing gas mixture into a processing volume having a substrate positioned therein, flowing a boron-containing gas mixture into the processing volume, stabilizing the pressure in the processing volume for a predefined RF-on delay time period, generating an RF plasma in the processing volume after the predefined RF-on delay time period expires to deposit a boron- containing amorphous film on the substrate, exposing the processing volume of the process chamber to a dry cleaning process and depositing an amorphous boron season layer over at least one surface in the processing volume of the process chamber.
[0009] In another implementation, a method of processing a substrate in a process chamber is provided. The method comprises flowing a hydrocarbon- containing gas mixture into a processing volume having a substrate positioned therein, flowing a boron-containing gas mixture into the processing volume, stabilizing the pressure in the processing volume for a predefined RF-on delay time period and generating an RF plasma in the processing volume after the predefined RF-on delay time period expires to deposit a boron-containing amorphous film on the substrate.
[0010] In yet another implementation, a method of cleaning a process chamber is provided. The method comprises exposing a processing volume of a process chamber to a dry cleaning process and depositing an amorphous boron season layer over at least one surface in the processing volume of the process chamber.
BRIEF DESCRIPTION OF THE DRAWINGS
[0011] So that the manner in which the above recited features of the present disclosure can be understood in detail, a more particular description of the implementations, briefly summarized above, may be had by reference to implementations, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical implementations of this disclosure and are therefore not to be considered limiting of its scope, for the disclosure may admit to other equally effective implementations.
[0012] FIG. 1 depicts a schematic illustration of an apparatus that can be used for the practice of implementations described herein;
[0013] FIG. 2 depicts a process flow diagram depicting one implementation of a method for depositing a boron-containing amorphous carbon film according to implementations described herein;
[0014] FIG. 3 depicts a schematic cross-sectional view of a substrate structure incorporating a boron-containing amorphous carbon layer as a hardmask layer according to implementations described herein;
[0015] FIG. 4 depicts a process flow diagram depicting one implementation of a method for stabilizing chamber pressure according to implementations described herein;
[0016] FIG. 5A depicts a timing diagram for a prior art deposition process performed without a fixed time delay;
[0017] FIGS. 5B and 5C depict timing diagrams for a stabilization process performed with a fixed time delay according to implementations described herein;
[0018] FIG. 6 depicts a plot of the effect of RF-on delay time on particle performance for a boron amorphous carbon film deposited according to implementations described herein;
[0019] FIG. 7 depicts a process flow diagram depicting one implementation of a method for depositing a season layer according to implementations described herein;
[0020] FIG. 8 is a plot depicting gas-on particle adders for a season layer deposited according to implementations described herein in comparison with season layers deposited using prior art techniques; [0021] FIG. 9 is a plot depicting particle adders for a season layer deposited according to implementations described herein in comparison with season layers deposited using prior art techniques; and
[0022] FIG. 10 is a plot depicting in-film particle performance of boron-containing amorphous carbon film deposition process with amorphous boron season layer and RF-on delay time according to implementations described herein.
[0023] To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one implementation may be beneficially incorporated in other implementations without further recitation. It is to be noted, however, that the appended drawings illustrate only exemplary implementations of this disclosure and are therefore not to be considered limiting of its scope, for the disclosure may admit to other equally effective implementations.
DETAILED DESCRIPTION
[0024] The following disclosure describes techniques for deposition of boron- containing amorphous carbon films on a substrate with reduced particle contamination. Certain details are set forth in the following description and in FIGS. 1 -10 to provide a thorough understanding of various implementations of the disclosure. Other details describing well-known structures and systems often associated with boron-containing amorphous carbon films and season layers are not set forth in the following disclosure to avoid unnecessarily obscuring the description of the various implementations.
[0025] Many of the details, dimensions, angles and other features shown in the Figures are merely illustrative of particular implementations. Accordingly, other implementations can have other details, components, dimensions, angles and features without departing from the spirit or scope of the present disclosure. In addition, further implementations of the disclosure can be practiced without several of the details described below. [0026] Implementations described herein will be described below in reference to a PECVD process that can be carried out using any suitable thin film deposition system. Examples of suitable systems include the CENTURA® systems which may use a DxZ™ processing chamber, PRECISION 5000® systems, PRODUCER™ systems, PRODUCER GT™ and the PRODUCER SE™ processing chambers which are commercially available from Applied Materials, Inc., of Santa Clara, Calif. Other tools capable of performing PECVD processes may also be adapted to benefit from the implementations described herein. In addition, any system enabling the PECVD processes described herein can be used to advantage. The apparatus description described herein is illustrative and should not be construed or interpreted as limiting the scope of the implementations described herein.
[0027] Advanced patterning film (APF) is a family of specialized strippable amorphous carbon films developed through PECVD for 3xnm nodes and below hardmask applications. Recently, a new class of etching hardmask films (e.g., boron-containing amorphous carbon film) has been demonstrated for supporting high aspect ratio patterning requested by further DRAM and NAND scaling. This boron-containing amorphous carbon film provides ultra-high etch selectivity (over 2 times of etch selectivity of un-doped carbon hardmask), relatively high transparency (low extinction coefficient k < 0.1 @ 633nm), enhanced pattern-transferring accuracy during photolithography processes and improved patterning of more complex device structure at smaller technology nodes.
[0028] With the development of integrated circuits, the feature size of circuits is becoming smaller and smaller and integration density of electronic components keeps increasing. As a result, the requirement for particles or defects caused by processing, a common source of detrimental damages to the overall devices, becomes tighter and tighter. It is especially desirable to limit the number of in-film particles in boron-containing amorphous carbon films as small as possible, e.g. <20, for the specific sizes (e.g. >0.09 μιη). [0029] Boron-containing amorphous carbon film may be deposited using PECVD techniques. Usually, a complete single run of this process includes a deposition process and a clean process. During deposition, substrates are provided in a vacuum processing chamber where a hydrocarbon and boron-containing gas mixture is flowed in the presence of plasma. After deposition, a "dry clean" process is implemented to remove the residues deposited on the chamber wall and other parts of the chamber (e.g., showerhead, heater, liners, etc.). There are two main purposes for this cleaning process: avoiding contamination particles caused by the deposition residues and maintaining a repeated clean chamber environment for every single run of deposition.
[0030] In general, in-film particles are formed during deposition, caused by the cleaning process, or both. For boron-containing amorphous carbon film deposition, two precursors are mixed and reacted on the substrate. Gas-phase reaction is usually avoided to reduce in-film particles. But the non-stability of the boron precursor, e.g., diborane, appears to be a concern, which could be thermally decomposed to form boron and becomes an in-film particle source. During APF deposition, precursor gases and diluted gases are flowed to the chamber together for stabilization and mixture before the plasma is turned on, which gives stable RF plasma at the beginning and thus less in-film particles. However, for boron- containing amorphous carbon film deposition, stabilization of the precursor gases in the chamber before igniting RF plasma leads to thermal decomposition of boron resulting in in-film particles.
[0031] One previous solution was to stabilize the boron precursor gas flow in a diverter line prior to flowing the boron precursor gas into the processing volume. However, when the boron precursor gas "flow to divert" is switched to "flow to chamber" at the RF-on process, unexpected dirty particles are back-streamed from the foreline to the chamber, causing in-film particles adders. Therefore, it is preferable to flow the boron precursor gas directly to the chamber when the "real" deposition starts. However, if the boron precursor gas flows directly to the chamber at the "real" deposition process, the RF plasma will not turn on until the chamber pressure becomes stable. This time delay between boron gas flowing to the chamber and the plasma turning-on is variable for each process run, which causes non-repeatability issues for the process.
[0032] In the present disclosure, methods for flowing the boron precursor directly to the chamber at the plasma-on process are provided. In one implementation, a fixed time delay, e.g. 0.1 -5 seconds, is applied, and a pressure band, e.g. 0.05-0.5 Torr, is set-up. If the pressure variation due to the injection of boron-containing precursor gas is less than the pressure band in the fixed delay time, the RF plasma will be turned on and "real" deposition will start. Thus the goals of good repeatability of the process and good in-film particle performance are achieved.
[0033] The "dry clean" process for boron-containing amorphous carbon films typically utilizes a remote plasma system for delivering high concentrations of reactive gas species to etch deposition residues on the chamber components. In this process, undesirable mobile ions and metal contaminants are driven out of the reaction chamber components causing in-film particles. A season layer is typically used to cover chamber components and minimize formation of undesirable contaminants. Typically, the season layer is deposited using the same or similar gas mixtures to the gas mixture used in the deposition process because the identical components of season layer will not contaminate the deposition layer. Another consideration is the adhesion of the season layer to chamber components materials (e.g. aluminum or aluminum oxide materials). Poor adhesion of season layers to chamber components can lead to fallen particles in the deposition process.
[0034] In the present disclosure, an amorphous boron layer is used as a season layer for better control of in-film particles. In one implementation, the amorphous born layer is deposited by thermal decomposition of a boron contacting precursor. In one implementation, the amorphous boron layer has a thickness from about 100 A to about 2000 A. Compared with amorphous carbon and amorphous boron- carbon season layers currently used, the amorphous boron season layer described herein provides improved adhesion to the surface of the chamber components and as a result, fewer in-film particles (<30 adders for particle size >0.09 μιη).
[0035] FIG. 1 depicts a schematic illustration of a substrate processing system 132 that can be used to perform amorphous carbon layer deposition in accordance with implementations described herein. The substrate processing system 132 includes a process chamber 100 coupled to a gas panel 130 and a controller 1 10. The process chamber 100 generally includes a top wall 124, a sidewall 101 and a bottom wall 122 that define a processing volume 126. A support pedestal 150 is provided in the processing volume 126 of the process chamber 100. The support pedestal 150 is supported by a stem 160 and may be typically fabricated from aluminum, ceramic, and other suitable materials. The support pedestal 150 may be moved in a vertical direction inside the process chamber 100 using a displacement mechanism (not shown).
[0036] The support pedestal 150 may include a heater element 170 suitable for controlling the temperature of a substrate 190 supported on a surface 192 of the support pedestal 150. In one implementation, the heater element 170 is embedded in the support pedestal 150. The support pedestal 1 50 may be resistively heated by applying an electric current from a power supply 1 06 to the heater element 170. The heater element 170 may be made of a nickel-chromium wire encapsulated in a nickel-iron-chromium alloy (e.g., I NCOLOY®) sheath tube. The electric current supplied from the power supply 1 06 is regulated by the controller 1 1 0 to control the heat generated by the heater element 170, thus maintaining the substrate 190 and the support pedestal 150 at a substantially constant temperature during film deposition. The supplied electric current may be adjusted to selectively control the temperature of the support pedestal 150 between about 100 degrees Celsius to about 700 degrees Celsius.
[0037] A temperature sensor 172, such as a thermocouple, may be embedded in the support pedestal 150 to monitor the temperature of the support pedestal 150 in a conventional manner. The measured temperature is used by the controller 1 10 to control the power supplied to the heater element 170 to maintain the substrate at a desired temperature.
[0038] A vacuum pump 102 is coupled to a port formed in the bottom of the process chamber 100. The vacuum pump 102 is used to maintain a desired gas pressure in the process chamber 100. The vacuum pump 102 also evacuates postprocessing gases and by-products of the process from the process chamber 100.
[0039] The substrate processing system 132 may further include additional equipment for controlling the chamber pressure, for example, valves (e.g. throttle valves and isolation valves) positioned between the process chamber 100 and the vacuum pump 102 to control the chamber pressure.
[0040] The substrate processing system 132 may further include a purge gas source 104 for supplying a purge gas to the processing volume 126.
[0041] A showerhead 120 having a plurality of apertures 128 is disposed on the top of the process chamber 100 above the support pedestal 150. The apertures 128 of the showerhead 120 are utilized to introduce process gases into the process chamber 100. The apertures 128 may have different sizes, number, distributions, shape, design, and diameters to facilitate the flow of the various process gases for different process requirements. The showerhead 120 is connected to the gas panel 130 that allows various gases to supply to the processing volume 126 during process. Plasma is formed from the process gas mixture exiting the showerhead 120 to enhance thermal decomposition of the process gases resulting in the deposition of material on a surface 191 of the substrate 190.
[0042] The showerhead 120 and the support pedestal 150 may form a pair of spaced apart electrodes in the processing volume 126. One or more RF power sources 140 provide a bias potential through a matching network 138 to the showerhead 120 to facilitate generation of plasma between the showerhead 120 and the support pedestal 150. Alternatively, the RF power sources 140 and matching network 138 may be coupled to the showerhead 120, support pedestal 150, or coupled to both the showerhead 120 and the support pedestal 150, or coupled to an antenna (not shown) disposed exterior to the process chamber 100. In one implementation, the RF power sources 140 may provide between about 100 Watts and about 3,000 Watts at a frequency of about 50 kHz to about 13.6 MHz. In another implementation, the RF power sources 140 may provide between about 500 Watts and about 1 ,800 Watts at a frequency of about 50 kHz to about 13.6 MHz. Alternatively, plasma may be supplied to the processing volume 126 via a remote plasma source 152.
[0043] The controller 1 10 includes a central processing unit (CPU) 1 12, a memory 1 16, and a support circuit 1 14 utilized to control the process sequence and regulate the gas flows from the gas panel 130. The CPU 1 12 may be of any form of a general purpose computer processor that may be used in an industrial setting. The software routines can be stored in the memory 1 16, such as random access memory, read only memory, floppy, or hard disk drive, or other form of digital storage. The support circuit 1 14 is conventionally coupled to the CPU 1 12 and may include cache, clock circuits, input/output systems, power supplies, and the like. Bidirectional communications between the controller 1 10 and the various components of the substrate processing system 132 are handled through numerous signal cables collectively referred to as signal buses 1 18, some of which are illustrated in FIG. 1 .
[0044] Other deposition chambers may also benefit from the present disclosure and the parameters listed above may vary according to the particular deposition chamber used to form the amorphous carbon layer. For example, other deposition chambers may have a larger or smaller volume, requiring gas flow rates that are larger or smaller than those recited for deposition chambers available from Applied Materials, Inc. In one implementation, the boron-containing amorphous carbon layer may be deposited using a PRODUCER SE™ or PRODUCER GT™ processing chamber which is commercially available from Applied Materials, Inc. , Santa Clara, California using the parameters set forth in Table I below. [0045] The quantity/percentage of boron in the as-deposited boron-containing amorphous carbon film may vary from application to application. In various implementations of the present disclosure, the boron-containing amorphous carbon film may contain at least 8, 10, 15, 20, 25, 30, 35, 40, 45, 50, 55, 60 or 65 atomic percentage of boron. The boron-containing amorphous carbon film may contain up to 15, 20, 25, 30, 35, 40, 45, 50, 55, 60, 65 or 70 atomic percentage of boron. The boron-containing amorphous carbon film may contain from about 10 to about 70 atomic percentage of boron. The boron-containing amorphous carbon film may contain from about 30 to about 60 atomic percentage of boron.
[0046] The boron-containing amorphous carbon film may contain at least 15, 20, 25, 30, 35, 40, 45, 50, 55, or 60 atomic percentage of carbon. The boron-containing amorphous carbon film may contain up to 25, 30, 35, 40, 45, 50, 55, 60, or 65 atomic percentage of carbon. The boron-containing amorphous carbon film may contain from about 20 to about 65 atomic percentage of carbon, for example about 35 to about 50 atomic percentage of carbon.
[0047] The boron-containing amorphous carbon film may contain at least 10, 15, 20, 25, 30, or 35 atomic percentage of hydrogen. The boron-containing amorphous carbon film may contain up to 15, 20, 25, 30, or 40 atomic percentage of hydrogen. The boron-containing amorphous carbon film may contain from about 10 to about 25 atomic percentage of hydrogen.
[0048] In certain implementations where nitrogen is used as a precursor, the boron-containing amorphous carbon film may contain at least 5, 10, or 15 atomic percentage of nitrogen. The boron-containing amorphous carbon film may contain up to 10, 15, or 20 atomic percentage of nitrogen.
[0049] In general, the following exemplary deposition process parameters may be used to form the boron-containing amorphous carbon layer. The process parameters may range from a wafer temperature of about 100 degrees Celsius to about 700 degrees Celsius (e.g., between about 200 degrees Celsius to about 500 degrees Celsius; between about 300 degrees Celsius to about 400 degrees Celsius). The chamber pressure may range from a chamber pressure of about 1 Torr to about 20 Torr (e.g., between about 2 Torr and about 10 Torr; between about 5 Torr and about 6 Torr). The flow rate of the hydrocarbon-containing gas may be from about 200 seem to about 5,000 seem (e.g., between about 400 seem and about 2,000 seem; between about 500 seem and about 700 seem). The flow rate of a dilution gas may individually range from about 0 seem to about 20,000 seem (from about 2,000 seem to about 10,000 seem; from about 5,000 seem to about 7,000 seem). The flow rate of an inert gas may individually range from about 0 seem to about 20,000 seem (e.g., from about 200 seem to about 2,000 seem; from about 400 seem to about 500 seem). The flow rate of the boron-containing gas mixture may be from about 0 seem to about 15,000 seem (e.g., between about 1 ,000 seem and about 10,000 seem; between about 2,000 seem and about 6,000 seem; between about 4,000 seem and about 5,000 seem).
[0050] The RF power may be between about 1 W/in2 and about 100 W/in2 (e.g., between about 3 W/in2 and about 20 W/in2. The plate spacing between the top surface of the substrate and the showerhead may be between about 200 mils to about 600 mils. The boron-containing amorphous carbon layer may be deposited to a thickness between about 100 A and about 20,000 A, such as between about 300 A to about 5000 A. The above process parameters provide a typical deposition rate for the boron-containing amorphous carbon layer in the range of about 100 A/min to about 10,000 A/min and can be implemented on a 300 mm substrate in a deposition chamber available from Applied Materials, Inc. of Santa Clara, CA.
Figure imgf000015_0001
B2H6 mixture flow 0-15,000 seem 4,000 seem
Ar flow 0-10,000 seem 7,000 seem
Table I.
[0051] The as-deposited boron-containing amorphous carbon film may have uniformity (R/2 %) of less than 2.0%. The as-deposited boron-containing amorphous carbon film may have a refractive index (Rl (633 nm)) of greater than 1 .8, for example approximately 2.32. The as-deposited boron-containing amorphous carbon film may have a k value (K (at 633 nm)) of less than 0.1 , for example, approximately 0.02. The as-deposited boron-containing amorphous carbon film may have a stress (MPa) of from about 0 to about -500 MPa, for example -50 MPa. The as-deposited boron-containing amorphous carbon film may have a density (g/cc) of greater than 1 .5 g/cc, for example approximately 1 .86 g/cc or higher such as 1 .95 g/cc.
[0052] FIG. 2 is a process flow diagram depicting one implementation of a method 200 for depositing a boron-containing amorphous carbon film according to implementations described herein. The method 200 begins at operation 210 by providing a substrate in a processing volume of a process chamber. The process chamber may be the process chamber 100 depicted in FIG. 1 . In one implementation, as shown in FIG. 3, the surface 191 of the substrate 190 is substantially planar. Alternatively, the substrate 190 may have patterned structures, a surface having trenches, holes, or vias formed therein. The substrate 190 may also have a substantially planar surface having a structure formed thereon or therein at a desired elevation. While the substrate 190 is illustrated as a single body, it is understood that the substrate 190 may contain one or more materials used in forming semiconductor devices such as metal contacts, trench isolations, gates, bitlines, or any other interconnect features. The substrate 190 may comprise one or more metal layers, one or more dielectric materials, semiconductor material, and combinations thereof utilized to fabricate semiconductor devices. For example, the substrate 190 may include an oxide material, a nitride material, a polysilicon material, or the like, depending upon application. [0053] In one implementation where a memory application is desired, the substrate 190 may include the silicon substrate material, an oxide material, and a nitride material, with or without polysilicon sandwiched in between. In another implementation, the substrate 190 may include a plurality of alternating oxide and nitride materials (i.e., oxide-nitride-oxide (ONO)) deposited on a surface of the substrate (not shown). In various implementations, the substrate 190 may include a plurality of alternating oxide and nitride materials, one or more oxide or nitride materials, polysilicon or amorphous silicon materials, oxides alternating with amorphous silicon, oxides alternating with polysilicon, undoped silicon alternating with doped silicon, undoped polysilicon alternating with doped polysilicon, or undoped amorphous silicon alternating with doped amorphous silicon.
[0054] The substrate may be any substrate or material surface upon which film processing is performed. For example, the substrate 190 may be a material such as crystalline silicon, silicon oxide, silicon oxynitride, silicon nitride, strained silicon, silicon germanium, tungsten, titanium nitride, doped or undoped polysilicon, doped or undoped silicon wafers and patterned or non-patterned wafers, silicon on insulator (SOI), carbon doped silicon oxides, silicon nitrides, doped silicon, germanium, gallium arsenide, glass, sapphire, low k dielectrics, and combinations thereof.
[0055] At operation 220, a hydrocarbon-containing gas mixture is flowed into the processing volume 126. The hydrocarbon-containing gas mixture may be flowed from the gas panel 130 into the processing volume 126 through the showerhead 120. The gas mixture may include at least one hydrocarbon compound. The gas mixture may further include an inert gas, a dilution gas, or both. The hydrocarbon can be any liquid or gas, though the preferred precursor would be vapor at room temperature to simplify the hardware for material metering, control and delivery to the chamber.
[0056] In one implementation, the carbon source is a gaseous hydrocarbon, such as a linear hydrocarbon. In one implementation, the hydrocarbon compound has a general formula CxHy, where x has a range of between 1 and 20 and y has a range of between 1 and 20. In one implementation, the hydrocarbon compound is an alkane. Suitable hydrocarbon compounds include, for example, alkanes such as methane (CH4), ethane (C2H6), propylene (C3H6), propane (C3H8), butane (C4H10) and its isomer isobutane, pentane (C5H12) and its isomers isopentane and neopentane, hexane (C6H14) and its isomers 2-methylpentane, 3-methylpentane, 2,3-dimethylbutane, and 2,2- dimethyl butane, or combinations thereof. Additional suitable hydrocarbons include, for example, alkenes such as ethylene, propylene, butylene and its isomers, pentene and its isomers, and the like, dienes such as butadiene, isoprene, pentadiene, hexadiene, or combinations thereof. Additional suitable hydrocarbons include, for example, halogenated alkenes such as monofluoroethylene, difluoroethylenes, trifluoroethylene, tetrafluoroethylene, monochloroethylene, dichloroethylenes, trichloroethylene, tetrachloroethylene, or combinations thereof. Additional suitable hydrocarbons include, for example, alkynes such as acetylene (C2H2), propyne (C3H4), butylene (C4H8), vinylacetylene, or combinations thereof. Additional suitable hydrocarbons include, for example, aromatic hydrocarbons, such as benzene, styrene, toluene, xylene, ethylbenzene, acetophenone, methyl benzoate, phenyl acetate, phenol, cresol, furan, and the like, alpha-terpinene, cymene, 1 , 1 ,3,3,-tetramethylbutylbenzene, t-butylether, t- butylethylene, methyl-methacrylate, and t-butylfurfurylether, compounds having the formula C3H2 and C5H4, halogenated aromatic compounds including monofluorobenzene, difluorobenzenes, tetrafluorobenzenes, hexafluorobenzene, or combinations thereof. In one example, C3H6 is preferable due to formation of more stable intermediate species which allows more surface mobility.
[0057] Suitable dilution gases such as helium (He), argon (Ar), hydrogen (H2), nitrogen (N2), ammonia (NH3), or combinations thereof, among others, may be added to the gas mixture, if desired. Ar, He, and N2 are used to control the density and deposition rate of the amorphous carbon layer. In some cases, the addition of N2 and/or NH3 can be used to control the hydrogen ratio of the amorphous carbon layer, as discussed below. Alternatively, dilution gases may not be used during the deposition.
[0058] An inert gas, such as argon (Ar) and/or helium (He) may be supplied with the hydrocarbon-containing gas mixture into the process chamber 1 00. Other inert gases, such as nitrogen (N2) and nitric oxide (NO), may also be used to control the density and deposition rate of the amorphous carbon layer. Additionally, a variety of other processing gases may be added to the gas mixture to modify properties of the amorphous carbon material. In one implementation, the processing gases may be reactive gases, such as hydrogen (H2), ammonia (NH3), a mixture of hydrogen (H2) and nitrogen (N2), or combinations thereof. The addition of H2 and/or NH3 may be used to control the hydrogen ratio (e.g., carbon to hydrogen ratio) of the deposited amorphous carbon layer. The hydrogen ratio present in the amorphous carbon film provides control over layer properties, such as reflectivity.
[0059] At operation 230, a boron-containing gas mixture is flowed into the processing volume 126. The boron-containing gas mixture may be flowed from the gas panel 130 into the processing volume 126 through the showerhead 120. In one implementation, the boron-containing gas mixture comprises a boron-containing compound and an inert gas. Examples of boron-containing compounds include diborane (B2He), trimethyl boron (TMB or B(CH3)3), triethylboron (TEB), methyl boron, dimethyl boron, ethyl boron, diethyl boron, and similar compounds.
[0060] In one implementation, the percentage of boron-containing compound in the total boron-containing gas mixture is from about 2% to about 20%. In another implementation, the percentage of boron-containing compound in the total boron- containing gas mixture is from about 5% to about 10%. Exemplary boron-containing gas mixtures may include 5% B2H6/ 95% N2, 5% B2H6/ 95% He, 10% B2H6/ 90% He, 5% B2H6/ 95% Ar, 10% B2H6/ 90% Ar, or 5% B2H6/ 95% H2. It is contemplated that when different concentrations of boron-containing gas mixtures are used, the flow rate to achieve certain film properties may change accordingly. For example in implementations where 5% diborane is used as the boron-containing gas source, the flow rate of the boron-containing gas mixture may be from about 5,000 seem to about 15,000 seem, for example, about 13,000 seem. In another implementation where 10% diborane is used as the boron-containing gas source, the flow rate of the boron-containing gas mixture may be from about 4,000 seem to about 10,000 seem, for example about 6,000 seem to about 7,000 seem.
[0061] FIG. 2 shows one implementation where the hydrocarbon-containing gas mixture and the boron-containing gas mixture are introduced into the processing volume 126 before turning on the RF plasma in operation 250. In such an implementation, the hydrocarbon-containing gas mixture may be introduced into the processing volume 126 for a longer time such as between about 5 seconds and about 30 seconds, for example about 15 seconds, which may vary depending upon the size of the substrate. The flowing of the hydrocarbon-containing gas mixture prior to the introduction of the boron-containing gas is believed to provide continuous thermal and pressure stabilization of the processing volume 126. While flowing the hydrocarbon-containing gas mixture, the boron-containing gas mixture is then flowing into the processing volume 126 about 0.5 seconds to about 5 seconds, for example about 1 seconds to about 2 seconds (the flowing time may vary as long as the flow is just long enough for the boron-containing gas mixture to start reaching the processing volume 126) prior to striking the RF plasma in operation 250. The process of operation 230 may be performed simultaneously, sequentially or partially overlap with the processes of operation 220.
[0062] At operation 240, the pressure in the processing volume is stabilized for a predefined RF-on delay time period as shown in FIG. 4. The predefined RF-on delay time period is a fixed time delay defined as the time period between introduction of the boron-containing gas mixture into the processing volume and striking or generating the plasma in operation 250. Any suitable fixed time delay may be used. The length of the RF-on delay time period is typically selected such that the boron-containing gas mixture does not begin to thermally decompose or substantially thermally decompose in the processing volume. The process of operation 240 may be performed simultaneously, sequentially or partially overlap with the processes of operation 220 and operation 230.
[0063] At operation 250, after the predefined RF-on delay time period of operation 240, RF plasma is generated in the processing volume 126 to deposit a boron-containing amorphous carbon film 304 on the substrate 190. The plasma may be formed by capacitive or inductive means, and may be energized by coupling RF power into the precursor gas mixture. The RF power may be a dual-frequency RF power that has a high frequency component and a low frequency component. The RF power is typically applied at a power level between about 50 W and about 1 ,500 W, which may be all high-frequency RF power, for example at a frequency of about 13.56 MHz, or may be a mixture of high-frequency power and low frequency power, for example at a frequency of about 300 kHz. The flow of hydrocarbon- containing gas mixture and the boron-containing gas mixture may continue until a desired thickness of the boron-containing amorphous carbon film 304 is reached.
[0064] The thickness of the boron-containing amorphous carbon film 304 is variable depending upon the stage of processing. In one implementation, the boron- containing amorphous carbon film 304 may have a thickness from about 100 A to about 20,000 A (e.g., from about 300 A to about 5,000 A; from about 1 ,000 A to about 2,000 A). The boron-containing amorphous carbon film 304 may be patterned using a standard photoresist patterning techniques. The boron-containing amorphous carbon film 304 may be removed using a solution comprising hydrogen peroxide and sulfuric acid. One exemplary solution comprising hydrogen peroxide and sulfuric acid is known as Piranha solution or Piranha etch. The boron- containing amorphous carbon film 304 may also be removed using etch chemistries containing oxygen and halogens (e.g. fluorine or chlorine), for example, CI2/O2, CF4/02, CI2/02/CF4.
[0065] At operation 260 an optional purging/evacuation process of the processing volume of the processing chamber may be performed to remove any excess processing gases, by-products, or both from the deposition process. In some implementations, plasma may be applied during the optional purge/evacuation process of operation 260.
[0066] At operation 270 the processing volume of the process chamber is exposed to a plasma cleaning process. The chamber clean process may be performed by introducing fluorine-containing gases and oxidizing gases into the processing volume 126 either as a process gas mixture or separately. Any suitable fluorine-containing gas may be used. Exemplary fluorine-containing gases include NF3. Any suitable oxidizing gas may be used. Exemplary oxidizing gases that may be used include oxygen (02), ozone (03), nitrous oxide (N20), carbon monoxide (CO), carbon dioxide (CO2), water (H20), 2,3-butane dione, or combinations thereof. In one implementation, the chamber clean process is performed by introducing NF3 and 02 into the processing volume 126, and striking a plasma in the processing volume 126 according to methods known in the art to remove material deposited on the chamber walls and chamber components from the previous deposition process.
[0067] In another implementation, the plasma may be formed by a remote plasma source (RPS) and delivered to the processing chamber. The plasma may be formed by coupling RF power to a treatment gas such as He, Ar, 02, N2, or combinations thereof. In some implementations where the plasma is formed in a remote plasma source (RPS) the power application may be from about 1 ,000 Watts to about 15,000 Watts (e.g., from about 8,000 Watts to about 22,000 Watts; from about 9,000 Watts to about 10,000 Watts) with a treatment gas (e.g., argon) flow rate from about 500 seem to about 6,000 seem (e.g., from about 1 ,000 seem to about 2,000 seem).
[0068] The oxidizing gas may be introduced into the chamber at a flow rate of between about 3,000 seem and about 10,000 seem (e.g., between about 4,000 seem and about 6,000 seem; between about 4,500 seem and about 5,000 seem). The fluorine-containing gas may be introduced into the chamber at a flow rate of between about 100 seem and about 1 ,000 seem (between about 400 seem and about 700 seem; between about 550 seem and about 600 seem). In one implementation, the oxidizing gas may be introduced into the chamber at a flow rate of about 5,000 seem and the nitration gas may be introduced into the chamber at a flow rate of about 550 seem.
[0069] Optionally, one or more carrier gases may be included with the gases used to perform the plasma cleaning process. Exemplary carrier gases that may be used include argon, helium, and combinations thereof.
[0070] At operation 280 an optional purging/evacuation process of the processing volume of the process chamber may be performed to remove the gaseous reaction products formed between the cleaning gases and the deposition material and contaminants present within the chamber. In some implementations, plasma may be applied during the optional purge/evacuation process of operation 280.
[0071] At operation 290 a season layer is deposited over at least one surface of the processing volume of the process chamber according to method 700 as shown in FIG. 7. The season layer is an amorphous boron-containing layer. The season layer may be deposited on the interior surfaces of the chamber including, for example, chamber components such as the face plate of the showerhead.
[0072] After the process of operation 290, an optional purge process may be performed to remove any remaining processing gases from the process chamber. Additional substrates may be processed in the processing volume of the process chamber after deposition of the season layer.
[0073] FIG. 4 depicts a process flow diagram depicting one implementation of operation 240 for stabilizing chamber pressure according to implementations described herein. At operation 410, the chamber pressure is stabilized for a fixed time delay. The fixed time delay is a pre-defined time period. Any suitable fixed time delay may be used. The fixed time delay is typically selected such that the boron-containing gas mixture does not begin to thermally decompose or substantially thermally decompose in the processing volume. The time period for the fixed time delay may be determined in a feedback type process where the time period for the boron-containing gas mixture to thermally decompose or substantially thermally decompose is determined. In one implementation, the fixed time delay is between about 0.1 seconds and about 5 seconds (e.g., between about 0.5 seconds and 3 seconds; between about 1 second and 1 .5 seconds).
[0074] At operation 420, a pressure variation due to the flowing the boron- containing gas mixture into the processing volume is measured. The pressure variation may be determined by comparing the pressure in the processing volume prior to introduction of the boron-containing gas mixture with the pressure in the processing volume after introduction of the boron-containing gas mixture. The pressure may be monitored using pressure sensors positioned in the fluid flow lines, the processing volume, or both. Pressure may be monitored with a pressure manometer mounted underneath the processing chamber.
[0075] At operation 430, the measured pressure variation is compared to a predetermined pressure band. If the measured pressure variation is less than or falls within the predetermined pressure band, then after the pre-defined time period ends, the process proceeds to operation 250 after the predefined time delay where the plasma is generated. If the measured pressure variation is greater than the predetermined pressure band, then the process either returns to operation 410 where the chamber pressure is stabilized for a pre-defined time period or the process ends.
[0076] The pressure band is the pressure tolerance that allows the maximum of pressure variation when gas flow is introduced to the chamber. For example, when the boron-containing gas mixture is flowed into the processing volume, the pressure within the processing volume will be changed because the throttle valve opening has not yet changed. Eventually, the throttle valve opening will adjust to match the pressure setting. The pressure band is set so that the real pressure variation will be less than the pressure band. If the pressure variation is beyond the pressure band, the recipe will fault out and stop. If the pressure variation is greater than the pressure band there may be something wrong with either the flow or the throttle valve. Further, striking plasma with a pressure variation greater than the pressure band could lead to arcing.
[0077] The pressure band may be determined through a series of tests by flowing a different volume of gas flow into the processing volume. In one implementation, the pressure band is from about 0.1 mTorr to about 1 Torr (e.g., from about 1 mTorr to about 0.5 Torr; from about 0.05 Torr to 0.5 Torr; from about 1 mTorr to 2 mTorr). In one implementation, the pressure variation is less than about 0.5 Torr.
[0078] FIG. 5A depicts a timing diagram 500 for a prior art deposition process performed without a fixed time delay between the flow of boron-containing gas mixture entering the processing volume and the RF on process. The timing diagram 500 charts RF power ( RF 502; r2 RF 506), boron precursor flow (r2 B2H6 504) and pressure (r1 pressure 508; r2 pressure 510). Herein, r1 represents a first run and r2 represents a second run. As depicted in FIG. 5A, if the boron-containing gas mixture flows directly into the chamber, the RF plasma will not turn on until the chamber pressure becomes stable. In this case, there is a time delay between the boron-containing gas mixture flowing to the processing volume and the plasma-on process and the time delay is variable for each run of process, which causes non- repeatability issues for the process.
[0079] FIGS. 5B and 5C depict a timing diagram 520, 530 for a stabilization process performed with a fixed time delay according to implementations described herein. In the implementations depicted in FIGS. 5B and 5C, a fixed time delay, e.g. 0.1 -5 seconds, is applied, and a pressure band, e.g. 0.05-0.5 Torr, is established. If the pressure variation due to the injection of boron-containing precursor gas is less than the pressure band in the fixed delay time, the RF plasma will be turned on and "real" deposition will start. Thus, the goals of good repeatability of the process and good in-film particle performance are achieved. Timing diagram 520 charts RF power (r1 RF 522), boron precursor flow (r1 B-gas 524) and pressure (r1 Pressure 526) for a first run r1 . Timing diagram 530 charts RF power (r2 RF 532), boron precursor flow (r2 B-gas 534) and pressure (r2 Pressure 536) for a second run r2. [0080] FIG. 6 depicts a plot 600 of the effect of RF-on delay time on particle performance for a boron-containing amorphous carbon film deposited according to implementations described herein. As depicted in FIG. 6, the amount of defects increase when the RF-on delay time is out of the optimum delay-time window.
[0081] FIG. 7 depicts a process flow diagram depicting one implementation of a method 700 for depositing a season layer according to implementations described herein. In preparation for deposition of the season layer, the chamber may be purged/ evacuated (operation 280). In one implementation, the distance between the pedestal and showerhead may be set to about 350 mils and the chamber may be maintained at a temperature from the previous process or heated to a temperature of about 550 degrees Celsius. At operation 710, a process gas that includes a boron-containing gas mixture is introduced into the processing volume 126 of the processing chamber. In one implementation, the boron-containing gas mixture comprises a boron-containing compound and an optional carrier gas. Examples of boron-containing compounds include diborane (B2H6), trimethyl boron
(TMB or B(CH3)3), triethylboron (TEB), methyl boron, dimethyl boron, ethyl boron, diethyl boron, and similar compounds. Exemplary carrier gases that may be used include argon, helium, nitrogen, hydrogen, or combinations thereof.
[0082] In one implementation, the percentage of boron-containing compound in the total boron-containing gas mixture is from about 2% to about 20%. In another implementation, the percentage of boron-containing compound in the total boron- containing gas mixture is from about 5% to about 10%. Exemplary boron-containing gas mixtures may include 5% B2H6/ 95% N2, 5% B2H6/ 95% He, 10% B2H6/ 90% He, 5% B2H6/ 95% Ar, 10% B2H6/ 90% Ar, or 5% B2H6/ 95% H2.
[0083] In certain implementations where 5% diborane is used as the boron- containing gas source, the flow rate of the boron-containing gas mixture may be from about 1 ,000 seem to about 5,000 seem, for example, about 2,000 seem to about 3,000 seem. In one another implementation where 10% diborane is used as the boron-containing gas source, the flow rate of the boron-containing gas mixture may be from about 500 seem to about 3,000 seem, for example, from about 1 ,000 seem to about 2,000 seem.
[0084] In one implementation, the amorphous boron season layer is deposited by a thermal decomposition process. The thermal decomposition process is a plasma- free process. The boron-containing gas mixture may continue to flow until a desired thickness of the amorphous boron season layer is reached.
[0085] In implementations where the deposition process is a PECVD process, at operation 720, after the deposition conditions are stabilized, a plasma is generated in the processing volume 126 to deposit an amorphous boron season layer on the substrate 190. The plasma may be formed from mixed frequency RF power in which a high frequency RF component of 13.56 MHZ is powered at a power from about 300 Watts to about 1 ,500 Watts (e.g., from about 500 Watts to about 1 ,000 Watts, from about 1 ,000 Watts to about 1 ,200 Watts) and a low frequency RF component of 350 KHz is powered at a power from about 100 Watts to about 1 ,000 Watts (e.g., from about 300 Watts to about 500 Watts; about 330 Watts to about 350 Watts) For most applications, the plasma is maintained for about 5 to 60 seconds to deposit a season layer of between about 500 A to about 6,000 A.
[0086] At operation 730, an amorphous boron season layer is deposited. The length of the first season process depends in part on the amount of residue left in the chamber, which is in part dependent on the length of the clean and deposition processes.
[0087] In any of the implementations described herein, during deposition of the season layer the chamber, the wafer, or both may be maintained at a temperature between 100 degrees Celsius to about 700 degrees Celsius (e.g., between about 200 degrees Celsius to about 500 degrees Celsius; between about 300 degrees Celsius to about 400 degrees Celsius). The chamber pressure may range from a chamber pressure of about 1 Torr to about 20 Torr (e.g., between about 2 Torr and about 10 Torr; between about 5 Torr and about 6 Torr). The distance between the pedestal and showerhead is set to between about 300 to about 1 100 mils. [0088] The season layer may be deposited to have a thickness between about 100 A and about 2,000 A (e.g., between about 300 A and about 1 ,500 A; between about 500 A to about 1 ,000 A).
[0089] Any excess process gases and by-products from the deposition of the season layer may then be removed from the chamber by performing an optional purge/evacuation process.
[0090] FIG. 8 is a plot 800 depicting gas-on particle adders (>0.045 μιη, with flowing process gas without plasma-on, which is called gas-on particles) for a season layer deposited according to implementations described herein in comparison with season layers deposited using prior art techniques. Plot 800 shows a comparison between a carbon season layer, a nitrogen-doped carbon season layer, a boron-carbon amorphous carbon layer and an amorphous boron season layer formed according to implementations described herein. The plot 800 further differentiates between gas-on with only season and gas-on with a 1 .3 μιη boron- containing amorphous carbon layer is deposited according to implementations described herein in combination with the amorphous boron season layer. As depicted in plot 800, the amorphous boron season yielded the best defect counts (<30 adders for particle size >0.045 μιη).
[0091] FIG. 9 is a plot 900 depicting the defect counts (adders > 0.09 μιη) for a season layer deposited according to implementations described herein in comparison with season layers deposited using prior art techniques. Plot 900 shows a comparison between a carbon season layer, a nitrogen-doped carbon season layer, a boron-carbon amorphous carbon layer and an amorphous boron season layer formed according to implementations described herein. As depicted in plot 900, the amorphous boron season layer leads to less in-film particles (<30 adders for particle size >0.09 μιη).
[0092] FIG. 10 is a plot 1000 depicting in-film particle performance of amorphous boron-carbon film deposition process with amorphous boron season layer and RF- on delay time according to implementations described herein. As depicted in FIG. 10, the combined fixed RF-on delay time period and amorphous boron season layer provide boron-containing amorphous carbon films with good in-film particle performance with repeated wafers running.
[0093] When introducing elements of the present disclosure or exemplary aspects or implementation(s) thereof, the articles "a," "an," "the" and "said" are intended to mean that there are one or more of the elements.
[0094] The terms "comprising," "including" and "having" are intended to be inclusive and mean that there may be additional elements other than the listed elements.
[0095] While the foregoing is directed to implementations of the present disclosure, other and further implementations of the disclosure may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

Claims:
1 . A method of processing a substrate, comprising:
flowing a hydrocarbon-containing gas mixture into a processing volume having a substrate positioned therein;
flowing a first boron-containing gas mixture into the processing volume;
stabilizing the pressure in the processing volume for a predefined RF-on delay time period; and
generating an RF plasma in the processing volume after the predefined RF- on delay time period expires to deposit a boron-containing amorphous film on the substrate.
2. The method of claim 1 , further comprising:
exposing the processing volume to a dry cleaning process; and
depositing an amorphous boron season layer over at least one surface in the processing volume.
3. The method of claim 2, wherein the dry cleaning process comprises:
delivering a fluorine-containing gas, an oxidizing gas, or mixture thereof into the processing volume;
forming a plasma via a remote plasma source; and
delivering the plasma to the processing volume.
4. The method of claim 3, wherein the fluorine-containing gas is NF3.
5. The method of claim 4, wherein the oxidizing gas is selected from oxygen (02), ozone (03), nitrous oxide (N20), carbon monoxide (CO), carbon dioxide (C02), water (H20), 2,3-butane dione, or combinations thereof.
6. The method of claim 1 , wherein the hydrocarbon-containing gas mixture comprises a hydrocarbon compound selected from methane (CH4), ethane (C2H6), propylene (C3H6), propane (C3H8), butane (C4H10), pentane (C5H12), hexane (C6H14), 2-methylpentane, 3-methylpentane, 2,3-dimethylbutane, and 2,2- dimethyl butane, or combinations thereof.
7. The method of claim 6, wherein the hydrocarbon-containing gas mixture further comprises an inert gas selected from argon and helium.
8. The method of claim 2, wherein depositing the amorphous boron season layer comprises:
flowing a second boron-containing gas mixture into the processing volume; and
thermally decomposing the second boron-containing gas mixture to deposit the amorphous boron season layer over the at least one surface in the processing volume.
9. The method of claim 8, wherein the season layer has a thickness between about 300 A and about 1 ,500 A.
10. The method of claim 1 , wherein the predefined RF-on delay time period is a fixed time delay defined as the time period between flowing the first boron- containing gas mixture into the processing volume and generating the RF plasma.
1 1 . The method of claim 10, wherein the length of the predefined RF-on delay time period is selected so that the first boron-containing gas mixture does not begin to thermally decompose or substantially thermally decompose in the processing volume.
12. The method of claim 1 , wherein flowing the hydrocarbon containing gas mixture into the processing volume having the substrate positioned therein, flowing the first boron-containing gas mixture into the processing volume, and stabilizing the pressure in the processing volume for the predefined RF-on delay time period at least partially overlap.
13. The method of claim 1 , wherein the predefined RF-on delay time period is between about 0.1 seconds and 5 seconds.
14. The method of claim 1 , wherein stabilizing the pressure in the processing volume for the predefined RF-on delay time period further comprises:
measuring a pressure variation in the processing volume caused by the flowing the first boron-containing gas mixture into the processing volume;
comparing the pressure variation to a predetermined pressure band; and generating the RF plasma in the processing volume after the predefined RF- on delay time period expires if the pressure variation is less than or falls within the predetermined pressure band.
15. The method of claim 1 , wherein the pressure band is from about 0.05 Torr to about 0.5 Torr.
PCT/US2016/041560 2015-08-27 2016-07-08 Methods to improve in-film particle performance of amorphous born-carbon hardmask process in pecvd system WO2017034687A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020187008528A KR20180035929A (en) 2015-08-27 2016-07-08 Methods for improving the film-in-particle performance of amorphous boron-carbon hard mask processes in PECVD systems

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562210681P 2015-08-27 2015-08-27
US62/210,681 2015-08-27
US15/203,032 US9711360B2 (en) 2015-08-27 2016-07-06 Methods to improve in-film particle performance of amorphous boron-carbon hardmask process in PECVD system
US15/203,032 2016-07-06

Publications (1)

Publication Number Publication Date
WO2017034687A1 true WO2017034687A1 (en) 2017-03-02

Family

ID=58095710

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2016/041560 WO2017034687A1 (en) 2015-08-27 2016-07-08 Methods to improve in-film particle performance of amorphous born-carbon hardmask process in pecvd system

Country Status (4)

Country Link
US (1) US9711360B2 (en)
KR (1) KR20180035929A (en)
TW (1) TWI716421B (en)
WO (1) WO2017034687A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020231621A1 (en) * 2019-05-15 2020-11-19 Applied Materials, Inc. Methods of reducing chamber residues

Families Citing this family (239)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
KR20190061872A (en) * 2017-11-28 2019-06-05 주식회사 원익아이피에스 Method of fabricating amorphous silicon layer
SG11202005150YA (en) 2017-12-01 2020-06-29 Applied Materials Inc Highly etch selective amorphous carbon film
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (en) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
CN112041967A (en) * 2018-04-24 2020-12-04 应用材料公司 Plasma enhanced chemical vapor deposition of carbon hardmask
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11562773B2 (en) * 2019-09-27 2023-01-24 Micron Technology, Inc. Metal-containing structures, and methods of treating metal-containing material to increase grain size and/or reduce contaminant concentration
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20220157488A (en) * 2020-03-26 2022-11-29 어플라이드 머티어리얼스, 인코포레이티드 Catalytic formation of boron and carbon films
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11322352B2 (en) 2020-04-20 2022-05-03 Applied Materials, Inc. Nitrogen-doped carbon hardmask films
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
US11613808B2 (en) * 2020-10-22 2023-03-28 Applied Materials, Inc. Clean processes for boron carbon film deposition
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US20110151142A1 (en) * 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
KR20130041120A (en) * 2010-07-21 2013-04-24 도쿄엘렉트론가부시키가이샤 Interlayer insulating layer formation method and semiconductor device
US20140017897A1 (en) * 2010-10-05 2014-01-16 Applied Materials, Inc. Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US20140272184A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Methods for maintaining clean etch rate and reducing particulate contamination with pecvd of amorphous silicon filims

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2008050596A1 (en) * 2006-10-25 2010-02-25 パナソニック株式会社 Plasma doping method and plasma doping apparatus
WO2008090763A1 (en) * 2007-01-22 2008-07-31 Panasonic Corporation Semiconductor device manufacturing method and semiconductor manufacturing apparatus
JP2010109245A (en) * 2008-10-31 2010-05-13 Toshiba Corp Method of manufacturing semiconductor device
KR102025574B1 (en) 2013-01-18 2019-09-26 삼성전자 주식회사 Apparatus for providing the sample gas and method thereof
US9299574B2 (en) 2013-01-25 2016-03-29 Applied Materials, Inc. Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
US9129911B2 (en) 2013-01-31 2015-09-08 Applied Materials, Inc. Boron-doped carbon-based hardmask etch processing
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US20110151142A1 (en) * 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
KR20130041120A (en) * 2010-07-21 2013-04-24 도쿄엘렉트론가부시키가이샤 Interlayer insulating layer formation method and semiconductor device
US20140017897A1 (en) * 2010-10-05 2014-01-16 Applied Materials, Inc. Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US20140272184A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Methods for maintaining clean etch rate and reducing particulate contamination with pecvd of amorphous silicon filims

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020231621A1 (en) * 2019-05-15 2020-11-19 Applied Materials, Inc. Methods of reducing chamber residues
US11560623B2 (en) 2019-05-15 2023-01-24 Applied Materials, Inc. Methods of reducing chamber residues

Also Published As

Publication number Publication date
US9711360B2 (en) 2017-07-18
TWI716421B (en) 2021-01-21
KR20180035929A (en) 2018-04-06
US20170062218A1 (en) 2017-03-02
TW201718918A (en) 2017-06-01

Similar Documents

Publication Publication Date Title
US9711360B2 (en) Methods to improve in-film particle performance of amorphous boron-carbon hardmask process in PECVD system
US11728168B2 (en) Ultra-high modulus and etch selectivity boron-carbon hardmask films
TWI819388B (en) High-density low temperature carbon films for hardmask and other patterning applications
US8536065B2 (en) Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US8361906B2 (en) Ultra high selectivity ashable hard mask film
CN113891954A (en) High selectivity, low stress, and low hydrogen diamond-like carbon hard mask generated by high power pulsed low frequency RF
US20200266064A1 (en) Method of processing a substrate
US11664226B2 (en) Methods for producing high-density carbon films for hardmasks and other patterning applications
KR102659258B1 (en) Boron-carbon hardmask films with ultrahigh modulus and etch selectivity
KR102662427B1 (en) Ultra-high modulus and etch selectivity boron-carbon hardmask films
US11664214B2 (en) Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
WO2022005703A1 (en) Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
TW202233883A (en) Deposition rate enhancement of amorphous carbon hard mask film by purely chemical means

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 16839762

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20187008528

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 16839762

Country of ref document: EP

Kind code of ref document: A1