WO2016118279A1 - Titanium nitride removal - Google Patents

Titanium nitride removal Download PDF

Info

Publication number
WO2016118279A1
WO2016118279A1 PCT/US2015/067272 US2015067272W WO2016118279A1 WO 2016118279 A1 WO2016118279 A1 WO 2016118279A1 US 2015067272 W US2015067272 W US 2015067272W WO 2016118279 A1 WO2016118279 A1 WO 2016118279A1
Authority
WO
WIPO (PCT)
Prior art keywords
carbon
titanium nitride
plasma
low
containing layer
Prior art date
Application number
PCT/US2015/067272
Other languages
French (fr)
Inventor
Xikun Wang
Mandar Pandit
Anchuan Wang
Nitin K. Ingle
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2016118279A1 publication Critical patent/WO2016118279A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures

Definitions

  • the subject matter herein relates to removing titanium nitride.
  • Integrated circuits are made possible by processes which produce intricately patterned material layers on substrate surfaces. Producing patterned material on a substrate requires controlled methods for removal of exposed material. Chemical etching is used for a variety of purposes including transferring a pattern in photoresist into underlying layers, thinning layers or thinning lateral dimensions of features already present on the surface. Often it is desirable to have an etch process which etches one material faster than another helping e.g. a pattern transfer process proceed. Such an etch process is said to be selective of the first material relative to the second material. As a result of the diversity of materials, circuits and processes, etch processes have been developed with a selectivity towards a variety of materials.
  • Dry etch processes are often desirable for selectively removing material from semiconductor substrates. The desirability stems from the ability to gently remove material from miniature structures with minimal physical disturbance. Dry etch processes also allow the etch rate to be abruptly stopped by removing the gas phase reagents. Some dry-etch processes involve the exposure of a substrate to remote plasma by-products formed from one or more precursors to achieve high etch selectivity. The high selectivities achieved enable novel process sequences. [0004] Methods are needed to broaden the process sequences which take advantage of the high etch selectivities afforded by these novel remote plasma dry etch processes.
  • a method of removing titanium nitride hardmask is described.
  • the hardmask resides above a low-k dielectric layer prior to removal and the low-k dielectric layer retains a relatively low net dielectric constant after the removal process.
  • the low-k dielectric layer may be part of a dual damascene structure having copper at the bottom of the vias.
  • a non-porous carbon layer is deposited prior to the titanium nitride hardmask removal to protect the low-k dielectric layer and the copper.
  • the titanium nitride hardmask and the non-porous carbon layer are removed with a gas-phase etch using plasma effluents formed in a remote plasma from a chlorine-containing precursor.
  • Embodiments include methods of removing titanium nitride hardmasks.
  • the methods include forming a carbon-containing layer over low-k dielectric walls over an underlying copper layer on a patterned substrate.
  • the low-k dielectric walls form a trench and a via fluidly coupled to one another and the low-k dielectric walls are capped with titanium nitride hardmasks.
  • the titanium nitride hardmasks overhang the low-k dielectric walls.
  • the methods further include placing the patterned substrate in a substrate processing region of a substrate processing chamber.
  • the methods further include flowing a radical-chlorine precursor and a radical-carbon- hydrogen precursor into the substrate processing region.
  • the methods further include etching away the titanium nitride hardmasks and a portion of the carbon-containing layer leaving behind a remainder of the carbon-containing layer.
  • the radical-chlorine precursor and the radical- carbon-hydrogen precursor are prevented from reacting with the underlying copper layer by the remainder of the carbon-containing layer.
  • the methods further include removing the remainder of the carbon-containing layer.
  • a width of the via may be less than 50 nm.
  • a width of the trench may be less than 70 nm.
  • the methods may include an operation of filling the via and the trench with copper after the operation of removing the remainder of the carbon-containing layer.
  • An electron temperature within the substrate processing region may be below 0.5 eV during the operation of etching away the titanium nitride hardmasks and the portion of the carbon-containing layer.
  • a silicon carbon nitride layer may be disposed between the underlying copper layer and at least one of the low-k dielectric walls.
  • the radical-chlorine precursor may be prevented from reacting with the low-k dielectric walls by the remainder of the carbon-containing layer.
  • the carbon-containing layer may consist only of carbon, hydrogen and nitrogen.
  • Embodiments include methods of removing titanium nitride hardmasks.
  • the methods include forming a carbon-containing layer over low-k dielectric walls over an underlying copper layer on a patterned substrate.
  • the low-k dielectric walls form a gap and the patterned substrate further includes titanium nitride hardmasks above the low-k dielectric walls.
  • One of the titanium nitride hardmasks is wider than an underlying supporting low-k dielectric wall.
  • the methods further include placing the patterned substrate in a substrate processing region of a substrate processing chamber.
  • the methods further include flowing a chlorine-containing precursor and a carbon-and-hydrogen-containing precursor into a remote plasma region fluidly coupled to the substrate processing region while forming a remote plasma in the remote plasma region to produce plasma effluents.
  • the methods further include flowing the plasma effluents into the substrate processing region through through-holes in a showerhead disposed between the substrate processing region and the remote plasma region.
  • the methods further include etching both the carbon-containing layer and the titanium nitride hardmasks with the plasma effluents leaving behind a remainder of the carbon-containing layer. The plasma effluents do not react with the underlying copper layer as a result of a presence of the remainder of the carbon- containing layer.
  • the methods further include flowing an oxygen-containing precursor and a second carbon-and-hydrogen-containing precursor into the remote plasma region fluidly coupled to the substrate processing region while forming a second remote plasma in the remote plasma region to produce second plasma effluents.
  • the methods further include flowing the second plasma effluents into the substrate processing region through through-holes in the showerhead.
  • the methods further include etching away the remainder of the carbon-containing layer with the plasma effluents.
  • the methods further include removing the remainder of the carbon-containing layer. [0009]
  • the operation of etching both the carbon-containing layer and the titanium nitride hardmasks may remove the titanium nitride hardmasks.
  • the substrate processing region is plasma-free during the operation of etching both the carbon-containing layer and the titanium nitride hardmasks.
  • the methods may further include forming a local plasma in the substrate processing region to further excite the plasma effluents.
  • Embodiments include methods of removing a hardmask.
  • the methods include forming a conformal amorphous carbon-containing layer over a patterned substrate.
  • the patterned substrate includes a trench and a via below the trench.
  • the via is above an underlying copper layer.
  • Sidewalls of the trench and the via include low-k dielectric walls and the sidewalls of the trench further include titanium nitride features.
  • the titanium nitride features form a narrower gap at the top of the trench than a width of the trench between the low-k dielectric walls.
  • the trench is fluidly coupled to the via.
  • the methods further include etching back the conformal amorphous carbon-containing layer and removing the titanium nitride features leaving behind a remainder of the conformal amorphous carbon-containing layer.
  • the remainder of the conformal amorphous carbon-containing layer completely covers both the underlying copper layer and the low-k dielectric walls so reactants cannot reach either the underlying copper layer or the low-k dielectric walls.
  • the methods further include removing the remainder of the conformal amorphous carbon-containing layer.
  • the hardmask may further includ silicon oxide features underlying the titanium nitride features and overlying the low-k dielectric walls.
  • FIG. 1 is a flow chart of a titanium nitride hardmask removal process according to embodiments.
  • FIGS. 2A, 2B, 2C and 2D show cross-sectional views of a device at stages of an exemplary titanium nitride hardmask removal process according to embodiments.
  • FIG. 3 A shows a schematic cross-sectional view of a substrate processing chamber according to embodiments.
  • FIG. 3B shows a schematic cross-sectional view of a portion of a substrate processing chamber according to embodiments.
  • FIG. 3C shows a bottom view of a showerhead according to embodiments.
  • FIG. 4 shows a top view of an exemplary substrate processing system according to embodiments.
  • similar components and/or features may have the same reference label. Further, various components of the same type may be distinguished by following the reference label by a dash and a second label that distinguishes among the similar
  • a method of removing titanium nitride hardmask is described.
  • the hardmask resides above a low-k dielectric layer prior to removal and the low-k dielectric layer retains a relatively low net dielectric constant after the removal process.
  • the low-k dielectric layer may be part of a dual damascene structure having copper at the bottom of the vias.
  • a non-porous carbon layer is deposited prior to the titanium nitride hardmask removal to protect the low-k dielectric layer and the copper.
  • the titanium nitride hardmask and the non-porous carbon layer are removed with a gas-phase etch using plasma effluents formed in a remote plasma from a chlorine-containing precursor. Plasma effluents within the remote plasma are flowed into a substrate processing region where the plasma effluents react with the non-porous carbon layer and the titanium nitride.
  • Copper dual-damascene structures have been used for several decades and include two distinct patterns formed into a dielectric layer.
  • the lower pattern may include via structures whereas the upper pattern may include a trench.
  • the via and the trench are filled at the same time.
  • a titanium nitride hardmask may be used for one or both of the patterning operations (the via and/or the trench).
  • the removal of the titanium nitride hardmask is accomplished herein in a manner from the methods used previously. Past methods include removing the titanium nitride hardmask using chemical mechanical polishing but the overhang of the titanium nitride hardmask has already compromised the copper filling of the via/trench.
  • Past methods further include removing the titanium nitride using the SCI cleaning solution prior to copper filling but the SCI solution can damage the exposed copper at the bottom of the via.
  • the methods presented herein avoid both of these issues.
  • FIG. 1 is a titanium nitride hardmask removal process 101 according to embodiments.
  • FIGS. 2A, 2B, 2C and 2D show cross-sectional views of a device at various stages of titanium nitride hardmask removal process 101.
  • the portion of the device shown may be a back-end of the line (BEOL) interconnect portion of an integrated circuit during formation in embodiments.
  • BEOL back-end of the line
  • an exposed titanium nitride layer is formed, patterned into titanium nitride hardmask 230, and used to pattern an underlying low-k dielectric layer 220 on the patterned substrate.
  • Silicon carbon nitride layer 210 may be used to physically separate underlying copper layer 201 from low-k dielectric layer 220. Underlying copper layer 201 is located beneath the low-k dielectric layer and is exposed to the atmosphere through via and trench.
  • Titanium nitride hardmask 230 may be physically separated from low-k dielectric layer 220 by an auxiliary hardmask to facilitate processing, though no such layer is shown in FIGS. 2A-2D.
  • the auxiliary hardmask layer may be a silicon oxide hardmask in embodiments.
  • a carbon-containing layer 240-1 is formed on the patterned substrate in operation 110, shown following formation in FIG. 2B.
  • the carbon-containing layer may be nonconformal or conformal on the features of patterned substrate in embodiments.
  • the carbon-containing layer may mostly fill gaps or completely fill gaps (as shown in FIG. 2B) in the low-k dielectric layer of the patterned substrate including the via and/or the trench in the example of FIGS. 1-2.
  • Carbon-containing layer 240-1 may be an amorphous carbon gapfill carbon-containing layer 240-1 having a higher density and lower porosity than, for example, organic carbon-containing layers in embodiments.
  • the carbon-containing layer may inhibit diffusion of subsequently- introduced etchants and may therefore protect the integrity of low-k dielectric layer 220 and underlying copper layer 201 during processing.
  • a silicon carbon nitride layer 210 may be positioned between underlying copper layer 201 and low-k dielectric layer 220 as shown in FIG.2A.
  • Organic carbon-containing layers have been found to allow diffusion of etchants which increase the effective dielectric constant of low-k dielectric layer 220 and corrode underlying copper layer 201.
  • Organic carbon-containing layers such as organic planarization layers, retain chemical bonding geometries present in organic molecules and therefore allow diffusion when carbon-containing layer 240-1 inhibits or stops diffusion in embodiments.
  • the carbon-containing layer may comprise or consist only of carbon, hydrogen and nitrogen in embodiments.
  • a flow of chlorine (Cl 2 ) is introduced into a plasma region separate from the processing region (operation 120).
  • Other sources of chlorine may be used to augment or replace the chlorine.
  • a chlorine-containing precursor may be flowed into the plasma region, such as diatomic chlorine (Cl 2 ), atomic chlorine, xenon dichloride or boron trichloride.
  • the separate plasma region may be referred to as a remote plasma region herein and may be within a distinct module from the processing chamber or a compartment within the processing chamber.
  • a carbon-and-hydrogen-containing precursor such as methane, is also be flowed into the plasma region and excited along with the chlorine in a remote plasma.
  • the plasma effluents formed in the remote plasma region are flowed into the substrate processing region (in operation 120 as well).
  • Carbon-containing layer 240-1 and Titanium nitride hardmask 230 are concurrently etched back in operation 130. Etching operation 130 may be anisotropic or isotropic according to embodiments. Enough carbon-containing layer 240-2 should be retained to completely seal low- k dielectric layer 220 in embodiments.
  • the carbon-and-hydrogen-containing precursor is included to ensure concurrent removal of titanium nitride hardmask 230 and a portion of carbon-containing layer 240-1 to form 240-2.
  • the carbon-and-hydrogen-containing precursor may also assist the removal of any oxidation on titanium nitride hardmask 230 (e.g. titanium oxide) according to
  • FIG. 2C shows the patterned substrate following operation 130.
  • Operation 130 may involve removal or complete removal of the titanium nitride hardmasks in embodiments.
  • the selective etch of all examples disclosed herein may etch titanium nitride significantly faster than a variety of titanium-free dielectric materials which may include hafnium oxide (Hf0 2 ) or a silicon-containing material such as silicon (e.g. polysilicon), silicon oxide, low-K dielectric, silicon nitride or silicon carbon nitride according embodiments.
  • hafnium oxide Hf0 2
  • silicon-containing material such as silicon (e.g. polysilicon), silicon oxide, low-K dielectric, silicon nitride or silicon carbon nitride according embodiments.
  • Such a process may have broad- based utility, for example, the etch processes disclosed herein may be used to selectively remove titanium nitride from above a silicon-containing film stack after patterning.
  • Carbon-containing layer 240-1 may be removed at
  • Operation 150 may be effected by using the same substrate processing region and remote plasma region configuration used for operation 130.
  • a flow of oxygen (0 2 ) is introduced into the remote plasma region (operation 140).
  • Other sources of oxygen may be used to augment or replace the oxygen (0 2 ).
  • an oxygen-containing precursor may be flowed into the plasma region, such as oxygen (0 2 ), atomic oxygen, ozone (0 3 ) or moisture (H 2 0).
  • a carbon- and-hydrogen-containing precursor, such as methane, is also be flowed into the plasma region and excited along with the chlorine-containing precursor in a remote plasma.
  • the plasma effluents formed in the remote plasma region are flowed into the substrate processing region (in operation 140 as well).
  • Carbon-containing layer 240-2 is removed in operation 150.
  • Low-k dielectric layer 220 is obviously exposed during a portion of operation 150 and a benefit of the embodiments presented herein include a reduction in any potential increase in dielectric constant.
  • Including the carbon-and-hydrogen-containing precursor along with the oxygen-containing precursor has been found to beneficially limit the increase in dielectric constant of low-k dielectric layer 220 over oxygen-containing precursor alone.
  • Operation 130 removes both titanium nitride and carbon-containing material at appreciable rates during the etching operation. Inclusion of the carbon-and-hydrogen-containing precursor may increase the etch rate of the titanium nitride to make etch operation 130 able to remove two materials at once instead of in series.
  • the etch selectivity of titanium nitride to carbon-containing material may be near 1 : 1 or between 1 :2 and 2: 1 according to embodiments. Despite the lack of difference in etch rate of the titanium nitride and the carbon-containing material, the titanium nitride and the carbon-containing may both be etched selectively relative to other materials.
  • the titanium nitride and/or carbon-containing material etch selectivity of the processes disclosed herein may be greater than or about 10: 1, greater than or about 20: 1, greater than or about 50: 1, or greater than or about 100: 1 for materials other than titanium nitride and carbon-containing in embodiments. Applying a bias power, but keeping the level low as recited shortly, may increase these already-elevated selectivities.
  • the processes disclosed herein display etch selectivities of titanium nitride and/or carbon-containing material of carbon-containing layer 240-1 relative to a variety of specific materials. In practice, under conditions of low bias power in the substrate processing region local plasma, etch rates of many of these materials were so low as to be not accurately measurable.
  • the etch selectivity of titanium nitride and/or carbon-containing material relative to silicon oxide may be greater than or about 100: 1, greater than or about 250: 1, greater than or about 500: 1 or greater than or about 1000: 1 in embodiments.
  • Silicon oxide may be used as a hardmask layer between low-k dielectric layer 220 and titanium nitride hardmask 230.
  • the etch selectivity of titanium nitride relative to silicon oxy carbide e.g.
  • Black Diamond IIITM may be greater than or about 100: 1, greater than or about 250: 1, greater than or about 500: 1 or greater than or about 1000: 1 in embodiments.
  • the etch selectivity of titanium nitride relative to silicon carbon nitride e.g.
  • BlokTM may be greater than or about 100: 1, greater than or about 250: 1, greater than or about 500: 1 or greater than or about 1000: 1 according to embodiments.
  • the trench structures filled with the carbon-containing layer may be a dual-damascene structure including a via underlying a trench.
  • the via may be a low aspect ratio gap as viewed from above.
  • a via may appear, e.g., circular as viewed from above the patterned substrate laying flat. Vias may or may not be filled with metal in embodiments.
  • the term "gap" refers to a trench and/or a via in a low-k dielectric described herein.
  • the structure may be at the back end of the line which may result in larger dimensions depending on the device type.
  • a width of the via may be less than 50 nm, less than 40 nm, less than 30 nm or less than 20 nm according to
  • a width of the trench may be less than 70 nm, less than 50 nm, less than 40 nm or less than 30 nm in embodiments.
  • the dimensions described herein apply to a dual-damascene structure or structures involving a single layer.
  • An aspect ratio of the via may be about 1 : 1, as viewed from above, whereas an aspect ratio of the trench may be greater than 10: 1 since the trench is used to contain a conductor meant to electrically attach multiple vias.
  • the carbon-and-hydrogen-containing precursor is included in operation 120-130 to help remove any titanium oxidation layer from atop the titanium nitride layer.
  • the carbon-and- hydrogen-containing precursor is included in operations 140-150 to limit the increase in dielectric constant sustained by the low-k dielectric layer.
  • the carbon-and-hydrogen-containing precursor may be methane (CH 4 ) as in the example, but may also be a higher order hydrocarbon such as ethane (C 2 H 6 ).
  • the carbon-and-hydrogen-containing precursor may include carbon and hydrogen and may consist only of carbon and hydrogen.
  • the carbon-and-hydrogen- containing precursor may contain only single bonds in embodiments. A hydrocarbon with some multiple bonds may be used and hydrogen (H 2 ) may be added to the remote plasma region as well, during the process, in order to adjust the H:C atomic flow ratio.
  • the flows of the chlorine-containing precursor, the oxygen-containing precursor and the carbon-and-hydrogen-containing precursor may further include one or more relatively inert gases such as He, N 2 , Ar.
  • the inert gas can be used to improve plasma stability or process uniformity.
  • Argon is helpful, as an additive, to promote the formation of a stable plasma. Process uniformity is generally increased when helium is included.
  • Flow rates and ratios of the different gases may be used to control etch rates and etch selectivity.
  • the chlorine-containing precursor e.g. Cl 2
  • the oxygen-containing precursor e.g. 0 2
  • the carbon-and-hydrogen-containing precursor e.g. CH 4
  • He at a flow rate of between about 0 slm (standard liters per minute) and 3 slm
  • Ar at a flow rate of between about 0 slm and 3 slm.
  • gases and/or flows may be used depending on a number of factors including processing chamber configuration, substrate size, geometry and layout of features being etched.
  • the substrate may be maintained may be between about -30°C and about 400°C in general.
  • the temperature of the patterned substrate during etching operations 120-150 may be between -20°C and 350°C, -10°C and 250°C, between 0°C and 50°C or between 5°C and 20°C in embodiments.
  • the pressure in the substrate processing region and the remote plasma region(s) during etching operations 120-150 may be between 0.1 Torr and 50 Torr, between 1 Torr and 15 Torr or between 5 Torr and 10 Torr in
  • the etch selectivity may be enhanced through the suppression of the etch rate of the materials other than titanium nitride.
  • the method also includes applying energy to the chlorine-containing precursor and the carbon-and-hydrogen-containing precursor (operation 120) or to the oxygen-containing precursor and the carbon-and-hydrogen-containing precursor (operation 140) in the remote plasma region to generate the plasma effluents.
  • the plasma may include a number of charged and neutral species including radicals and ions.
  • the plasma in the remote plasma region e.g. in the chamber plasma region
  • may be generated using known techniques e.g., radio frequency excitations, capacitively-coupled power, inductively coupled power, etc.
  • the energy is applied using a capacitively-coupled plasma unit.
  • the remote plasma source power may be between about 40 watts and about 1500 watts, between about 100 watts and about 1200 watts, between about 250 watts and about 1000 watts, or between about 400 watts and about 800 watts in embodiments.
  • the 400 watt to 800 watt range may optimize the selective removal of titanium nitride relative to a variety of other exposed materials including silicon-containing dielectric films as well as some metals and metal oxides which do not contain titanium.
  • the capacitively-coupled plasma unit may be disposed remote from the substrate processing region but still within the substrate processing chamber. For example, the capacitively-coupled plasma unit and the plasma generation region may be separated from the gas reaction region by a showerhead.
  • the remote plasma power may be applied to the remote plasma region at a level between 500 W and 10 kW for a remote plasma external to the substrate processing chamber.
  • the remote plasma power may be applied using inductive coils, in embodiments, in which case the remote plasma will be referred to as an inductively-coupled plasma (ICP).
  • ICP inductively-coupled plasma
  • Plasma power may also be simultaneously applied (operations 120, 140) to both the remote plasma region and substrate processing region during etching processes described herein.
  • the plasma in the chamber plasma region may be applied to create a higher concentration of neutral radicals entering substrate processing region.
  • the local plasma in the substrate processing region may be biased relative to the substrate to apply a sputtering component.
  • the local plasma in the substrate processing region may be referred to herein as a local plasma.
  • the local plasma may be generated using the same techniques used to create the remote plasma.
  • the local plasma power may be applied using a capacitively-coupled plasma unit by applying plasma power between plates above and below the substrate during etching.
  • the local plasma power may be between about 5 watts and about 200 watts, between about 10 watts and about 150 watts, between about 15 watts and about 100 watts, or between about 20 watts and about 80 watts in embodiments.
  • the local plasma power of the local plasma in the substrate processing region is selected to keep the ion energy low so the etch selectivity toward titanium nitride remains high.
  • the local plasma power may be less than or about 20% of the remote plasma power, less than or about 15%) of the remote plasma power, or less than or about 10%> of the remote plasma power.
  • the local plasma is used, in embodiments, to facilitate removal of a titanium oxide layer which may be on top of the titanium nitride layer.
  • the local plasma may be biased relative to the substrate to further assist removal of any titanium oxide layer by using a physical sputtering mechanism in addition to the chemical mechanism.
  • a capacitively-coupled plasma power applied to plates parallel to the substrate may be inherently biased whereas other plasmas may require a separate local plasma bias power. Titanium oxide may require the sputtering assistance because the bonding is stronger in titanium oxide than in titanium nitride.
  • the optional local plasma bias power may be between about 2 watts and about 100 watts, between about 3 watts and about 75 watts, between about 5 watts and about 60 watts, or between about 10 watts and about 50 watts in embodiments.
  • the local plasma bias power is not included in the local plasma power so the total applied plasma power is the sum of these two quantities.
  • an ion suppressor (which may be the showerhead) may be used to provide radical and/or neutral species for gas-phase etching.
  • the ion suppressor may also be referred to as an ion suppression element.
  • the ion suppressor is used to filter etching plasma effluents en route from the remote plasma region to the substrate processing region.
  • the ion suppressor may be used to provide a reactive gas having a higher concentration of radicals than ions.
  • Plasma effluents pass through the ion suppressor disposed between the remote plasma region and the substrate processing region.
  • the ion suppressor functions to dramatically reduce or substantially eliminate ionic species traveling from the plasma generation region to the substrate.
  • the ion suppressors described herein are simply one way to achieve a low electron temperature in the substrate processing region during the gas-phase etch processes described herein.
  • an electron beam is passed through the substrate processing region in a plane parallel to the substrate to reduce the electron temperature of the plasma effluents.
  • a simpler showerhead may be used if an electron beam is applied in this manner.
  • the electron beam may be passed as a laminar sheet disposed above the substrate in embodiments.
  • the electron beam provides a source of neutralizing negative charge and provides a more active means for reducing the flow of positively charged ions towards the substrate and increasing the etch selectivity in embodiments.
  • the flow of plasma effluents and various parameters governing the operation of the electron beam may be adjusted to lower the electron temperature measured in the substrate processing region.
  • the electron temperature may be measured using a Langmuir probe in the substrate processing region during excitation of a plasma in the remote plasma.
  • the electron temperature may be less than 0.5 eV, less than 0.45 eV, less than 0.4 eV, or less than 0.35 eV.
  • Uncharged neutral and radical species may pass through the electron beam and/or the openings in the ion suppressor to react at the substrate.
  • Such a process using radicals and other neutral species can reduce plasma damage compared to conventional plasma etch processes that include sputtering and bombardment.
  • Embodiments are also advantageous over conventional wet etch processes where surface tension of liquids can cause bending and peeling of small features.
  • the substrate processing region may be described herein as "plasma-free” during the etch processes described herein.
  • “Plasma-free” does not necessarily mean the region is devoid of plasma. Ionized species and free electrons created within the plasma region may travel through pores (apertures) in the partition (showerhead) at exceedingly small concentrations. The borders of the plasma in the chamber plasma region may encroach to some small degree upon the substrate processing region through the apertures in the showerhead. Furthermore, a low intensity plasma may be created in the substrate processing region without eliminating desirable features of the etch processes described herein. All causes for a plasma having much lower intensity ion density than the chamber plasma region during the creation of the excited plasma effluents do not deviate from the scope of "plasma-free" as used herein.
  • the examples described herein involve the preparation of a trench above a via in a dual-damascene structure.
  • the structure may involve only one level and the low-k dielectric layer may have a trench and/or a via according to embodiments.
  • the use of carbon-containing layer 240 avoids the requirement of leaving a portion of silicon carbon nitride layer 210 at the bottom of the gap to protect underlying copper layer 201.
  • Using carbon- containing layer 240 to protect low-k dielectric layer 220 and underlying copper layer 201 may avoid distorting and ruining low-k structures according to embodiments.
  • underlying copper layer 201 may be any underlying conducting layer in embodiments.
  • the trench and the via may be filled with a conductor (e.g. copper) to complete the dual-damascene portion of a semiconductor manufacturing process.
  • a conductor e.g. copper
  • FIG. 3 A shows a cross-sectional view of an exemplary substrate processing chamber 1001 with a partitioned plasma generation region within the processing chamber.
  • a process gas may be flowed into chamber plasma region 1015 through a gas inlet assembly 1005.
  • a remote plasma system (RPS) 1002 may optionally be included in the system, and may process a first gas which then travels through gas inlet assembly 1005.
  • the process gas may be excited within RPS 1002 prior to entering chamber plasma region 1015. Accordingly, the chlorine-containing precursor as discussed above, for example, may pass through RPS 1002 or bypass the RPS unit in embodiments.
  • a cooling plate 1003, faceplate 1017, ion suppressor 1023, showerhead 1025, and a substrate support 1065 (also known as a pedestal), having a substrate 1055 disposed thereon, are shown and may each be included according to embodiments.
  • Pedestal 1065 may have a heat exchange channel through which a heat exchange fluid flows to control the temperature of the substrate. This configuration may allow the substrate 1055 temperature to be cooled or heated to maintain relatively low temperatures, such as between -20°C to 200°C. Pedestal 1065 may also be resistively heated to relatively high temperatures, such as between 100°C and 1100°C, using an embedded heater element.
  • Exemplary configurations may include having the gas inlet assembly 1005 open into a gas supply region 1058 partitioned from the chamber plasma region 1015 by faceplate 1017 so that the gases/species flow through the holes in the faceplate 1017 into the chamber plasma region 1015.
  • Structural and operational features may be selected to prevent significant backflow of plasma from the chamber plasma region 1015 back into the supply region 1058, gas inlet assembly 1005, and fluid supply system 1010.
  • the structural features may include the selection of dimensions and cross-sectional geometries of the apertures in faceplate 1017 to deactivate back-streaming plasma.
  • the operational features may include maintaining a pressure difference between the gas supply region 1058 and chamber plasma region 1015 that maintains a substantially unidirectional flow of plasma through the showerhead 1025.
  • the faceplate 1017, or a conductive top portion of the chamber, and showerhead 1025 are shown with an insulating ring 1020 located between the features, which allows an AC potential to be applied to the faceplate 1017 relative to showerhead 1025 and/or ion suppressor 1023.
  • the insulating ring 1020 may be positioned between the faceplate 1017 and the showerhead 1025 and/or ion suppressor 1023 enabling a capacitively coupled plasma (CCP) to be formed in the chamber plasma region.
  • CCP capacitively coupled plasma
  • the plurality of holes in the ion suppressor 1023 may be configured to control the passage of the activated gas, i.e., the ionic, radical, and/or neutral species, through the ion suppressor 1023.
  • the aspect ratio of the holes, or the hole diameter to length, and/or the geometry of the holes may be controlled so that the flow of ionically-charged species in the activated gas passing through the ion suppressor 1023 is reduced.
  • the holes in the ion suppressor 1023 may include a tapered portion that faces chamber plasma region 1015, and a cylindrical portion that faces the showerhead 1025. The cylindrical portion may be shaped and dimensioned to control the flow of ionic species passing to the showerhead 1025.
  • An adjustable electrical bias may also be applied to the ion suppressor 1023 as an additional means to control the flow of ionic species through the suppressor.
  • the ion suppression element 1023 may function to reduce or eliminate the amount of ionically charged species traveling from the plasma generation region to the substrate. Uncharged neutral and radical species may still pass through the openings in the ion suppressor to react with the substrate.
  • Plasma power can be of a variety of frequencies or a combination of multiple frequencies.
  • the plasma may be provided by plasma power delivered to faceplate 1017 relative to ion suppressor 1023 and/or showerhead 1025.
  • the plasma power may be between about 10 watts and about 5000 watts, between about 100 watts and about 2000 watts, between about 200 watts and about 1500 watts, or between about 200 watts and about 1000 watts in embodiments.
  • the RF frequency applied in the exemplary processing system may be low RF frequencies less than about 200 kHz, high RF frequencies between about 10 MHz and about 15 MHz, or microwave frequencies greater than or about 1 GHz in embodiments.
  • the plasma power may be capacitively-coupled (CCP) or inductively-coupled (ICP) into the remote plasma region.
  • CCP capacitively-coupled
  • ICP inductively-coupled
  • a precursor for example a chlorine-containing precursor and a carbon-and-hydrogen- containing precursor, may be flowed into substrate processing region 1033 by embodiments of the showerhead described herein.
  • Excited species derived from the process gas in chamber plasma region 1015 may travel through apertures in the ion suppressor 1023, and/or showerhead 1025 and react with an additional precursor flowing into substrate processing region 1033 from a separate portion of the showerhead.
  • no additional precursors may be flowed through the separate portion of the showerhead. Little or no plasma may be present in substrate processing region 1033 during the remote plasma etch process in embodiments.
  • Excited derivatives of the precursors may combine in the region above the substrate and/or on the substrate to etch structures or remove species from the substrate.
  • the processing gases may be excited in chamber plasma region 1015 and may be passed through the showerhead 1025 to substrate processing region 1033 in the excited state.
  • a local plasma may be formed in substrate processing region 1033 concurrently with the remote plasma in the chamber plasma region 1015 as described.
  • a plasma may not be generated in substrate processing region 1033 in embodiments.
  • FIG. 3B shows a detailed view of the features affecting the processing gas distribution through faceplate 1017.
  • the gas distribution assemblies such as showerhead 1025 for use in the processing chamber section 1001 may be referred to as dual channel showerheads (DCSH) and are additionally detailed in the embodiments described in FIG. 3A as well as FIG. 3C herein.
  • the dual channel showerhead may provide for etching processes that allow for separation of etchants outside of the processing region 1033 to provide limited interaction with chamber components and each other prior to being delivered into the processing region.
  • the showerhead 1025 may comprise an upper plate 1014 and a lower plate 1016. The plates may be coupled with one another to define a volume 1018 between the plates.
  • the coupling of the plates may be configured to provide first fluid channels 1019 through the upper and lower plates, and second fluid channels 1021 through the lower plate 1016.
  • the formed channels may be configured to provide fluid access from the volume 1018 through the lower plate 1016 by way of second fluid channels 1021 alone, and the first fluid channels 1019 may be fluidly isolated from the volume 1018 between the plates and the second fluid channels 1021.
  • the volume 1018 may be fluidly accessible through a side of the gas distribution assembly 1025.
  • FIGS. 3A-3C includes a dual-channel showerhead, it is understood that alternative distribution assemblies may be utilized that maintain first and second precursors fluidly isolated prior to substrate processing region 1033.
  • showerhead 1025 may distribute by way of first fluid channels 1019 process gases which contain plasma effluents upon excitation by a plasma in chamber plasma region 1015.
  • the process gas introduced into RPS 1002 and/or chamber plasma region 1015 may contain chlorine, e.g., Cl 2 and a carbon-and-hydrogen- containing precursor such as CH 4 .
  • the process gas may also include a carrier gas such as helium, argon, nitrogen (N 2 ), etc.
  • Plasma effluents may include ionized or neutral derivatives of the process gas and may also be referred to herein as a radical-chlorine precursor referring to the atomic constituent of the process gas introduced.
  • FIG. 3C is a bottom view of a showerhead 1025 for use with a processing chamber in embodiments.
  • showerhead 1025 corresponds with the showerhead shown in FIG. 3 A.
  • Through- holes 1031 which show a view of first fluid channels 1019, may have a plurality of shapes and configurations to control and modify the flow of precursors through the showerhead 1025.
  • Small holes 1027 which show a view of second fluid channels 1021, may be distributed substantially evenly over the surface of the showerhead, even amongst the through-holes 1031, which may help to provide more even mixing of the precursors as they exit the showerhead than other configurations.
  • Embodiments of the dry etch systems may be incorporated into larger fabrication systems for producing integrated circuit chips.
  • FIG. 4 shows one such processing system
  • mainframe 1101 of deposition, etching, baking, and curing chambers in embodiments.
  • a pair of front opening unified pods (load lock chambers 1102) supply substrates of a variety of sizes that are received by robotic arms 1104 and placed into a low pressure holding area 1106 before being placed into one of the substrate processing chambers 1108a-f.
  • a second robotic arm 1110 may be used to transport the substrate wafers from the holding area 1106 to the substrate processing chambers 1108a-f and back.
  • Each substrate processing chamber 1108a-f can be outfitted to perform a number of substrate processing operations including the dry etch processes described herein in addition to cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, orientation, and other substrate processes.
  • CLD cyclical layer deposition
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • etch pre-clean, degas, orientation, and other substrate processes.
  • the showerhead may be referred to as a dual-channel showerhead as a result of the two distinct pathways into the substrate processing region.
  • the chlorine-containing precursor and the carbon-and-hydrogen-containing precursor may be flowed through the through-holes in the dual- zone showerhead and auxiliary precursors may pass through separate zones in the dual-zone showerhead. The separate zones may open into the substrate processing region but not into the remote plasma region as described above.
  • Combined flow rates of precursors and plasma effluents into the substrate processing region may account for 0.05% to about 20% by volume of the overall gas mixture; the remainder being carrier gases.
  • the chlorine-containing precursor and the carbon-and-hydrogen-containing precursor flowed into the remote plasma region but the plasma effluents has the same volumetric flow ratio, in embodiments.
  • a purge or carrier gas may be first initiated into the remote plasma region before those of the chlorine-containing gas and the carbon-and-hydrogen-containing precursor to stabilize the pressure within the remote plasma region.
  • substrate may be a support substrate with or without layers formed thereon.
  • the patterned substrate may be an insulator or a semiconductor of a variety of doping concentrations and profiles and may, for example, be a semiconductor substrate of the type used in the manufacture of integrated circuits.
  • silicon oxide of the patterned substrate is predominantly Si0 2 but may include concentrations of other elemental constituents such as, e.g., nitrogen, hydrogen and carbon.
  • silicon oxide portions etched using the methods disclosed herein consist essentially of silicon and oxygen.
  • silicon nitride of the patterned substrate is predominantly S13N4 but may include concentrations of other elemental constituents such as, e.g., oxygen, hydrogen and carbon.
  • silicon nitride portions described herein consist essentially of silicon and nitrogen.
  • Exposed "titanium nitride" of the patterned substrate is predominantly titanium and nitrogen but may include concentrations of other elemental constituents such as, e.g., oxygen, hydrogen and carbon.
  • titanium nitride portions described herein consist essentially of titanium and nitrogen.
  • the amorphous carbon-containing film may include about 79% carbon, 20% hydrogen and 1%) nitrogen or may contain 75-83%) carbon, 18%-22% hydrogen and 0.3-2% hydrogen in embodiments.
  • "Copper" of the patterned substrate is predominantly copper but may include concentrations of other elemental constituents such as, e.g., oxygen, hydrogen and carbon.
  • copper portions described herein consist essentially of copper.
  • the term "gap" is used throughout with no implication that the etched geometry has a large horizontal aspect ratio. Viewed from above the surface, gaps may appear circular, oval, polygonal, rectangular, or a variety of other shapes.
  • a “trench” is a long gap.
  • a trench may be in the shape of a moat around an island of material whose aspect ratio is the length or
  • a conformal etch process refers to a generally uniform removal of material on a surface in the same shape as the surface, i.e., the surface of the etched layer and the pre-etch surface are generally parallel.
  • the etched interface likely cannot be 100%) conformal and thus the term "generally" allows for acceptable tolerances.
  • plasma effluents describe gas exiting from the chamber plasma region and entering the substrate processing region. Plasma effluents are in an "excited state” wherein at least some of the gas molecules are in vibrationally- excited, dissociated and/or ionized states.
  • a “radical precursor” is used to describe plasma effluents (a gas in an excited state which is exiting a plasma) which participate in a reaction to either remove material from or deposit material on a surface.
  • Radical-chlorine precursors describe radical precursors which contain chlorine but may contain other elemental constituents.
  • Radar-carbon-hydrogen precursors describe radical precursors which contain carbon and hydrogen but may contain other elemental constituents.
  • inert gas refers to any gas which does not form chemical bonds when etching or being incorporated into a film.
  • Exemplary inert gases include noble gases but may include other gases so long as no chemical bonds are formed when (typically) trace amounts are trapped in a film.

Abstract

A method of removing titanium nitride hardmask is described. The hardmask resides above a low-k dielectric layer prior to removal and the low-k dielectric layer retains a relatively low net dielectric constant after the removal process. The low-k dielectric layer may be part of a dual damascene structure having copper at the bottom of the vias. A non-porous carbon layer is deposited prior to the titanium nitride hardmask removal to protect the low-k dielectric layer and the copper. The titanium nitride hardmask and the non-porous carbon layer are removed with a gas-phase etch using plasma effluents formed in a remote plasma from a chlorine-containing precursor. Plasma effluents within the remote plasma are flowed into a substrate processing region where the plasma effluents react with the non-porous carbon layer and the titanium nitride.

Description

TITANIUM NITRIDE REMOVAL
FIELD
[0001] The subject matter herein relates to removing titanium nitride.
BACKGROUND
[0002] Integrated circuits are made possible by processes which produce intricately patterned material layers on substrate surfaces. Producing patterned material on a substrate requires controlled methods for removal of exposed material. Chemical etching is used for a variety of purposes including transferring a pattern in photoresist into underlying layers, thinning layers or thinning lateral dimensions of features already present on the surface. Often it is desirable to have an etch process which etches one material faster than another helping e.g. a pattern transfer process proceed. Such an etch process is said to be selective of the first material relative to the second material. As a result of the diversity of materials, circuits and processes, etch processes have been developed with a selectivity towards a variety of materials.
[0003] Dry etch processes are often desirable for selectively removing material from semiconductor substrates. The desirability stems from the ability to gently remove material from miniature structures with minimal physical disturbance. Dry etch processes also allow the etch rate to be abruptly stopped by removing the gas phase reagents. Some dry-etch processes involve the exposure of a substrate to remote plasma by-products formed from one or more precursors to achieve high etch selectivity. The high selectivities achieved enable novel process sequences. [0004] Methods are needed to broaden the process sequences which take advantage of the high etch selectivities afforded by these novel remote plasma dry etch processes.
SUMMARY
[0005] A method of removing titanium nitride hardmask is described. The hardmask resides above a low-k dielectric layer prior to removal and the low-k dielectric layer retains a relatively low net dielectric constant after the removal process. The low-k dielectric layer may be part of a dual damascene structure having copper at the bottom of the vias. A non-porous carbon layer is deposited prior to the titanium nitride hardmask removal to protect the low-k dielectric layer and the copper. The titanium nitride hardmask and the non-porous carbon layer are removed with a gas-phase etch using plasma effluents formed in a remote plasma from a chlorine-containing precursor. Plasma effluents within the remote plasma are flowed into a substrate processing region where the plasma effluents react with the non-porous carbon layer and the titanium nitride. [0006] Embodiments include methods of removing titanium nitride hardmasks. The methods include forming a carbon-containing layer over low-k dielectric walls over an underlying copper layer on a patterned substrate. The low-k dielectric walls form a trench and a via fluidly coupled to one another and the low-k dielectric walls are capped with titanium nitride hardmasks. The titanium nitride hardmasks overhang the low-k dielectric walls. The methods further include placing the patterned substrate in a substrate processing region of a substrate processing chamber. The methods further include flowing a radical-chlorine precursor and a radical-carbon- hydrogen precursor into the substrate processing region. The methods further include etching away the titanium nitride hardmasks and a portion of the carbon-containing layer leaving behind a remainder of the carbon-containing layer. The radical-chlorine precursor and the radical- carbon-hydrogen precursor are prevented from reacting with the underlying copper layer by the remainder of the carbon-containing layer. The methods further include removing the remainder of the carbon-containing layer.
[0007] A width of the via may be less than 50 nm. A width of the trench may be less than 70 nm. The methods may include an operation of filling the via and the trench with copper after the operation of removing the remainder of the carbon-containing layer. An electron temperature within the substrate processing region may be below 0.5 eV during the operation of etching away the titanium nitride hardmasks and the portion of the carbon-containing layer. A silicon carbon nitride layer may be disposed between the underlying copper layer and at least one of the low-k dielectric walls. The radical-chlorine precursor may be prevented from reacting with the low-k dielectric walls by the remainder of the carbon-containing layer. The carbon-containing layer may consist only of carbon, hydrogen and nitrogen.
[0008] Embodiments include methods of removing titanium nitride hardmasks. The methods include forming a carbon-containing layer over low-k dielectric walls over an underlying copper layer on a patterned substrate. The low-k dielectric walls form a gap and the patterned substrate further includes titanium nitride hardmasks above the low-k dielectric walls. One of the titanium nitride hardmasks is wider than an underlying supporting low-k dielectric wall. The methods further include placing the patterned substrate in a substrate processing region of a substrate processing chamber. The methods further include flowing a chlorine-containing precursor and a carbon-and-hydrogen-containing precursor into a remote plasma region fluidly coupled to the substrate processing region while forming a remote plasma in the remote plasma region to produce plasma effluents. The methods further include flowing the plasma effluents into the substrate processing region through through-holes in a showerhead disposed between the substrate processing region and the remote plasma region. The methods further include etching both the carbon-containing layer and the titanium nitride hardmasks with the plasma effluents leaving behind a remainder of the carbon-containing layer. The plasma effluents do not react with the underlying copper layer as a result of a presence of the remainder of the carbon- containing layer. The methods further include flowing an oxygen-containing precursor and a second carbon-and-hydrogen-containing precursor into the remote plasma region fluidly coupled to the substrate processing region while forming a second remote plasma in the remote plasma region to produce second plasma effluents. The methods further include flowing the second plasma effluents into the substrate processing region through through-holes in the showerhead. The methods further include etching away the remainder of the carbon-containing layer with the plasma effluents. The methods further include removing the remainder of the carbon-containing layer. [0009] The operation of etching both the carbon-containing layer and the titanium nitride hardmasks may remove the titanium nitride hardmasks. The substrate processing region is plasma-free during the operation of etching both the carbon-containing layer and the titanium nitride hardmasks. The methods may further include forming a local plasma in the substrate processing region to further excite the plasma effluents. [0010] Embodiments include methods of removing a hardmask. The methods include forming a conformal amorphous carbon-containing layer over a patterned substrate. The patterned substrate includes a trench and a via below the trench. The via is above an underlying copper layer. Sidewalls of the trench and the via include low-k dielectric walls and the sidewalls of the trench further include titanium nitride features. The titanium nitride features form a narrower gap at the top of the trench than a width of the trench between the low-k dielectric walls. The trench is fluidly coupled to the via. The methods further include etching back the conformal amorphous carbon-containing layer and removing the titanium nitride features leaving behind a remainder of the conformal amorphous carbon-containing layer. The remainder of the conformal amorphous carbon-containing layer completely covers both the underlying copper layer and the low-k dielectric walls so reactants cannot reach either the underlying copper layer or the low-k dielectric walls. The methods further include removing the remainder of the conformal amorphous carbon-containing layer. The hardmask may further includ silicon oxide features underlying the titanium nitride features and overlying the low-k dielectric walls.
[0011] Additional embodiments and features are set forth in part in the description that follows, and in part will become apparent to those skilled in the art upon examination of the specification or may be learned by the practice of the disclosed embodiments. The features and advantages of the disclosed embodiments may be realized and attained by means of the instrumentalities, combinations, and methods described in the specification. DESCRIPTION OF THE DRAWINGS
[0012] A further understanding of the nature and advantages of the embodiments may be realized by reference to the remaining portions of the specification and the drawings.
[0013] FIG. 1 is a flow chart of a titanium nitride hardmask removal process according to embodiments. [0014] FIGS. 2A, 2B, 2C and 2D show cross-sectional views of a device at stages of an exemplary titanium nitride hardmask removal process according to embodiments.
[0015] FIG. 3 A shows a schematic cross-sectional view of a substrate processing chamber according to embodiments.
[0016] FIG. 3B shows a schematic cross-sectional view of a portion of a substrate processing chamber according to embodiments.
[0017] FIG. 3C shows a bottom view of a showerhead according to embodiments.
[0018] FIG. 4 shows a top view of an exemplary substrate processing system according to embodiments. [0019] In the appended figures, similar components and/or features may have the same reference label. Further, various components of the same type may be distinguished by following the reference label by a dash and a second label that distinguishes among the similar
components. If only the first reference label is used in the specification, the description is applicable to any one of the similar components having the same first reference label irrespective of the second reference label.
DETAILED DESCRIPTION
[0020] A method of removing titanium nitride hardmask is described. The hardmask resides above a low-k dielectric layer prior to removal and the low-k dielectric layer retains a relatively low net dielectric constant after the removal process. The low-k dielectric layer may be part of a dual damascene structure having copper at the bottom of the vias. A non-porous carbon layer is deposited prior to the titanium nitride hardmask removal to protect the low-k dielectric layer and the copper. The titanium nitride hardmask and the non-porous carbon layer are removed with a gas-phase etch using plasma effluents formed in a remote plasma from a chlorine-containing precursor. Plasma effluents within the remote plasma are flowed into a substrate processing region where the plasma effluents react with the non-porous carbon layer and the titanium nitride.
[0021] Copper dual-damascene structures have been used for several decades and include two distinct patterns formed into a dielectric layer. The lower pattern may include via structures whereas the upper pattern may include a trench. The via and the trench are filled at the same time. A titanium nitride hardmask may be used for one or both of the patterning operations (the via and/or the trench). The removal of the titanium nitride hardmask is accomplished herein in a manner from the methods used previously. Past methods include removing the titanium nitride hardmask using chemical mechanical polishing but the overhang of the titanium nitride hardmask has already compromised the copper filling of the via/trench. Past methods further include removing the titanium nitride using the SCI cleaning solution prior to copper filling but the SCI solution can damage the exposed copper at the bottom of the via. The methods presented herein avoid both of these issues. [0022] To better understand and appreciate the embodiments described herein, reference is now made to FIG. 1 which is a titanium nitride hardmask removal process 101 according to embodiments. Concurrent reference will be made to FIGS. 2A, 2B, 2C and 2D which show cross-sectional views of a device at various stages of titanium nitride hardmask removal process 101. The portion of the device shown may be a back-end of the line (BEOL) interconnect portion of an integrated circuit during formation in embodiments. Prior to the first operation (FIG. 2A), an exposed titanium nitride layer is formed, patterned into titanium nitride hardmask 230, and used to pattern an underlying low-k dielectric layer 220 on the patterned substrate. Silicon carbon nitride layer 210 may be used to physically separate underlying copper layer 201 from low-k dielectric layer 220. Underlying copper layer 201 is located beneath the low-k dielectric layer and is exposed to the atmosphere through via and trench. Titanium nitride hardmask 230 may be physically separated from low-k dielectric layer 220 by an auxiliary hardmask to facilitate processing, though no such layer is shown in FIGS. 2A-2D. The auxiliary hardmask layer may be a silicon oxide hardmask in embodiments. "Top", "above" and "up" will be used herein to describe portions/directions perpendicularly distal from the substrate plane and further away from the major plane of the substrate in the perpendicular direction. "Vertical" will be used to describe items aligned in the "up" direction towards the "top". Other similar terms may be used whose meanings will now be clear.
[0023] A carbon-containing layer 240-1 is formed on the patterned substrate in operation 110, shown following formation in FIG. 2B. The carbon-containing layer may be nonconformal or conformal on the features of patterned substrate in embodiments. The carbon-containing layer may mostly fill gaps or completely fill gaps (as shown in FIG. 2B) in the low-k dielectric layer of the patterned substrate including the via and/or the trench in the example of FIGS. 1-2.
Carbon-containing layer 240-1 may be an amorphous carbon gapfill carbon-containing layer 240-1 having a higher density and lower porosity than, for example, organic carbon-containing layers in embodiments. The carbon-containing layer may inhibit diffusion of subsequently- introduced etchants and may therefore protect the integrity of low-k dielectric layer 220 and underlying copper layer 201 during processing. A silicon carbon nitride layer 210 may be positioned between underlying copper layer 201 and low-k dielectric layer 220 as shown in FIG.2A. Organic carbon-containing layers have been found to allow diffusion of etchants which increase the effective dielectric constant of low-k dielectric layer 220 and corrode underlying copper layer 201. Organic carbon-containing layers, such as organic planarization layers, retain chemical bonding geometries present in organic molecules and therefore allow diffusion when carbon-containing layer 240-1 inhibits or stops diffusion in embodiments. The carbon-containing layer may comprise or consist only of carbon, hydrogen and nitrogen in embodiments. [0024] A flow of chlorine (Cl2) is introduced into a plasma region separate from the processing region (operation 120). Other sources of chlorine may be used to augment or replace the chlorine. In general, a chlorine-containing precursor may be flowed into the plasma region, such as diatomic chlorine (Cl2), atomic chlorine, xenon dichloride or boron trichloride. The separate plasma region may be referred to as a remote plasma region herein and may be within a distinct module from the processing chamber or a compartment within the processing chamber. A carbon-and-hydrogen-containing precursor, such as methane, is also be flowed into the plasma region and excited along with the chlorine in a remote plasma. The plasma effluents formed in the remote plasma region are flowed into the substrate processing region (in operation 120 as well). [0025] Carbon-containing layer 240-1 and Titanium nitride hardmask 230 are concurrently etched back in operation 130. Etching operation 130 may be anisotropic or isotropic according to embodiments. Enough carbon-containing layer 240-2 should be retained to completely seal low- k dielectric layer 220 in embodiments. Sealing low-k dielectric layer 220 with carbon-containing layer 240-2 avoids any excessive increase in dielectric constant for low-k dielectric layer 220 in subsequent processing. The carbon-and-hydrogen-containing precursor is included to ensure concurrent removal of titanium nitride hardmask 230 and a portion of carbon-containing layer 240-1 to form 240-2. The carbon-and-hydrogen-containing precursor may also assist the removal of any oxidation on titanium nitride hardmask 230 (e.g. titanium oxide) according to
embodiments. [0026] FIG. 2C shows the patterned substrate following operation 130. Operation 130 may involve removal or complete removal of the titanium nitride hardmasks in embodiments. The selective etch of all examples disclosed herein may etch titanium nitride significantly faster than a variety of titanium-free dielectric materials which may include hafnium oxide (Hf02) or a silicon-containing material such as silicon (e.g. polysilicon), silicon oxide, low-K dielectric, silicon nitride or silicon carbon nitride according embodiments. Such a process may have broad- based utility, for example, the etch processes disclosed herein may be used to selectively remove titanium nitride from above a silicon-containing film stack after patterning. Carbon-containing layer 240-1 may be removed at this point (in operation 150) since the protection afforded by carbon-containing layer 240-1 may no longer be necessary at this point in the process. FIG. 2D shows the patterned substrate following operation 150.
[0027] Operation 150 may be effected by using the same substrate processing region and remote plasma region configuration used for operation 130. A flow of oxygen (02) is introduced into the remote plasma region (operation 140). Other sources of oxygen may be used to augment or replace the oxygen (02). In general, an oxygen-containing precursor may be flowed into the plasma region, such as oxygen (02), atomic oxygen, ozone (03) or moisture (H20). A carbon- and-hydrogen-containing precursor, such as methane, is also be flowed into the plasma region and excited along with the chlorine-containing precursor in a remote plasma. The plasma effluents formed in the remote plasma region are flowed into the substrate processing region (in operation 140 as well). Carbon-containing layer 240-2 is removed in operation 150. Low-k dielectric layer 220 is obviously exposed during a portion of operation 150 and a benefit of the embodiments presented herein include a reduction in any potential increase in dielectric constant. Including the carbon-and-hydrogen-containing precursor along with the oxygen-containing precursor has been found to beneficially limit the increase in dielectric constant of low-k dielectric layer 220 over oxygen-containing precursor alone. [0028] Operation 130 removes both titanium nitride and carbon-containing material at appreciable rates during the etching operation. Inclusion of the carbon-and-hydrogen-containing precursor may increase the etch rate of the titanium nitride to make etch operation 130 able to remove two materials at once instead of in series. The etch selectivity of titanium nitride to carbon-containing material may be near 1 : 1 or between 1 :2 and 2: 1 according to embodiments. Despite the lack of difference in etch rate of the titanium nitride and the carbon-containing material, the titanium nitride and the carbon-containing may both be etched selectively relative to other materials.
[0029] In both operations 130 and 150, the titanium nitride and/or carbon-containing material etch selectivity of the processes disclosed herein may be greater than or about 10: 1, greater than or about 20: 1, greater than or about 50: 1, or greater than or about 100: 1 for materials other than titanium nitride and carbon-containing in embodiments. Applying a bias power, but keeping the level low as recited shortly, may increase these already-elevated selectivities. The processes disclosed herein display etch selectivities of titanium nitride and/or carbon-containing material of carbon-containing layer 240-1 relative to a variety of specific materials. In practice, under conditions of low bias power in the substrate processing region local plasma, etch rates of many of these materials were so low as to be not accurately measurable. The etch selectivity of titanium nitride and/or carbon-containing material relative to silicon oxide may be greater than or about 100: 1, greater than or about 250: 1, greater than or about 500: 1 or greater than or about 1000: 1 in embodiments. Silicon oxide may be used as a hardmask layer between low-k dielectric layer 220 and titanium nitride hardmask 230. Low-k dielectric films and silicon carbon nitride films, such as Black Diamond III™ and Blok™ (both available from Applied Materials), respectively, displayed essentially unmeasurable etch rates. The etch selectivity of titanium nitride relative to silicon oxy carbide (e.g. Black Diamond III™) may be greater than or about 100: 1, greater than or about 250: 1, greater than or about 500: 1 or greater than or about 1000: 1 in embodiments. The etch selectivity of titanium nitride relative to silicon carbon nitride (e.g.
Blok™) may be greater than or about 100: 1, greater than or about 250: 1, greater than or about 500: 1 or greater than or about 1000: 1 according to embodiments.
[0030] The trench structures filled with the carbon-containing layer may be a dual-damascene structure including a via underlying a trench. The via may be a low aspect ratio gap as viewed from above. A via may appear, e.g., circular as viewed from above the patterned substrate laying flat. Vias may or may not be filled with metal in embodiments. The term "gap" refers to a trench and/or a via in a low-k dielectric described herein. The structure may be at the back end of the line which may result in larger dimensions depending on the device type. A width of the via may be less than 50 nm, less than 40 nm, less than 30 nm or less than 20 nm according to
embodiments. A width of the trench may be less than 70 nm, less than 50 nm, less than 40 nm or less than 30 nm in embodiments. The dimensions described herein apply to a dual-damascene structure or structures involving a single layer. An aspect ratio of the via may be about 1 : 1, as viewed from above, whereas an aspect ratio of the trench may be greater than 10: 1 since the trench is used to contain a conductor meant to electrically attach multiple vias. [0031] The carbon-and-hydrogen-containing precursor is included in operation 120-130 to help remove any titanium oxidation layer from atop the titanium nitride layer. The carbon-and- hydrogen-containing precursor is included in operations 140-150 to limit the increase in dielectric constant sustained by the low-k dielectric layer. The carbon-and-hydrogen-containing precursor may be methane (CH4) as in the example, but may also be a higher order hydrocarbon such as ethane (C2H6). In general, the carbon-and-hydrogen-containing precursor may include carbon and hydrogen and may consist only of carbon and hydrogen. The carbon-and-hydrogen- containing precursor may contain only single bonds in embodiments. A hydrocarbon with some multiple bonds may be used and hydrogen (H2) may be added to the remote plasma region as well, during the process, in order to adjust the H:C atomic flow ratio.
[0032] The flows of the chlorine-containing precursor, the oxygen-containing precursor and the carbon-and-hydrogen-containing precursor may further include one or more relatively inert gases such as He, N2, Ar. The inert gas can be used to improve plasma stability or process uniformity. Argon is helpful, as an additive, to promote the formation of a stable plasma. Process uniformity is generally increased when helium is included. These additives are present in embodiments throughout this specification. Flow rates and ratios of the different gases may be used to control etch rates and etch selectivity.
[0033] In the various processes described herein, the chlorine-containing precursor (e.g. Cl2) is supplied at a flow rate of between about 25 seem (standard cubic centimeters per minute) and 800 seem, the oxygen-containing precursor (e.g. 02) is supplied at a flow rate of between about 30 seem and 900 seem, the carbon-and-hydrogen-containing precursor (e.g. CH4) at a flow rate of between about 5 seem and 100 seem, He at a flow rate of between about 0 slm (standard liters per minute) and 3 slm, and Ar at a flow rate of between about 0 slm and 3 slm. One of ordinary skill in the art would recognize that other gases and/or flows may be used depending on a number of factors including processing chamber configuration, substrate size, geometry and layout of features being etched.
[0034] During etching operations 120-150, the substrate may be maintained may be between about -30°C and about 400°C in general. The temperature of the patterned substrate during etching operations 120-150 may be between -20°C and 350°C, -10°C and 250°C, between 0°C and 50°C or between 5°C and 20°C in embodiments. The pressure in the substrate processing region and the remote plasma region(s) during etching operations 120-150 may be between 0.1 Torr and 50 Torr, between 1 Torr and 15 Torr or between 5 Torr and 10 Torr in
embodiments. By maintaining the substrate temperature relatively low, such as below 10°C, and maintaining the process chamber at a pressure below 10 Torr, the etch selectivity may be enhanced through the suppression of the etch rate of the materials other than titanium nitride.
[0035] The method also includes applying energy to the chlorine-containing precursor and the carbon-and-hydrogen-containing precursor (operation 120) or to the oxygen-containing precursor and the carbon-and-hydrogen-containing precursor (operation 140) in the remote plasma region to generate the plasma effluents. As would be appreciated by one of ordinary skill in the art, the plasma may include a number of charged and neutral species including radicals and ions. The plasma in the remote plasma region (e.g. in the chamber plasma region) may be generated using known techniques (e.g., radio frequency excitations, capacitively-coupled power, inductively coupled power, etc.). In an embodiment, the energy is applied using a capacitively-coupled plasma unit. The remote plasma source power may be between about 40 watts and about 1500 watts, between about 100 watts and about 1200 watts, between about 250 watts and about 1000 watts, or between about 400 watts and about 800 watts in embodiments. The 400 watt to 800 watt range may optimize the selective removal of titanium nitride relative to a variety of other exposed materials including silicon-containing dielectric films as well as some metals and metal oxides which do not contain titanium. The capacitively-coupled plasma unit may be disposed remote from the substrate processing region but still within the substrate processing chamber. For example, the capacitively-coupled plasma unit and the plasma generation region may be separated from the gas reaction region by a showerhead. Alternatively, The remote plasma power may be applied to the remote plasma region at a level between 500 W and 10 kW for a remote plasma external to the substrate processing chamber. The remote plasma power may be applied using inductive coils, in embodiments, in which case the remote plasma will be referred to as an inductively-coupled plasma (ICP).
[0036] Plasma power may also be simultaneously applied (operations 120, 140) to both the remote plasma region and substrate processing region during etching processes described herein. The plasma in the chamber plasma region may be applied to create a higher concentration of neutral radicals entering substrate processing region. The local plasma in the substrate processing region may be biased relative to the substrate to apply a sputtering component. The local plasma in the substrate processing region may be referred to herein as a local plasma. The local plasma may be generated using the same techniques used to create the remote plasma. The local plasma power may be applied using a capacitively-coupled plasma unit by applying plasma power between plates above and below the substrate during etching. The local plasma power may be between about 5 watts and about 200 watts, between about 10 watts and about 150 watts, between about 15 watts and about 100 watts, or between about 20 watts and about 80 watts in embodiments. The local plasma power of the local plasma in the substrate processing region is selected to keep the ion energy low so the etch selectivity toward titanium nitride remains high. The local plasma power may be less than or about 20% of the remote plasma power, less than or about 15%) of the remote plasma power, or less than or about 10%> of the remote plasma power.
[0037] The local plasma is used, in embodiments, to facilitate removal of a titanium oxide layer which may be on top of the titanium nitride layer. The local plasma may be biased relative to the substrate to further assist removal of any titanium oxide layer by using a physical sputtering mechanism in addition to the chemical mechanism. A capacitively-coupled plasma power applied to plates parallel to the substrate may be inherently biased whereas other plasmas may require a separate local plasma bias power. Titanium oxide may require the sputtering assistance because the bonding is stronger in titanium oxide than in titanium nitride. The optional local plasma bias power may be between about 2 watts and about 100 watts, between about 3 watts and about 75 watts, between about 5 watts and about 60 watts, or between about 10 watts and about 50 watts in embodiments. The local plasma bias power is not included in the local plasma power so the total applied plasma power is the sum of these two quantities.
[0038] Despite the optional use of local plasma excitation, an ion suppressor (which may be the showerhead) may be used to provide radical and/or neutral species for gas-phase etching. The ion suppressor may also be referred to as an ion suppression element. In embodiments, for example, the ion suppressor is used to filter etching plasma effluents en route from the remote plasma region to the substrate processing region. The ion suppressor may be used to provide a reactive gas having a higher concentration of radicals than ions. Plasma effluents pass through the ion suppressor disposed between the remote plasma region and the substrate processing region. The ion suppressor functions to dramatically reduce or substantially eliminate ionic species traveling from the plasma generation region to the substrate. The ion suppressors described herein are simply one way to achieve a low electron temperature in the substrate processing region during the gas-phase etch processes described herein.
[0039] In embodiments, an electron beam is passed through the substrate processing region in a plane parallel to the substrate to reduce the electron temperature of the plasma effluents. A simpler showerhead may be used if an electron beam is applied in this manner. The electron beam may be passed as a laminar sheet disposed above the substrate in embodiments. The electron beam provides a source of neutralizing negative charge and provides a more active means for reducing the flow of positively charged ions towards the substrate and increasing the etch selectivity in embodiments. The flow of plasma effluents and various parameters governing the operation of the electron beam may be adjusted to lower the electron temperature measured in the substrate processing region.
[0040] The electron temperature may be measured using a Langmuir probe in the substrate processing region during excitation of a plasma in the remote plasma. The electron temperature may be less than 0.5 eV, less than 0.45 eV, less than 0.4 eV, or less than 0.35 eV. These extremely low values for the electron temperature are enabled by the presence of the electron beam, showerhead and/or the ion suppressor. Uncharged neutral and radical species may pass through the electron beam and/or the openings in the ion suppressor to react at the substrate. Such a process using radicals and other neutral species can reduce plasma damage compared to conventional plasma etch processes that include sputtering and bombardment. Embodiments are also advantageous over conventional wet etch processes where surface tension of liquids can cause bending and peeling of small features.
[0041] The substrate processing region may be described herein as "plasma-free" during the etch processes described herein. "Plasma-free" does not necessarily mean the region is devoid of plasma. Ionized species and free electrons created within the plasma region may travel through pores (apertures) in the partition (showerhead) at exceedingly small concentrations. The borders of the plasma in the chamber plasma region may encroach to some small degree upon the substrate processing region through the apertures in the showerhead. Furthermore, a low intensity plasma may be created in the substrate processing region without eliminating desirable features of the etch processes described herein. All causes for a plasma having much lower intensity ion density than the chamber plasma region during the creation of the excited plasma effluents do not deviate from the scope of "plasma-free" as used herein.
[0042] The examples described herein involve the preparation of a trench above a via in a dual-damascene structure. Generally speaking the structure may involve only one level and the low-k dielectric layer may have a trench and/or a via according to embodiments. The use of carbon-containing layer 240 avoids the requirement of leaving a portion of silicon carbon nitride layer 210 at the bottom of the gap to protect underlying copper layer 201. Using carbon- containing layer 240 to protect low-k dielectric layer 220 and underlying copper layer 201 may avoid distorting and ruining low-k structures according to embodiments. Generally speaking, underlying copper layer 201 may be any underlying conducting layer in embodiments. Following operation 150, the trench and the via may be filled with a conductor (e.g. copper) to complete the dual-damascene portion of a semiconductor manufacturing process.
[0043] FIG. 3 A shows a cross-sectional view of an exemplary substrate processing chamber 1001 with a partitioned plasma generation region within the processing chamber. During film etching, a process gas may be flowed into chamber plasma region 1015 through a gas inlet assembly 1005. A remote plasma system (RPS) 1002 may optionally be included in the system, and may process a first gas which then travels through gas inlet assembly 1005. The process gas may be excited within RPS 1002 prior to entering chamber plasma region 1015. Accordingly, the chlorine-containing precursor as discussed above, for example, may pass through RPS 1002 or bypass the RPS unit in embodiments.
[0044] A cooling plate 1003, faceplate 1017, ion suppressor 1023, showerhead 1025, and a substrate support 1065 (also known as a pedestal), having a substrate 1055 disposed thereon, are shown and may each be included according to embodiments. Pedestal 1065 may have a heat exchange channel through which a heat exchange fluid flows to control the temperature of the substrate. This configuration may allow the substrate 1055 temperature to be cooled or heated to maintain relatively low temperatures, such as between -20°C to 200°C. Pedestal 1065 may also be resistively heated to relatively high temperatures, such as between 100°C and 1100°C, using an embedded heater element.
[0045] Exemplary configurations may include having the gas inlet assembly 1005 open into a gas supply region 1058 partitioned from the chamber plasma region 1015 by faceplate 1017 so that the gases/species flow through the holes in the faceplate 1017 into the chamber plasma region 1015. Structural and operational features may be selected to prevent significant backflow of plasma from the chamber plasma region 1015 back into the supply region 1058, gas inlet assembly 1005, and fluid supply system 1010. The structural features may include the selection of dimensions and cross-sectional geometries of the apertures in faceplate 1017 to deactivate back-streaming plasma. The operational features may include maintaining a pressure difference between the gas supply region 1058 and chamber plasma region 1015 that maintains a substantially unidirectional flow of plasma through the showerhead 1025. The faceplate 1017, or a conductive top portion of the chamber, and showerhead 1025 are shown with an insulating ring 1020 located between the features, which allows an AC potential to be applied to the faceplate 1017 relative to showerhead 1025 and/or ion suppressor 1023. The insulating ring 1020 may be positioned between the faceplate 1017 and the showerhead 1025 and/or ion suppressor 1023 enabling a capacitively coupled plasma (CCP) to be formed in the chamber plasma region.
[0046] The plurality of holes in the ion suppressor 1023 may be configured to control the passage of the activated gas, i.e., the ionic, radical, and/or neutral species, through the ion suppressor 1023. For example, the aspect ratio of the holes, or the hole diameter to length, and/or the geometry of the holes may be controlled so that the flow of ionically-charged species in the activated gas passing through the ion suppressor 1023 is reduced. The holes in the ion suppressor 1023 may include a tapered portion that faces chamber plasma region 1015, and a cylindrical portion that faces the showerhead 1025. The cylindrical portion may be shaped and dimensioned to control the flow of ionic species passing to the showerhead 1025. An adjustable electrical bias may also be applied to the ion suppressor 1023 as an additional means to control the flow of ionic species through the suppressor. The ion suppression element 1023 may function to reduce or eliminate the amount of ionically charged species traveling from the plasma generation region to the substrate. Uncharged neutral and radical species may still pass through the openings in the ion suppressor to react with the substrate.
[0047] Plasma power can be of a variety of frequencies or a combination of multiple frequencies. In the exemplary processing system the plasma may be provided by plasma power delivered to faceplate 1017 relative to ion suppressor 1023 and/or showerhead 1025. The plasma power may be between about 10 watts and about 5000 watts, between about 100 watts and about 2000 watts, between about 200 watts and about 1500 watts, or between about 200 watts and about 1000 watts in embodiments. The RF frequency applied in the exemplary processing system may be low RF frequencies less than about 200 kHz, high RF frequencies between about 10 MHz and about 15 MHz, or microwave frequencies greater than or about 1 GHz in embodiments. The plasma power may be capacitively-coupled (CCP) or inductively-coupled (ICP) into the remote plasma region.
[0048] A precursor, for example a chlorine-containing precursor and a carbon-and-hydrogen- containing precursor, may be flowed into substrate processing region 1033 by embodiments of the showerhead described herein. Excited species derived from the process gas in chamber plasma region 1015 may travel through apertures in the ion suppressor 1023, and/or showerhead 1025 and react with an additional precursor flowing into substrate processing region 1033 from a separate portion of the showerhead. Alternatively, if all precursor species are being excited in chamber plasma region 1015, no additional precursors may be flowed through the separate portion of the showerhead. Little or no plasma may be present in substrate processing region 1033 during the remote plasma etch process in embodiments. Excited derivatives of the precursors may combine in the region above the substrate and/or on the substrate to etch structures or remove species from the substrate.
[0049] The processing gases may be excited in chamber plasma region 1015 and may be passed through the showerhead 1025 to substrate processing region 1033 in the excited state. A local plasma may be formed in substrate processing region 1033 concurrently with the remote plasma in the chamber plasma region 1015 as described. Alternatively, a plasma may not be generated in substrate processing region 1033 in embodiments.
[0050] FIG. 3B shows a detailed view of the features affecting the processing gas distribution through faceplate 1017. The gas distribution assemblies such as showerhead 1025 for use in the processing chamber section 1001 may be referred to as dual channel showerheads (DCSH) and are additionally detailed in the embodiments described in FIG. 3A as well as FIG. 3C herein. The dual channel showerhead may provide for etching processes that allow for separation of etchants outside of the processing region 1033 to provide limited interaction with chamber components and each other prior to being delivered into the processing region. [0051] The showerhead 1025 may comprise an upper plate 1014 and a lower plate 1016. The plates may be coupled with one another to define a volume 1018 between the plates. The coupling of the plates may be configured to provide first fluid channels 1019 through the upper and lower plates, and second fluid channels 1021 through the lower plate 1016. The formed channels may be configured to provide fluid access from the volume 1018 through the lower plate 1016 by way of second fluid channels 1021 alone, and the first fluid channels 1019 may be fluidly isolated from the volume 1018 between the plates and the second fluid channels 1021. The volume 1018 may be fluidly accessible through a side of the gas distribution assembly 1025. Although the exemplary system of FIGS. 3A-3C includes a dual-channel showerhead, it is understood that alternative distribution assemblies may be utilized that maintain first and second precursors fluidly isolated prior to substrate processing region 1033. For example, a perforated plate and tubes underneath the plate may be utilized, although other configurations may operate with reduced efficiency or not provide as uniform processing as the dual-channel showerhead described. [0052] In the embodiment shown, showerhead 1025 may distribute by way of first fluid channels 1019 process gases which contain plasma effluents upon excitation by a plasma in chamber plasma region 1015. In embodiments, the process gas introduced into RPS 1002 and/or chamber plasma region 1015 may contain chlorine, e.g., Cl2 and a carbon-and-hydrogen- containing precursor such as CH4. The process gas may also include a carrier gas such as helium, argon, nitrogen (N2), etc. Plasma effluents may include ionized or neutral derivatives of the process gas and may also be referred to herein as a radical-chlorine precursor referring to the atomic constituent of the process gas introduced.
[0053] FIG. 3C is a bottom view of a showerhead 1025 for use with a processing chamber in embodiments. Showerhead 1025 corresponds with the showerhead shown in FIG. 3 A. Through- holes 1031, which show a view of first fluid channels 1019, may have a plurality of shapes and configurations to control and modify the flow of precursors through the showerhead 1025. Small holes 1027, which show a view of second fluid channels 1021, may be distributed substantially evenly over the surface of the showerhead, even amongst the through-holes 1031, which may help to provide more even mixing of the precursors as they exit the showerhead than other configurations. [0054] Embodiments of the dry etch systems may be incorporated into larger fabrication systems for producing integrated circuit chips. FIG. 4 shows one such processing system
(mainframe) 1101 of deposition, etching, baking, and curing chambers in embodiments. In the figure, a pair of front opening unified pods (load lock chambers 1102) supply substrates of a variety of sizes that are received by robotic arms 1104 and placed into a low pressure holding area 1106 before being placed into one of the substrate processing chambers 1108a-f. A second robotic arm 1110 may be used to transport the substrate wafers from the holding area 1106 to the substrate processing chambers 1108a-f and back. Each substrate processing chamber 1108a-f, can be outfitted to perform a number of substrate processing operations including the dry etch processes described herein in addition to cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, orientation, and other substrate processes.
[0055] The showerhead may be referred to as a dual-channel showerhead as a result of the two distinct pathways into the substrate processing region. The chlorine-containing precursor and the carbon-and-hydrogen-containing precursor may be flowed through the through-holes in the dual- zone showerhead and auxiliary precursors may pass through separate zones in the dual-zone showerhead. The separate zones may open into the substrate processing region but not into the remote plasma region as described above.
[0056] Combined flow rates of precursors and plasma effluents into the substrate processing region may account for 0.05% to about 20% by volume of the overall gas mixture; the remainder being carrier gases. The chlorine-containing precursor and the carbon-and-hydrogen-containing precursor flowed into the remote plasma region but the plasma effluents has the same volumetric flow ratio, in embodiments. In the case of the chlorine-containing precursor, a purge or carrier gas may be first initiated into the remote plasma region before those of the chlorine-containing gas and the carbon-and-hydrogen-containing precursor to stabilize the pressure within the remote plasma region.
[0057] As used herein "substrate" may be a support substrate with or without layers formed thereon. The patterned substrate may be an insulator or a semiconductor of a variety of doping concentrations and profiles and may, for example, be a semiconductor substrate of the type used in the manufacture of integrated circuits. Exposed "silicon oxide" of the patterned substrate is predominantly Si02 but may include concentrations of other elemental constituents such as, e.g., nitrogen, hydrogen and carbon. In some embodiments, silicon oxide portions etched using the methods disclosed herein consist essentially of silicon and oxygen. Exposed "silicon nitride" of the patterned substrate is predominantly S13N4 but may include concentrations of other elemental constituents such as, e.g., oxygen, hydrogen and carbon. In some embodiments, silicon nitride portions described herein consist essentially of silicon and nitrogen. Exposed "titanium nitride" of the patterned substrate is predominantly titanium and nitrogen but may include concentrations of other elemental constituents such as, e.g., oxygen, hydrogen and carbon. In some
embodiments, titanium nitride portions described herein consist essentially of titanium and nitrogen. The amorphous carbon-containing film may include about 79% carbon, 20% hydrogen and 1%) nitrogen or may contain 75-83%) carbon, 18%-22% hydrogen and 0.3-2% hydrogen in embodiments. "Copper" of the patterned substrate is predominantly copper but may include concentrations of other elemental constituents such as, e.g., oxygen, hydrogen and carbon. In some embodiments, copper portions described herein consist essentially of copper. [0058] The term "gap" is used throughout with no implication that the etched geometry has a large horizontal aspect ratio. Viewed from above the surface, gaps may appear circular, oval, polygonal, rectangular, or a variety of other shapes. A "trench" is a long gap. A trench may be in the shape of a moat around an island of material whose aspect ratio is the length or
circumference of the moat divided by the width of the moat. As used herein, a conformal etch process refers to a generally uniform removal of material on a surface in the same shape as the surface, i.e., the surface of the etched layer and the pre-etch surface are generally parallel. A person having ordinary skill in the art will recognize that the etched interface likely cannot be 100%) conformal and thus the term "generally" allows for acceptable tolerances.
[0059] The term "precursor" is used to refer to any process gas which takes part in a reaction to either remove material from or deposit material onto a surface. "Plasma effluents" describe gas exiting from the chamber plasma region and entering the substrate processing region. Plasma effluents are in an "excited state" wherein at least some of the gas molecules are in vibrationally- excited, dissociated and/or ionized states. A "radical precursor" is used to describe plasma effluents (a gas in an excited state which is exiting a plasma) which participate in a reaction to either remove material from or deposit material on a surface. "Radical-chlorine precursors" describe radical precursors which contain chlorine but may contain other elemental constituents. "Radical-carbon-hydrogen precursors" describe radical precursors which contain carbon and hydrogen but may contain other elemental constituents. The phrase "inert gas" refers to any gas which does not form chemical bonds when etching or being incorporated into a film. Exemplary inert gases include noble gases but may include other gases so long as no chemical bonds are formed when (typically) trace amounts are trapped in a film.
[0060] Having disclosed several embodiments, it will be recognized by those of skill in the art that various modifications, alternative constructions, and equivalents may be used without departing from the spirit of the disclosed embodiments. Additionally, a number of well-known processes and elements have not been described to avoid unnecessarily obscuring the
embodiments described herein. Accordingly, the above description should not be taken as limiting the scope of the claims.
[0061] Where a range of values is provided, it is understood that each intervening value, to the tenth of the unit of the lower limit unless the context clearly dictates otherwise, between the upper and lower limits of that range is also specifically disclosed. Each smaller range between any stated value or intervening value in a stated range and any other stated or intervening value in that stated range is encompassed. The upper and lower limits of these smaller ranges may independently be included or excluded in the range, and each range where either, neither or both limits are included in the smaller ranges is also encompassed within the embodiments described, subject to any specifically excluded limit in the stated range. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are also included.
[0062] As used herein and in the appended claims, the singular forms "a", "an", and "the" include plural referents unless the context clearly dictates otherwise. Thus, for example, reference to "a process" includes a plurality of such processes and reference to "the dielectric material" includes reference to one or more dielectric materials and equivalents thereof known to those skilled in the art, and so forth.
[0063] Also, the words "comprise," "comprising," "include," "including," and "includes" when used in this specification and in the following claims are intended to specify the presence of stated features, integers, components, or steps, but they do not preclude the presence or addition of one or more other features, integers, components, steps, acts, or groups.

Claims

CLAIMS:
1. A method of removing titanium nitride hardmasks, the method comprising:
forming a carbon-containing layer over low-k dielectric walls over an underlying copper layer on a patterned substrate, wherein the low-k dielectric walls form a trench and a via fluidly coupled to one another and the low-k dielectric walls are capped with titanium nitride hardmasks, wherein the titanium nitride hardmasks overhang the low-k dielectric walls;
placing the patterned substrate in a substrate processing region of a substrate processing chamber;
flowing a radical-chlorine precursor and a radical-carbon-hydrogen precursor into the substrate processing region;
etching away the titanium nitride hardmasks and a portion of the carbon- containing layer leaving behind a remainder of the carbon-containing layer, wherein the radical- chlorine precursor and the radical-carbon-hydrogen precursor are prevented from reacting with the low-k dielectric walls or the underlying copper layer by the remainder of the carbon- containing layer; and
removing the remainder of the carbon-containing layer.
2. The method of claim 1 wherein a width of the via is less than 50 nm.
3. The method of claim 1 wherein a width of the trench is less than 70 nm.
4. The method of claim 1 further comprising an operation of filling the via and the trench with copper after the operation of removing the remainder of the carbon- containing layer.
5. The method of claim 1 wherein an electron temperature within the substrate processing region is below 0.5 eV during the operation of etching away the titanium nitride hardmasks and the portion of the carbon-containing layer.
6. The method of claim 1 wherein a silicon carbon nitride layer is disposed between the underlying copper layer and at least one of the low-k dielectric walls.
7. The method of claim 1 wherein the radical-chlorine precursor is prevented from reacting with the low-k dielectric walls by the remainder of the carbon-containing layer.
8. The method of claim 1 wherein the carbon-containing layer consists only of carbon, hydrogen and nitrogen.
9. A method of removing titanium nitride hardmasks, the method
comprising:
forming a carbon-containing layer over low-k dielectric walls over an underlying copper layer on a patterned substrate, wherein the low-k dielectric walls form a gap and the patterned substrate further comprises titanium nitride hardmasks above the low-k dielectric walls, wherein one of the titanium nitride hardmasks is wider than an underlying supporting low- k dielectric wall;
placing the patterned substrate in a substrate processing region of a substrate processing chamber;
flowing a chlorine-containing precursor and a carbon-and-hydrogen-containing precursor into a remote plasma region fluidly coupled to the substrate processing region while forming a remote plasma in the remote plasma region to produce plasma effluents;
flowing the plasma effluents into the substrate processing region through through- holes in a showerhead disposed between the substrate processing region and the remote plasma region;
etching both the carbon-containing layer and the titanium nitride hardmasks with the plasma effluents leaving behind a remainder of the carbon-containing layer, wherein the plasma effluents do not react with the underlying copper layer as a result of a presence of the remainder of the carbon-containing layer;
flowing an oxygen-containing precursor and a second carbon-and-hydrogen- containing precursor into the remote plasma region fluidly coupled to the substrate processing region while forming a second remote plasma in the remote plasma region to produce second plasma effluents;
flowing the second plasma effluents into the substrate processing region through through-holes in the showerhead; etching away the remainder of the carbon-containing layer with the plasma effluents; and
removing the remainder of the carbon-containing layer.
10. The method of claim 9 wherein the operation of etching both the carbon- containing layer and the titanium nitride hardmasks removes the titanium nitride hardmasks.
11. The method of claim 9 wherein the substrate processing region is plasma- free during the operation of etching both the carbon-containing layer and the titanium nitride hardmasks.
12. The method of claim 9 further comprising forming a local plasma in the substrate processing region to further excite the plasma effluents.
13. The method of claim 9 wherein the chlorine-containing precursor comprises a precursor selected from the group consisting of atomic chlorine, diatomic chlorine, boron trichloride, and xenon di chloride.
14. A method of removing a hardmask, the method comprising: forming a conformal amorphous carbon-containing layer over a patterned substrate, wherein the patterned substrate comprises a trench and a via below the trench, wherein the via is above an underlying copper layer, wherein sidewalls of the trench and the via comprise low-k dielectric walls and the sidewalls of the trench further comprise the hardmask comprising titanium nitride features, wherein the titanium nitride features form a narrower gap at the top of the trench than a width of the trench between the low-k dielectric walls, and wherein the trench is fluidly coupled to the via;
etching back the conformal amorphous carbon-containing layer and removing the titanium nitride features leaving behind a remainder of the conformal amorphous carbon- containing layer, wherein the remainder of the conformal amorphous carbon-containing layer completely covers both the underlying copper layer and the low-k dielectric walls so reactants cannot reach either the underlying copper layer or the low-k dielectric walls; and
removing the remainder of the conformal amorphous carbon-containing layer.
15. The method of claim 14 wherein the hardmask further comprises silicon oxide features underlying the titanium nitride features and overlying the low-k dielectric walls.
PCT/US2015/067272 2015-01-22 2015-12-22 Titanium nitride removal WO2016118279A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/603,018 US9373522B1 (en) 2015-01-22 2015-01-22 Titanium nitride removal
US14/603,018 2015-01-22

Publications (1)

Publication Number Publication Date
WO2016118279A1 true WO2016118279A1 (en) 2016-07-28

Family

ID=56118337

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2015/067272 WO2016118279A1 (en) 2015-01-22 2015-12-22 Titanium nitride removal

Country Status (3)

Country Link
US (1) US9373522B1 (en)
TW (1) TWI674628B (en)
WO (1) WO2016118279A1 (en)

Families Citing this family (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10636675B2 (en) 2017-09-27 2020-04-28 Applied Materials, Inc. Methods of etching metal-containing layers
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (en) 2018-02-28 2022-06-01 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11131919B2 (en) 2018-06-22 2021-09-28 International Business Machines Corporation Extreme ultraviolet (EUV) mask stack processing
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN116569311A (en) * 2020-07-19 2023-08-08 应用材料公司 Integrated process using boron doped silicon material

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001313282A (en) * 2000-04-28 2001-11-09 Nec Corp Method of dry etching
JP2006041039A (en) * 2004-07-23 2006-02-09 Nec Electronics Corp Manufacturing method of semiconductor device
JP2006066408A (en) * 2004-07-26 2006-03-09 Hitachi High-Technologies Corp Dry etching method
KR20060080509A (en) * 2005-01-05 2006-07-10 삼성전자주식회사 Method of fabricating semiconductor device having low-k dielectric layer
US20140273496A1 (en) * 2013-03-14 2014-09-18 Chia-Ling Kao Method of removing a metal hardmask

Family Cites Families (997)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2369620A (en) 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3451840A (en) 1965-10-06 1969-06-24 Us Air Force Wire coated with boron nitride and boron
US3969077A (en) 1971-12-16 1976-07-13 Varian Associates Alkali metal leak detection method and apparatus
US4397812A (en) 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US4632857A (en) 1974-05-24 1986-12-30 Richardson Chemical Company Electrolessly plated product having a polymetallic catalytic film underlayer
US4232060A (en) 1979-01-22 1980-11-04 Richardson Chemical Company Method of preparing substrate surface for electroless plating and products produced thereby
US4006047A (en) 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US3937857A (en) 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4265943A (en) 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4234628A (en) 1978-11-28 1980-11-18 The Harshaw Chemical Company Two-step preplate system for polymeric surfaces
US4214946A (en) 1979-02-21 1980-07-29 International Business Machines Corporation Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
IT1130955B (en) 1980-03-11 1986-06-18 Oronzio De Nora Impianti PROCEDURE FOR THE FORMATION OF ELECTROCES ON THE SURFACES OF SEMI-PERMEABLE MEMBRANES AND ELECTRODE-MEMBRANE SYSTEMS SO PRODUCED
NL8004005A (en) 1980-07-11 1982-02-01 Philips Nv METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE
US4381441A (en) 1980-10-30 1983-04-26 Western Electric Company, Inc. Methods of and apparatus for trimming film resistors
US4368223A (en) 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
DE3205345A1 (en) 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "METHOD FOR THE PRODUCTION OF FLUOREDOTED LIGHT-CONDUCTING FIBERS"
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
JPS591671A (en) 1982-05-28 1984-01-07 Fujitsu Ltd Plasma cvd device
JPS6060060A (en) 1983-09-12 1985-04-06 株式会社日立製作所 Switchgear for door of railway rolling stock
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4656052A (en) 1984-02-13 1987-04-07 Kyocera Corporation Process for production of high-hardness boron nitride film
US4807016A (en) 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4690746A (en) 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4715937A (en) 1986-05-05 1987-12-29 The Board Of Trustees Of The Leland Stanford Junior University Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5228501A (en) 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPS63204726A (en) 1987-02-20 1988-08-24 Anelva Corp Vacuum treatment device
US4868071A (en) 1987-02-24 1989-09-19 Polyonics Corporation Thermally stable dual metal coated laminate products made from textured polyimide film
US5322976A (en) 1987-02-24 1994-06-21 Polyonics Corporation Process for forming polyimide-metal laminates
KR910006164B1 (en) 1987-03-18 1991-08-16 가부시키가이샤 도시바 Making method and there device of thin film
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US4786360A (en) 1987-03-30 1988-11-22 International Business Machines Corporation Anisotropic etch process for tungsten metallurgy
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
EP0286306B1 (en) 1987-04-03 1993-10-06 Fujitsu Limited Method and apparatus for vapor deposition of diamond
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4753898A (en) 1987-07-09 1988-06-28 Motorola, Inc. LDD CMOS process
US4878994A (en) 1987-07-16 1989-11-07 Texas Instruments Incorporated Method for etching titanium nitride local interconnects
US4904621A (en) 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
US4820377A (en) 1987-07-16 1989-04-11 Texas Instruments Incorporated Method for cleanup processing chamber and vacuum process module
US4886570A (en) 1987-07-16 1989-12-12 Texas Instruments Incorporated Processing apparatus and method
US4857140A (en) 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride
US4838990A (en) 1987-07-16 1989-06-13 Texas Instruments Incorporated Method for plasma etching tungsten
US4828649A (en) 1987-07-16 1989-05-09 Texas Instruments Incorporated Method for etching an aluminum film doped with silicon
JPS6432627A (en) 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
US4810520A (en) 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
US5180435A (en) 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
US4991542A (en) 1987-10-14 1991-02-12 The Furukawa Electric Co., Ltd. Method of forming a thin film by plasma CVD and apapratus for forming a thin film
US4865685A (en) 1987-11-03 1989-09-12 North Carolina State University Dry etching of silicon carbide
US4981551A (en) 1987-11-03 1991-01-01 North Carolina State University Dry etching of silicon carbide
US4851370A (en) 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
US4904341A (en) 1988-08-22 1990-02-27 Westinghouse Electric Corp. Selective silicon dioxide etchant for superconductor integrated circuits
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
KR930004115B1 (en) 1988-10-31 1993-05-20 후지쓰 가부시끼가이샤 Ashing apparatus and treatment method thereof
US5030319A (en) 1988-12-27 1991-07-09 Kabushiki Kaisha Toshiba Method of oxide etching with condensed plasma reaction product
US4985372A (en) 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
JP2823276B2 (en) 1989-03-18 1998-11-11 株式会社東芝 Method for manufacturing X-ray mask and apparatus for controlling internal stress of thin film
US4946903A (en) 1989-03-27 1990-08-07 The Research Foundation Of State University Of Ny Oxyfluoropolymers having chemically reactive surface functionality and increased surface energies
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US5270125A (en) 1989-07-11 1993-12-14 Redwood Microsystems, Inc. Boron nutride membrane in wafer structure
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
US4994404A (en) 1989-08-28 1991-02-19 Motorola, Inc. Method for forming a lightly-doped drain (LDD) structure in a semiconductor device
US4980018A (en) 1989-11-14 1990-12-25 Intel Corporation Plasma etching process for refractory metal vias
EP0447155B1 (en) 1990-03-12 1995-07-26 Ngk Insulators, Ltd. Wafer heaters for use in semi-conductor-producing apparatus, heating units using such wafer heaters, and production of heaters
JP2960466B2 (en) 1990-03-19 1999-10-06 株式会社日立製作所 Method and apparatus for forming wiring insulating film of semiconductor device
US5089441A (en) 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5147692A (en) 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5235139A (en) 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
KR930011413B1 (en) 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 Plasma cvd method for using pulsed waveform
DE69116058T2 (en) 1990-09-27 1996-08-22 At & T Corp Process for manufacturing integrated circuits
JPH04142738A (en) 1990-10-04 1992-05-15 Sony Corp Dry-etching method
US5549780A (en) 1990-10-23 1996-08-27 Semiconductor Energy Laboratory Co., Ltd. Method for plasma processing and apparatus for plasma processing
JP2640174B2 (en) 1990-10-30 1997-08-13 三菱電機株式会社 Semiconductor device and manufacturing method thereof
JP3206916B2 (en) 1990-11-28 2001-09-10 住友電気工業株式会社 Method for reducing defect concentration, method for producing optical glass for transmitting ultraviolet light, and optical glass for transmitting ultraviolet light
US5578130A (en) 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
JP2697315B2 (en) 1991-01-23 1998-01-14 日本電気株式会社 Method of forming fluorine-containing silicon oxide film
JP2787142B2 (en) 1991-03-01 1998-08-13 上村工業 株式会社 Electroless tin, lead or their alloy plating method
US5897751A (en) 1991-03-11 1999-04-27 Regents Of The University Of California Method of fabricating boron containing coatings
EP0511448A1 (en) 1991-04-30 1992-11-04 International Business Machines Corporation Method and apparatus for in-situ and on-line monitoring of a trench formation process
JPH04341568A (en) 1991-05-16 1992-11-27 Toshiba Corp Method for forming thin film and device therefor
JP2699695B2 (en) 1991-06-07 1998-01-19 日本電気株式会社 Chemical vapor deposition
US5203911A (en) 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
US5240497A (en) 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
JPH05226480A (en) 1991-12-04 1993-09-03 Nec Corp Manufacture of semiconductor device
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5279669A (en) 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5300463A (en) 1992-03-06 1994-04-05 Micron Technology, Inc. Method of selectively etching silicon dioxide dielectric layers on semiconductor wafers
JP3084497B2 (en) 1992-03-25 2000-09-04 東京エレクトロン株式会社 Method for etching SiO2 film
JP2773530B2 (en) 1992-04-15 1998-07-09 日本電気株式会社 Method for manufacturing semiconductor device
JP2792335B2 (en) 1992-05-27 1998-09-03 日本電気株式会社 Method for manufacturing semiconductor device
KR100293830B1 (en) 1992-06-22 2001-09-17 리차드 에이치. 로브그렌 Plasma Purification Method for Removing Residues in Plasma Treatment Chamber
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP3688726B2 (en) 1992-07-17 2005-08-31 株式会社東芝 Manufacturing method of semiconductor device
US5380560A (en) 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5248371A (en) 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5326427A (en) 1992-09-11 1994-07-05 Lsi Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
US5306530A (en) 1992-11-23 1994-04-26 Associated Universities, Inc. Method for producing high quality thin layer films on substrates
JP2809018B2 (en) 1992-11-26 1998-10-08 日本電気株式会社 Semiconductor device and manufacturing method thereof
KR100238629B1 (en) 1992-12-17 2000-01-15 히가시 데쓰로 Stage having eletrostatic chuck and plasma processing apparatus using same
US5500249A (en) 1992-12-22 1996-03-19 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor deposition
US5756402A (en) 1992-12-28 1998-05-26 Kabushiki Kaisha Toshiba Method of etching silicon nitride film
US5624582A (en) 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5345999A (en) 1993-03-17 1994-09-13 Applied Materials, Inc. Method and apparatus for cooling semiconductor wafers
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
JP3236111B2 (en) 1993-03-31 2001-12-10 キヤノン株式会社 Plasma processing apparatus and processing method
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
JP2664866B2 (en) 1993-04-09 1997-10-22 インターナショナル・ビジネス・マシーンズ・コーポレイション Method for etching boron nitride
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
DE69432383D1 (en) 1993-05-27 2003-05-08 Applied Materials Inc Improvements in substrate holders suitable for use in chemical vapor deposition devices
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
US5413670A (en) 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5560779A (en) 1993-07-12 1996-10-01 Olin Corporation Apparatus for synthesizing diamond films utilizing an arc plasma
WO1995002900A1 (en) 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
DE69421465T2 (en) 1993-07-30 2000-02-10 Applied Materials Inc Process for the deposition of silicon nitride on silicon surfaces
US5483920A (en) 1993-08-05 1996-01-16 Board Of Governors Of Wayne State University Method of forming cubic boron nitride films
US5685946A (en) 1993-08-11 1997-11-11 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method of producing buried porous silicon-geramanium layers in monocrystalline silicon lattices
US5468597A (en) 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US5384284A (en) 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
SE501888C2 (en) 1993-10-18 1995-06-12 Ladislav Bardos A method and apparatus for generating a discharge in own vapor from a radio frequency electrode for continuous self-sputtering of the electrode
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5399237A (en) 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
US5451259A (en) 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5439553A (en) 1994-03-30 1995-08-08 Penn State Research Foundation Controlled etching of oxides via gas phase reactions
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US6110838A (en) 1994-04-29 2000-08-29 Texas Instruments Incorporated Isotropic polysilicon plus nitride stripping
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5580421A (en) 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
US5767373A (en) 1994-06-16 1998-06-16 Novartis Finance Corporation Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
TW344897B (en) 1994-11-30 1998-11-11 At&T Tcorporation A process for forming gate oxides possessing different thicknesses on a semiconductor substrate
US5772770A (en) 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
JPH08279495A (en) 1995-02-07 1996-10-22 Seiko Epson Corp Method and system for plasma processing
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US6039851A (en) 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
JPH08264510A (en) 1995-03-27 1996-10-11 Toshiba Corp Method and device for etching silicon nitride film
US5571577A (en) 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
US20010028922A1 (en) 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
JP3599204B2 (en) 1995-06-08 2004-12-08 アネルバ株式会社 CVD equipment
JP2814370B2 (en) 1995-06-18 1998-10-22 東京エレクトロン株式会社 Plasma processing equipment
US6197364B1 (en) 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US5755859A (en) 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
WO1997009737A1 (en) 1995-09-01 1997-03-13 Advanced Semiconductor Materials America, Inc. Wafer support system
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5716506A (en) 1995-10-06 1998-02-10 Board Of Trustees Of The University Of Illinois Electrochemical sensors for gas detection
US5635086A (en) 1995-10-10 1997-06-03 The Esab Group, Inc. Laser-plasma arc metal cutting apparatus
JPH09106899A (en) 1995-10-11 1997-04-22 Anelva Corp Plasma cvd device and method, and dry etching device and method
US5910340A (en) 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5648125A (en) 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US5846598A (en) 1995-11-30 1998-12-08 International Business Machines Corporation Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5733816A (en) 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US6261637B1 (en) 1995-12-15 2001-07-17 Enthone-Omi, Inc. Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
DE69608669T2 (en) 1995-12-19 2001-03-01 Fsi International Chaska APPLICATION OF METAL FILMS WITH SPRAY PROCESSOR
US5883012A (en) 1995-12-21 1999-03-16 Motorola, Inc. Method of etching a trench into a semiconductor substrate
US5679606A (en) 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
DE69623651T2 (en) 1995-12-27 2003-04-24 Lam Res Corp METHOD FOR FILLING TRENCHES ON A SEMICONDUCTOR DISC
WO1997024760A1 (en) 1995-12-28 1997-07-10 Nippon Sanso Corporation Method and device for transferring thin plate-like substrate
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5891513A (en) 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US6004884A (en) 1996-02-15 1999-12-21 Lam Research Corporation Methods and apparatus for etching semiconductor wafers
US5656093A (en) 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
KR20000005011A (en) 1996-03-25 2000-01-25 알만드 피. 뉴커만스 Apparatus and method for attaching an implantable hearing aid microactuator
US5858876A (en) 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
US5843847A (en) 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5846883A (en) 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5781693A (en) 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5888906A (en) 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds
US5747373A (en) 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd. Nitride-oxide sidewall spacer for salicide formation
US5846375A (en) 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5904827A (en) 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US5951776A (en) 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
KR100237825B1 (en) 1996-11-05 2000-01-15 윤종용 Pedestal in semiconductor chamber
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5935334A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5882786A (en) 1996-11-15 1999-03-16 C3, Inc. Gemstones formed of silicon carbide with diamond coating
US5830805A (en) 1996-11-18 1998-11-03 Cornell Research Foundation Electroless deposition equipment or apparatus and method of performing electroless deposition
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US5951896A (en) 1996-12-04 1999-09-14 Micro C Technologies, Inc. Rapid thermal processing heater technology and method of use
FR2756663B1 (en) 1996-12-04 1999-02-26 Berenguer Marc PROCESS FOR TREATING A SEMICONDUCTOR SUBSTRATE COMPRISING A SURFACE TREATMENT STEP
JPH10172792A (en) 1996-12-05 1998-06-26 Tokyo Electron Ltd Plasma processing device
US6312554B1 (en) 1996-12-05 2001-11-06 Applied Materials, Inc. Apparatus and method for controlling the ratio of reactive to non-reactive ions in a semiconductor wafer processing chamber
US5843538A (en) 1996-12-09 1998-12-01 John L. Raymond Method for electroless nickel plating of metal substrates
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5948702A (en) 1996-12-19 1999-09-07 Texas Instruments Incorporated Selective removal of TixNy
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
KR100234539B1 (en) 1996-12-24 1999-12-15 윤종용 Etching apparatus for semiconductor device
US5955037A (en) 1996-12-31 1999-09-21 Atmi Ecosys Corporation Effluent gas stream treatment system having utility for oxidation treatment of semiconductor manufacturing effluent gases
DE19700231C2 (en) 1997-01-07 2001-10-04 Geesthacht Gkss Forschung Device for filtering and separating flow media
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
JPH10223608A (en) 1997-02-04 1998-08-21 Sony Corp Manufacture of semiconductor device
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6013584A (en) 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6190233B1 (en) 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6479373B2 (en) 1997-02-20 2002-11-12 Infineon Technologies Ag Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6059643A (en) 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US5789300A (en) 1997-02-25 1998-08-04 Advanced Micro Devices, Inc. Method of making IGFETs in densely and sparsely populated areas of a substrate
US6376386B1 (en) 1997-02-25 2002-04-23 Fujitsu Limited Method of etching silicon nitride by a mixture of CH2 F2, CH3F or CHF3 and an inert gas
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
TW376547B (en) 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6030666A (en) 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
US5786276A (en) 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
JPH10284360A (en) 1997-04-02 1998-10-23 Hitachi Ltd Substrate temperature control equipment and method
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US6174450B1 (en) 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US6204200B1 (en) 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5872058A (en) 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US5885749A (en) 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US5933757A (en) 1997-06-23 1999-08-03 Lsi Logic Corporation Etch process selective to cobalt silicide for formation of integrated circuit structures
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6518155B1 (en) 1997-06-30 2003-02-11 Intel Corporation Device structure and method for reducing silicide encroachment
US6184121B1 (en) 1997-07-10 2001-02-06 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
JPH1136076A (en) 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd deposition apparatus and cvd deposition method
US6007635A (en) 1997-11-26 1999-12-28 Micro C Technologies, Inc. Platform for supporting a semiconductor substrate and method of supporting a substrate during rapid high temperature processing
US6090212A (en) 1997-08-15 2000-07-18 Micro C Technologies, Inc. Substrate platform for a semiconductor substrate during rapid high temperature processing and method of supporting a substrate
US5814365A (en) 1997-08-15 1998-09-29 Micro C Technologies, Inc. Reactor and method of processing a semiconductor substate
US5926737A (en) 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
US6364957B1 (en) 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
US6688375B1 (en) 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
GB9722028D0 (en) 1997-10-17 1997-12-17 Shipley Company Ll C Plating of polymers
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6136693A (en) 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6013191A (en) 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
US6063712A (en) 1997-11-25 2000-05-16 Micron Technology, Inc. Oxide etch and method of etching
US5849639A (en) 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
US6077780A (en) 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6083844A (en) 1997-12-22 2000-07-04 Lam Research Corporation Techniques for etching an oxide layer
US6406759B1 (en) 1998-01-08 2002-06-18 The University Of Tennessee Research Corporation Remote exposure of workpieces using a recirculated plasma
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US6635578B1 (en) 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US5932077A (en) 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6892669B2 (en) 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
JP4151862B2 (en) 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 CVD equipment
US6177222B1 (en) 1998-03-12 2001-01-23 Xerox Corporation Coated photographic papers
US6551939B2 (en) 1998-03-17 2003-04-22 Anneal Corporation Plasma surface treatment method and resulting device
US5920792A (en) 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6602434B1 (en) 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
JP2002510878A (en) 1998-04-02 2002-04-09 アプライド マテリアルズ インコーポレイテッド Method for etching a low-k dielectric
US6117245A (en) 1998-04-08 2000-09-12 Applied Materials, Inc. Method and apparatus for controlling cooling and heating fluids for a gas distribution plate
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6113771A (en) 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6179924B1 (en) 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6093594A (en) 1998-04-29 2000-07-25 Advanced Micro Devices, Inc. CMOS optimization method utilizing sacrificial sidewall spacer
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6218288B1 (en) 1998-05-11 2001-04-17 Micron Technology, Inc. Multiple step methods for forming conformal layers
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
KR100296137B1 (en) 1998-06-16 2001-08-07 박종섭 method for fabricating semiconductor device having HDP-CVD oxide layer as passivation layer
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
EP1112125B1 (en) 1998-06-30 2006-01-25 Semitool, Inc. Metallization structures for microelectronic applications and process for forming the structures
US6562128B1 (en) 2001-11-28 2003-05-13 Seh America, Inc. In-situ post epitaxial treatment process
US6037018A (en) 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6248429B1 (en) 1998-07-06 2001-06-19 Micron Technology, Inc. Metallized recess in a substrate
JP2000026975A (en) 1998-07-09 2000-01-25 Komatsu Ltd Surface treating device
US6063683A (en) 1998-07-27 2000-05-16 Acer Semiconductor Manufacturing, Inc. Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells
US6436816B1 (en) 1998-07-31 2002-08-20 Industrial Technology Research Institute Method of electroless plating copper on nitride barrier
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6440863B1 (en) 1998-09-04 2002-08-27 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming patterned oxygen containing plasma etchable layer
US6165912A (en) 1998-09-17 2000-12-26 Cfmt, Inc. Electroless metal deposition of electronic components in an enclosable vessel
US6037266A (en) 1998-09-28 2000-03-14 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
JP3725708B2 (en) 1998-09-29 2005-12-14 株式会社東芝 Semiconductor device
US6277733B1 (en) 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
JP3764594B2 (en) 1998-10-12 2006-04-12 株式会社日立製作所 Plasma processing method
US6180523B1 (en) 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6228758B1 (en) 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6251802B1 (en) 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6107199A (en) 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
JP3064268B2 (en) 1998-10-29 2000-07-12 アプライド マテリアルズ インコーポレイテッド Film forming method and apparatus
US6176198B1 (en) 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
US6462371B1 (en) 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6203863B1 (en) 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6251236B1 (en) 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6228233B1 (en) 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6015747A (en) 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6242349B1 (en) 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
EP1014434B1 (en) 1998-12-24 2008-03-26 ATMEL Germany GmbH Method for anisotropically plasma dry-etching a silicon nitride layer with a gas mixture containing fluorine
DE19901210A1 (en) 1999-01-14 2000-07-27 Siemens Ag Semiconductor component and method for its production
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
TW428256B (en) 1999-01-25 2001-04-01 United Microelectronics Corp Structure of conducting-wire layer and its fabricating method
JP3330554B2 (en) 1999-01-27 2002-09-30 松下電器産業株式会社 Etching method
US6245669B1 (en) 1999-02-05 2001-06-12 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
US6010962A (en) 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
US6291282B1 (en) 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6312995B1 (en) 1999-03-08 2001-11-06 Advanced Micro Devices, Inc. MOS transistor with assisted-gates and ultra-shallow “Psuedo” source and drain extensions for ultra-large-scale integration
US6197705B1 (en) 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US6238582B1 (en) 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
US6144099A (en) 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
JP2000290777A (en) 1999-04-07 2000-10-17 Tokyo Electron Ltd Gas treating device, buffle member, and gas treating method
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6110836A (en) 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6541671B1 (en) 2002-02-13 2003-04-01 The Regents Of The University Of California Synthesis of 2H- and 13C-substituted dithanes
JP3099066B1 (en) 1999-05-07 2000-10-16 東京工業大学長 Manufacturing method of thin film structure
JP3482904B2 (en) 1999-05-10 2004-01-06 松下電器産業株式会社 Plasma processing method and apparatus
US6323128B1 (en) 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
JP3320685B2 (en) 1999-06-02 2002-09-03 株式会社半導体先端テクノロジーズ Fine pattern forming method
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US20020033233A1 (en) 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6110530A (en) 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
FR2795555B1 (en) 1999-06-28 2002-12-13 France Telecom METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE INCLUDING AN ALTERNATIVELY STACKED LAYER OF SILICON AND LAYERS OF DIELECTRIC MATERIAL
US6277752B1 (en) 1999-06-28 2001-08-21 Taiwan Semiconductor Manufacturing Company Multiple etch method for forming residue free patterned hard mask layer
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6352081B1 (en) 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
US6258223B1 (en) 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6516815B1 (en) 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6351013B1 (en) 1999-07-13 2002-02-26 Advanced Micro Devices, Inc. Low-K sub spacer pocket formation for gate capacitance reduction
US6342733B1 (en) 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6281135B1 (en) 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6237527B1 (en) 1999-08-06 2001-05-29 Axcelis Technologies, Inc. System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
US6235643B1 (en) 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
CN100371491C (en) 1999-08-17 2008-02-27 东京电子株式会社 Pulsed plasma processing method and apparatus
JP4220075B2 (en) 1999-08-20 2009-02-04 東京エレクトロン株式会社 Film forming method and film forming apparatus
US6322716B1 (en) 1999-08-30 2001-11-27 Cypress Semiconductor Corp. Method for conditioning a plasma etch chamber
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6441492B1 (en) 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6548414B2 (en) 1999-09-14 2003-04-15 Infineon Technologies Ag Method of plasma etching thin films of difficult to dry etch materials
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6432819B1 (en) 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6423284B1 (en) 1999-10-18 2002-07-23 Advanced Technology Materials, Inc. Fluorine abatement using steam injection in oxidation treatment of semiconductor manufacturing effluent gases
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
KR100338768B1 (en) 1999-10-25 2002-05-30 윤종용 Method for removing oxide layer and semiconductor manufacture apparatus for removing oxide layer
US20010041444A1 (en) 1999-10-29 2001-11-15 Jeffrey A. Shields Tin contact barc for tungsten polished contacts
DE29919142U1 (en) 1999-10-30 2001-03-08 Agrodyn Hochspannungstechnik G Plasma nozzle
US6551924B1 (en) 1999-11-02 2003-04-22 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
JP3366301B2 (en) 1999-11-10 2003-01-14 日本電気株式会社 Plasma CVD equipment
US6599842B2 (en) 1999-11-29 2003-07-29 Applied Materials, Inc. Method for rounding corners and removing damaged outer surfaces of a trench
US6342453B1 (en) 1999-12-03 2002-01-29 Applied Materials, Inc. Method for CVD process control for enhancing device performance
US6277763B1 (en) 1999-12-16 2001-08-21 Applied Materials, Inc. Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen
AU2577001A (en) 1999-12-22 2001-07-03 Tokyo Electron Limited Method and system for reducing damage to substrates during plasma processing with a resonator source
US6350697B1 (en) 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
US6534809B2 (en) 1999-12-22 2003-03-18 Agilent Technologies, Inc. Hardmask designs for dry etching FeRAM capacitor stacks
US6238513B1 (en) 1999-12-28 2001-05-29 International Business Machines Corporation Wafer lift assembly
KR100767762B1 (en) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 A CVD semiconductor-processing device provided with a remote plasma source for self cleaning
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6494959B1 (en) 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
JP3723712B2 (en) 2000-02-10 2005-12-07 株式会社日立国際電気 Substrate processing apparatus and substrate processing method
US6743473B1 (en) 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6350320B1 (en) 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6391788B1 (en) 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
US6958098B2 (en) 2000-02-28 2005-10-25 Applied Materials, Inc. Semiconductor wafer support lift-pin assembly
JP3979791B2 (en) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
KR100350056B1 (en) 2000-03-09 2002-08-24 삼성전자 주식회사 Method of forming a self-aligned contact pad in a damascene gate process
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
JP3433721B2 (en) 2000-03-28 2003-08-04 ティーディーケイ株式会社 Dry etching method and fine processing method
JP4056195B2 (en) 2000-03-30 2008-03-05 株式会社ルネサステクノロジ Manufacturing method of semiconductor integrated circuit device
WO2001075188A2 (en) 2000-03-30 2001-10-11 Tokyo Electron Limited Method of and apparatus for gas injection
DE10016340C1 (en) 2000-03-31 2001-12-06 Promos Technologies Inc Fabrication of deep trench in semiconductor substrate during e.g., fabrication of deep-trench type capacitor utilizes plasma etching composition comprising hydrogen bromide, nitrogen fluoride, chlorine gas, and helium/oxygen gas mixture
US6558564B1 (en) 2000-04-05 2003-05-06 Applied Materials Inc. Plasma energy control by inducing plasma instability
JP2001355074A (en) 2000-04-10 2001-12-25 Sony Corp Electroless plating method, and apparatus thereof
US7892974B2 (en) 2000-04-11 2011-02-22 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
US6762129B2 (en) 2000-04-19 2004-07-13 Matsushita Electric Industrial Co., Ltd. Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
JP2001308023A (en) 2000-04-21 2001-11-02 Tokyo Electron Ltd Equipment and method for heat treatment
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP3662472B2 (en) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 Substrate surface treatment method
EP1211725A4 (en) 2000-05-10 2003-02-26 Ibiden Co Ltd Electrostatic chuck
US6679981B1 (en) 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
US6603269B1 (en) 2000-06-13 2003-08-05 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
US6509623B2 (en) 2000-06-15 2003-01-21 Newport Fab, Llc Microelectronic air-gap structures and methods of forming the same
US6391753B1 (en) 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming gate conductors
US6645550B1 (en) 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
US6427623B2 (en) 2000-06-23 2002-08-06 Anelva Corporation Chemical vapor deposition system
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
JP4371543B2 (en) 2000-06-29 2009-11-25 日本電気株式会社 Remote plasma CVD apparatus and film forming method
US6303418B1 (en) 2000-06-30 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer
US6440870B1 (en) 2000-07-12 2002-08-27 Applied Materials, Inc. Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
KR100366623B1 (en) 2000-07-18 2003-01-09 삼성전자 주식회사 Method for cleaning semiconductor substrate or LCD substrate
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6800830B2 (en) 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US6446572B1 (en) 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP4717295B2 (en) 2000-10-04 2011-07-06 株式会社半導体エネルギー研究所 Dry etching apparatus and etching method
US6461974B1 (en) 2000-10-06 2002-10-08 Lam Research Corporation High temperature tungsten etching process
DK200001497A (en) 2000-10-08 2002-04-09 Scanavo As Storage device for a data carrier
KR100375102B1 (en) 2000-10-18 2003-03-08 삼성전자주식회사 Method for CVD and apparatus for performing the same in semiconductor device processing
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6610362B1 (en) 2000-11-20 2003-08-26 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
KR100382725B1 (en) 2000-11-24 2003-05-09 삼성전자주식회사 Method of manufacturing semiconductor device in the clustered plasma apparatus
AUPR179500A0 (en) 2000-11-30 2000-12-21 Saintech Pty Limited Ion source
US6291348B1 (en) 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
US6544340B2 (en) 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US6448537B1 (en) 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US6500772B2 (en) 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
US20020124867A1 (en) 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
FR2819341B1 (en) 2001-01-11 2003-06-27 St Microelectronics Sa METHOD FOR INTEGRATING A DRAM CELL
US6879981B2 (en) 2001-01-16 2005-04-12 Corigin Ltd. Sharing live data with a non cooperative DBMS
JP4644943B2 (en) 2001-01-23 2011-03-09 東京エレクトロン株式会社 Processing equipment
US6743732B1 (en) 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
US6893969B2 (en) 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6348407B1 (en) * 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects
JP3924483B2 (en) 2001-03-19 2007-06-06 アイピーエス リミテッド Chemical vapor deposition equipment
JP5013353B2 (en) 2001-03-28 2012-08-29 隆 杉野 Film forming method and film forming apparatus
US7084070B1 (en) 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US20020177321A1 (en) 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
FR2823032B1 (en) 2001-04-03 2003-07-11 St Microelectronics Sa ELECTROMECHANICAL RESONATOR WITH VIBRATING BEAM
JP3707394B2 (en) 2001-04-06 2005-10-19 ソニー株式会社 Electroless plating method
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6914009B2 (en) 2001-05-07 2005-07-05 Applied Materials Inc Method of making small transistor lengths
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6717189B2 (en) 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
US6573606B2 (en) 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US6506291B2 (en) 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US20030010645A1 (en) 2001-06-14 2003-01-16 Mattson Technology, Inc. Barrier enhancement process for copper interconnects
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6596599B1 (en) 2001-07-16 2003-07-22 Taiwan Semiconductor Manufacturing Company Gate stack for high performance sub-micron CMOS devices
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
JP3914452B2 (en) 2001-08-07 2007-05-16 株式会社ルネサステクノロジ Manufacturing method of semiconductor integrated circuit device
CN1329972C (en) 2001-08-13 2007-08-01 株式会社荏原制作所 Semiconductor device, method for manufacturing the same, and plating solution
US20030038305A1 (en) 2001-08-21 2003-02-27 Wasshuber Christoph A. Method for manufacturing and structure of transistor with low-k spacer
US6753506B2 (en) 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
US6762127B2 (en) 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
US20030129106A1 (en) 2001-08-29 2003-07-10 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
US6796314B1 (en) 2001-09-07 2004-09-28 Novellus Systems, Inc. Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
US20030054608A1 (en) 2001-09-17 2003-03-20 Vanguard International Semiconductor Corporation Method for forming shallow trench isolation in semiconductor device
US6555467B2 (en) 2001-09-28 2003-04-29 Sharp Laboratories Of America, Inc. Method of making air gaps copper interconnect
US6656837B2 (en) 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
EP1302988A3 (en) 2001-10-12 2007-01-24 Bayer MaterialScience AG Photovoltaic modules with a thermoplastic adhesive layer and method for fabricating the same
US20030072639A1 (en) 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
JP3759895B2 (en) 2001-10-24 2006-03-29 松下電器産業株式会社 Etching method
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
KR100443121B1 (en) 2001-11-29 2004-08-04 삼성전자주식회사 Method for processing of semiconductor and apparatus for processing of semiconductor
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US6905968B2 (en) 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
KR20040066170A (en) 2001-12-13 2004-07-23 어플라이드 머티어리얼스, 인코포레이티드 Self-aligned contact etch with high sensitivity to nitride shoulder
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6605874B2 (en) 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
WO2003054912A1 (en) 2001-12-20 2003-07-03 Tokyo Electron Limited Method and apparatus comprising a magnetic filter for plasma processing a workpiece
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030116439A1 (en) 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
KR100484258B1 (en) 2001-12-27 2005-04-22 주식회사 하이닉스반도체 Method for fabricating semiconductor device
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6869880B2 (en) 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US7226504B2 (en) 2002-01-31 2007-06-05 Sharp Laboratories Of America, Inc. Method to form thick relaxed SiGe layer with trench structure
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US6821348B2 (en) 2002-02-14 2004-11-23 3M Innovative Properties Company In-line deposition processes for circuit fabrication
JP3921234B2 (en) 2002-02-28 2007-05-30 キヤノンアネルバ株式会社 Surface treatment apparatus and manufacturing method thereof
US20060252265A1 (en) 2002-03-06 2006-11-09 Guangxiang Jin Etching high-kappa dielectric materials with good high-kappa foot control and silicon recess control
US20030168174A1 (en) 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
US7256370B2 (en) 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
JP3813562B2 (en) 2002-03-15 2006-08-23 富士通株式会社 Semiconductor device and manufacturing method thereof
US6913651B2 (en) 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20030190426A1 (en) 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6616967B1 (en) 2002-04-15 2003-09-09 Texas Instruments Incorporated Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process
US6897532B1 (en) 2002-04-15 2005-05-24 Cypress Semiconductor Corp. Magnetic tunneling junction configuration and a method for making the same
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
KR100448714B1 (en) 2002-04-24 2004-09-13 삼성전자주식회사 Insulating layer in Semiconductor Device with Multi-nanolaminate Structure of SiNx and BN and Method for Forming the Same
US6528409B1 (en) 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6908862B2 (en) 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
TW538497B (en) 2002-05-16 2003-06-21 Nanya Technology Corp Method to form a bottle-shaped trench
US6825051B2 (en) 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
US6500728B1 (en) 2002-05-24 2002-12-31 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
KR100434110B1 (en) 2002-06-04 2004-06-04 삼성전자주식회사 Method of Manufacturing Semiconductor Device
KR101019190B1 (en) 2002-06-14 2011-03-04 세키스이가가쿠 고교가부시키가이샤 Oxide film forming method and oxide film forming apparatus
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
DE10229037A1 (en) 2002-06-28 2004-01-29 Robert Bosch Gmbh Device and method for producing chlorine trifluoride and plant for etching semiconductor substrates with this device
WO2004006303A2 (en) 2002-07-02 2004-01-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US6767844B2 (en) 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
US7357138B2 (en) 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US20040058293A1 (en) 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
US20060040055A1 (en) 2002-08-06 2006-02-23 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US20060046412A1 (en) 2002-08-06 2006-03-02 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US6921555B2 (en) 2002-08-06 2005-07-26 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
JP3861036B2 (en) 2002-08-09 2006-12-20 三菱重工業株式会社 Plasma CVD equipment
US7541270B2 (en) 2002-08-13 2009-06-02 Micron Technology, Inc. Methods for forming openings in doped silicon dioxide
US20040033677A1 (en) 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US6781173B2 (en) 2002-08-29 2004-08-24 Micron Technology, Inc. MRAM sense layer area control
US6946033B2 (en) 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
JP3991315B2 (en) 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 Thin film forming apparatus and method
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
KR100500852B1 (en) 2002-10-10 2005-07-12 최대규 Remote plasma generator
JP4606713B2 (en) 2002-10-17 2011-01-05 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US6699380B1 (en) 2002-10-18 2004-03-02 Applied Materials Inc. Modular electrochemical processing system
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US7628897B2 (en) 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6853043B2 (en) * 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
JP2004165317A (en) 2002-11-12 2004-06-10 Renesas Technology Corp Semiconductor device and its manufacturing method
KR100862658B1 (en) 2002-11-15 2008-10-10 삼성전자주식회사 Gas injection apparatus for semiconductor processing system
US6861332B2 (en) 2002-11-21 2005-03-01 Intel Corporation Air gap interconnect method
US6713873B1 (en) 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
US6720213B1 (en) 2003-01-15 2004-04-13 International Business Machines Corporation Low-K gate spacers by fluorine implantation
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US7078351B2 (en) 2003-02-10 2006-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist intensive patterning and processing
CN101457338B (en) 2003-02-14 2011-04-27 应用材料股份有限公司 Cleaning of native oxide with hydrogen-containing radicals
DE10308870B4 (en) 2003-02-28 2006-07-27 Austriamicrosystems Ag Bipolar transistor with improved base-emitter junction and method of manufacture
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
WO2004082007A1 (en) 2003-03-12 2004-09-23 Tokyo Electron Limited Substrate holding structure for semiconductor processing, and plasma processing device
US20040182315A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7037376B2 (en) 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
KR100789063B1 (en) 2003-04-11 2007-12-26 호야 가부시키가이샤 Method for etching chromium thin film and method for producing photomask
US7126225B2 (en) 2003-04-15 2006-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20040211357A1 (en) 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6903511B2 (en) 2003-05-06 2005-06-07 Zond, Inc. Generation of uniformly-distributed plasma
DE10320472A1 (en) 2003-05-08 2004-12-02 Kolektor D.O.O. Plasma treatment for cleaning copper or nickel
US6713835B1 (en) 2003-05-22 2004-03-30 International Business Machines Corporation Method for manufacturing a multi-level interconnect structure
JP4108633B2 (en) 2003-06-20 2008-06-25 シャープ株式会社 THIN FILM TRANSISTOR, MANUFACTURING METHOD THEREOF, AND ELECTRONIC DEVICE
US7067432B2 (en) 2003-06-26 2006-06-27 Applied Materials, Inc. Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
US7151277B2 (en) 2003-07-03 2006-12-19 The Regents Of The University Of California Selective etching of silicon carbide films
JP4245996B2 (en) 2003-07-07 2009-04-02 株式会社荏原製作所 Cap film forming method by electroless plating and apparatus used therefor
US7368392B2 (en) 2003-07-10 2008-05-06 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
US6995073B2 (en) 2003-07-16 2006-02-07 Intel Corporation Air gap integration
JP3866694B2 (en) 2003-07-30 2007-01-10 株式会社日立ハイテクノロジーズ LSI device etching method and apparatus
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
JP4239750B2 (en) 2003-08-13 2009-03-18 セイコーエプソン株式会社 Microlens and microlens manufacturing method, optical device, optical transmission device, laser printer head, and laser printer
US20050035455A1 (en) 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US7521000B2 (en) 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US6903031B2 (en) 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7282244B2 (en) 2003-09-05 2007-10-16 General Electric Company Replaceable plate expanded thermal plasma apparatus and method
US7030034B2 (en) 2003-09-18 2006-04-18 Micron Technology, Inc. Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
JP2005101141A (en) 2003-09-24 2005-04-14 Renesas Technology Corp Semiconductor integrated circuit device and its manufacturing method
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
US7071532B2 (en) 2003-09-30 2006-07-04 International Business Machines Corporation Adjustable self-aligned air gap dielectric for low capacitance wiring
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7125792B2 (en) * 2003-10-14 2006-10-24 Infineon Technologies Ag Dual damascene structure and method
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US7465358B2 (en) 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
JP2005129688A (en) 2003-10-23 2005-05-19 Hitachi Ltd Method of manufacturing semiconductor device
US7709392B2 (en) 2003-11-05 2010-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Low K dielectric surface damage control
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20050112876A1 (en) 2003-11-26 2005-05-26 Chih-Ta Wu Method to form a robust TiCI4 based CVD TiN film
US7081407B2 (en) 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US6893967B1 (en) 2004-01-13 2005-05-17 Advanced Micro Devices, Inc. L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials
WO2005072211A2 (en) * 2004-01-20 2005-08-11 Mattson Technology, Inc. System and method for removal of photoresist and residues following contact etch with a stop layer present
US20060033678A1 (en) 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US7291550B2 (en) 2004-02-13 2007-11-06 Chartered Semiconductor Manufacturing Ltd. Method to form a contact hole
JP4698251B2 (en) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド Movable or flexible shower head mounting
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20060051966A1 (en) 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20070123051A1 (en) 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4879159B2 (en) * 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド CVD process for amorphous carbon film deposition
US7196342B2 (en) 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
US7682985B2 (en) 2004-03-17 2010-03-23 Lam Research Corporation Dual doped polysilicon and silicon germanium etch
US7109521B2 (en) 2004-03-18 2006-09-19 Cree, Inc. Silicon carbide semiconductor structures including multiple epitaxial layers having sidewalls
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US7018941B2 (en) 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
TWI249774B (en) 2004-04-23 2006-02-21 Nanya Technology Corp Forming method of self-aligned contact for semiconductor device
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
KR20070009729A (en) 2004-05-11 2007-01-18 어플라이드 머티어리얼스, 인코포레이티드 Carbon-doped-si oxide etch using h2 additive in fluorocarbon etch chemistry
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
CN100594619C (en) 2004-05-21 2010-03-17 株式会社半导体能源研究所 Semiconductor device and its manufacturing method
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US7651583B2 (en) 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
US7226852B1 (en) * 2004-06-10 2007-06-05 Lam Research Corporation Preventing damage to low-k materials during resist stripping
US7122949B2 (en) 2004-06-21 2006-10-17 Neocera, Inc. Cylindrical electron beam generating/triggering device and method for generation of electrons
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US7097779B2 (en) 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer
JP2006049817A (en) 2004-07-07 2006-02-16 Showa Denko Kk Plasma treatment method and plasma etching method
KR100614648B1 (en) 2004-07-15 2006-08-23 삼성전자주식회사 Apparatus for treating substrates used in manufacturing semiconductor devices
KR100584485B1 (en) 2004-07-20 2006-05-29 동부일렉트로닉스 주식회사 Method for preventing metal corrosion of semiconductor devices
US7217626B2 (en) 2004-07-26 2007-05-15 Texas Instruments Incorporated Transistor fabrication methods using dual sidewall spacers
US7192863B2 (en) 2004-07-30 2007-03-20 Texas Instruments Incorporated Method of eliminating etch ridges in a dual damascene process
JP5519105B2 (en) 2004-08-02 2014-06-11 ビーコ・インストゥルメンツ・インコーポレイテッド Chemical vapor deposition method and gas supply system for chemical vapor deposition reactor
US20060024954A1 (en) 2004-08-02 2006-02-02 Zhen-Cheng Wu Copper damascene barrier and capping layer
US20060043066A1 (en) 2004-08-26 2006-03-02 Kamp Thomas A Processes for pre-tapering silicon or silicon-germanium prior to etching shallow trenches
US20060042752A1 (en) 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7329576B2 (en) 2004-09-02 2008-02-12 Micron Technology, Inc. Double-sided container capacitors using a sacrificial layer
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7053003B2 (en) 2004-10-27 2006-05-30 Lam Research Corporation Photoresist conditioning with hydrogen ramping
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US20060097397A1 (en) 2004-11-10 2006-05-11 Russell Stephen W Method for forming a dual layer, low resistance metallization during the formation of a semiconductor device
US7618515B2 (en) 2004-11-15 2009-11-17 Tokyo Electron Limited Focus ring, plasma etching apparatus and plasma etching method
US7256121B2 (en) 2004-12-02 2007-08-14 Texas Instruments Incorporated Contact resistance reduction by new barrier stack process
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7365016B2 (en) 2004-12-27 2008-04-29 Dalsa Semiconductor Inc. Anhydrous HF release of process for MEMS devices
US7253123B2 (en) 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US7341943B2 (en) 2005-02-08 2008-03-11 Taiwan Semiconductor Manufacturing Co., Ltd. Post etch copper cleaning using dry plasma
JP4475136B2 (en) 2005-02-18 2010-06-09 東京エレクトロン株式会社 Processing system, pre-processing apparatus and storage medium
US7344912B1 (en) * 2005-03-01 2008-03-18 Spansion Llc Method for patterning electrically conducting poly(phenyl acetylene) and poly(diphenyl acetylene)
JP4506677B2 (en) 2005-03-11 2010-07-21 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
JP2006261217A (en) 2005-03-15 2006-09-28 Canon Anelva Corp Method of forming thin film
US20060251801A1 (en) 2005-03-18 2006-11-09 Weidman Timothy W In-situ silicidation metallization process
US20060246217A1 (en) 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
US20060210723A1 (en) 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
KR100610465B1 (en) 2005-03-25 2006-08-08 주식회사 하이닉스반도체 Method for fabricating semiconductor device
US7442274B2 (en) 2005-03-28 2008-10-28 Tokyo Electron Limited Plasma etching method and apparatus therefor
KR100689826B1 (en) 2005-03-29 2007-03-08 삼성전자주식회사 High density plasma chemical vapor deposition methods using a fluorine-based chemical etching gas and methods of fabricating a semiconductor device employing the same
US20060228889A1 (en) 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
US7431856B2 (en) 2005-05-18 2008-10-07 National Research Council Of Canada Nano-tip fabrication by spatially controlled etching
US20060266288A1 (en) 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
JP4853857B2 (en) 2005-06-15 2012-01-11 東京エレクトロン株式会社 Substrate processing method, computer-readable recording medium, and substrate processing apparatus
JP4554461B2 (en) 2005-07-26 2010-09-29 株式会社日立ハイテクノロジーズ Manufacturing method of semiconductor device
DE102006038885B4 (en) 2005-08-24 2013-10-10 Wonik Ips Co., Ltd. Method for depositing a Ge-Sb-Te thin film
US20070056925A1 (en) 2005-09-09 2007-03-15 Lam Research Corporation Selective etch of films with high dielectric constant with H2 addition
US20070071888A1 (en) 2005-09-21 2007-03-29 Arulkumar Shanmugasundram Method and apparatus for forming device features in an integrated electroless deposition system
DE102005047081B4 (en) 2005-09-30 2019-01-31 Robert Bosch Gmbh Process for the plasma-free etching of silicon with the etching gas ClF3 or XeF2
US8102123B2 (en) 2005-10-04 2012-01-24 Topanga Technologies, Inc. External resonator electrode-less plasma lamp and method of exciting with radio-frequency energy
KR100703014B1 (en) 2005-10-26 2007-04-06 삼성전자주식회사 Silicon oxide etching solution and method of manufacturing a semiconductor device using the same
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
EP1780779A3 (en) 2005-10-28 2008-06-11 Interuniversitair Microelektronica Centrum ( Imec) A plasma for patterning advanced gate stacks
US20070128862A1 (en) 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US20070107750A1 (en) 2005-11-14 2007-05-17 Sawin Herbert H Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
JP4918778B2 (en) 2005-11-16 2012-04-18 株式会社日立製作所 Manufacturing method of semiconductor integrated circuit device
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
US7405160B2 (en) 2005-12-13 2008-07-29 Tokyo Electron Limited Method of making semiconductor device
US7449538B2 (en) 2005-12-30 2008-11-11 Hynix Semiconductor Inc. Hard mask composition and method for manufacturing semiconductor device
JP2007191792A (en) 2006-01-19 2007-08-02 Atto Co Ltd Gas separation type showerhead
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
KR100752622B1 (en) 2006-02-17 2007-08-30 한양대학교 산학협력단 Apparatus for generating remote plasma
EP2001864A1 (en) 2006-03-16 2008-12-17 Novartis Pharma AG Heterocyclic organic compounds for the treatment of in particular melanoma
WO2007112454A2 (en) 2006-03-28 2007-10-04 Stratusys Inc. Apparatus and method for processing substrates using one or more vacuum transfer chamber units
US8343280B2 (en) 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
US7906032B2 (en) 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
JP5042517B2 (en) 2006-04-10 2012-10-03 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
CN100539080C (en) 2006-04-12 2009-09-09 中芯国际集成电路制造(上海)有限公司 Form the method for multi-crystal silicon floating bar structure by autoregistration
US7297564B1 (en) 2006-05-02 2007-11-20 Sharp Laboratories Of America, Inc. Fabrication of vertical sidewalls on (110) silicon substrates for use in Si/SiGe photodetectors
US7601607B2 (en) 2006-05-15 2009-10-13 Chartered Semiconductor Manufacturing, Ltd. Protruded contact and insertion of inter-layer-dielectric material to match damascene hardmask to improve undercut for low-k interconnects
JP5578389B2 (en) 2006-05-16 2014-08-27 Nltテクノロジー株式会社 Laminated film pattern forming method and gate electrode forming method
US20070266946A1 (en) 2006-05-22 2007-11-22 Byung-Chul Choi Semiconductor device manufacturing apparatus and method of using the same
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070277734A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7932181B2 (en) 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7618889B2 (en) * 2006-07-18 2009-11-17 Applied Materials, Inc. Dual damascene fabrication with low k materials
US20080124937A1 (en) 2006-08-16 2008-05-29 Songlin Xu Selective etching method and apparatus
KR100818708B1 (en) 2006-08-18 2008-04-01 주식회사 하이닉스반도체 Semiconductor device manufacturing method including cleaning surface layer
US7575007B2 (en) 2006-08-23 2009-08-18 Applied Materials, Inc. Chamber recovery after opening barrier over copper
US20080063810A1 (en) 2006-08-23 2008-03-13 Applied Materials, Inc. In-situ process state monitoring of chamber
US8110787B1 (en) 2006-08-23 2012-02-07 ON Semiconductor Trading, Ltd Image sensor with a reflective waveguide
US7452766B2 (en) 2006-08-31 2008-11-18 Micron Technology, Inc. Finned memory cells and the fabrication thereof
US20080075668A1 (en) 2006-09-27 2008-03-27 Goldstein Alan H Security Device Using Reversibly Self-Assembling Systems
CN101153396B (en) 2006-09-30 2010-06-09 中芯国际集成电路制造(上海)有限公司 Plasma etching method
JP2008103645A (en) 2006-10-20 2008-05-01 Toshiba Corp Production method of semiconductor device
US20080099147A1 (en) 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
JP2008109043A (en) 2006-10-27 2008-05-08 Oki Electric Ind Co Ltd Semiconductor device manufacturing method and semiconductor device
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080102640A1 (en) 2006-10-30 2008-05-01 Applied Materials, Inc. Etching oxide with high selectivity to titanium nitride
US7880232B2 (en) 2006-11-01 2011-02-01 Micron Technology, Inc. Processes and apparatus having a semiconductor fin
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
WO2008073906A2 (en) 2006-12-11 2008-06-19 Applied Materials, Inc. Dry photoresist stripping process and apparatus
TWM318795U (en) 2006-12-18 2007-09-11 Lighthouse Technology Co Ltd Package structure
US20100059889A1 (en) 2006-12-20 2010-03-11 Nxp, B.V. Adhesion of diffusion barrier on copper-containing interconnect element
JP5229711B2 (en) 2006-12-25 2013-07-03 国立大学法人名古屋大学 Pattern forming method and semiconductor device manufacturing method
US20080157225A1 (en) 2006-12-29 2008-07-03 Suman Datta SRAM and logic transistors with variable height multi-gate transistor architecture
KR20080063988A (en) 2007-01-03 2008-07-08 삼성전자주식회사 Etching apparatus using neutral beam
JP4421618B2 (en) 2007-01-17 2010-02-24 東京エレクトロン株式会社 Manufacturing method of fin-type field effect transistor
US7728364B2 (en) 2007-01-19 2010-06-01 International Business Machines Corporation Enhanced mobility CMOS transistors with a V-shaped channel with self-alignment to shallow trench isolation
KR100878015B1 (en) 2007-01-31 2009-01-13 삼성전자주식회사 Method for removing of oxides and method for filling a trench using the same
US20080202892A1 (en) 2007-02-27 2008-08-28 Smith John M Stacked process chambers for substrate vacuum processing tool
KR100853485B1 (en) 2007-03-19 2008-08-21 주식회사 하이닉스반도체 Method for manufacturing semiconductor device with recess gate
US20080233709A1 (en) 2007-03-22 2008-09-25 Infineon Technologies North America Corp. Method for removing material from a semiconductor
US7815814B2 (en) 2007-03-23 2010-10-19 Tokyo Electron Limited Method and system for dry etching a metal nitride
US8419854B2 (en) 2007-04-17 2013-04-16 Ulvac, Inc. Film-forming apparatus
JP5135879B2 (en) 2007-05-21 2013-02-06 富士電機株式会社 Method for manufacturing silicon carbide semiconductor device
KR100777043B1 (en) 2007-05-22 2007-11-16 주식회사 테스 Method of forming an amorphous carbon film and method of manufacturing semiconductor device using the same
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
US7585716B2 (en) 2007-06-27 2009-09-08 International Business Machines Corporation High-k/metal gate MOSFET with reduced parasitic capacitance
KR100877107B1 (en) 2007-06-28 2009-01-07 주식회사 하이닉스반도체 Method for fabricating interlayer dielectric in semiconductor device
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
DE102007033685A1 (en) 2007-07-19 2009-01-22 Robert Bosch Gmbh A method of etching a layer on a silicon semiconductor substrate
US8008166B2 (en) 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
TWI366875B (en) 2007-08-31 2012-06-21 Tokyo Electron Ltd Method of manufacturing semiconductor device
JP5347294B2 (en) 2007-09-12 2013-11-20 東京エレクトロン株式会社 Film forming apparatus, film forming method, and storage medium
US7781332B2 (en) 2007-09-19 2010-08-24 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer
US8313610B2 (en) 2007-09-25 2012-11-20 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US7838361B2 (en) 2007-09-28 2010-11-23 Hynix Semiconductor Inc. Method for fabricating recess gate in semiconductor device
US8298931B2 (en) 2007-09-28 2012-10-30 Sandisk 3D Llc Dual damascene with amorphous carbon for 3D deep via/trench application
US20090084317A1 (en) 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
JP2011500961A (en) 2007-10-11 2011-01-06 バレンス プロセス イクウィップメント,インコーポレイテッド Chemical vapor deposition reactor
US7838390B2 (en) 2007-10-12 2010-11-23 Samsung Electronics Co., Ltd. Methods of forming integrated circuit devices having ion-cured electrically insulating layers therein
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8252696B2 (en) 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
CN101855706A (en) 2007-11-08 2010-10-06 朗姆研究公司 Pitch reduction using oxide spacer
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US7704849B2 (en) 2007-12-03 2010-04-27 Micron Technology, Inc. Methods of forming trench isolation in silicon of a semiconductor substrate by plasma
MX2010005945A (en) 2007-12-04 2011-03-03 Parabel Ag Multilayer solar element.
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US8512509B2 (en) 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090170331A1 (en) 2007-12-27 2009-07-02 International Business Machines Corporation Method of forming a bottle-shaped trench by ion implantation
US7910477B2 (en) 2007-12-28 2011-03-22 Texas Instruments Incorporated Etch residue reduction by ash methodology
US8018023B2 (en) 2008-01-14 2011-09-13 Kabushiki Kaisha Toshiba Trench sidewall protection by a carbon-rich layer in a semiconductor device
TW200933812A (en) 2008-01-30 2009-08-01 Promos Technologies Inc Process for forming trench isolation structure and semiconductor device produced thereby
US20090194810A1 (en) 2008-01-31 2009-08-06 Masahiro Kiyotoshi Semiconductor device using element isolation region of trench isolation structure and manufacturing method thereof
DE102008016425B4 (en) * 2008-03-31 2015-11-19 Advanced Micro Devices, Inc. A method of patterning a metallization layer by reducing degradation of the dielectric material caused by resist removal
US20090258162A1 (en) 2008-04-12 2009-10-15 Applied Materials, Inc. Plasma processing apparatus and method
JP2009266952A (en) 2008-04-23 2009-11-12 Seiko Epson Corp Method for manufacturing and manufacturing apparatus for device
US7977246B2 (en) 2008-04-25 2011-07-12 Applied Materials, Inc. Thermal annealing method for preventing defects in doped silicon oxide surfaces during exposure to atmosphere
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US20090275206A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277874A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US8277670B2 (en) 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
KR100998011B1 (en) 2008-05-22 2010-12-03 삼성엘이디 주식회사 Chemical vapor deposition apparatus
DE102008026134A1 (en) 2008-05-30 2009-12-17 Advanced Micro Devices, Inc., Sunnyvale Microstructure device with a metallization structure with self-aligned air gaps between dense metal lines
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
JP4473344B2 (en) 2008-07-15 2010-06-02 キヤノンアネルバ株式会社 Plasma processing method and plasma processing apparatus
US8268729B2 (en) 2008-08-21 2012-09-18 International Business Machines Corporation Smooth and vertical semiconductor fin structure
KR100997502B1 (en) 2008-08-26 2010-11-30 금호석유화학 주식회사 Organic antireflective protecting composition layer containing ring-opening phthalic anhydride and synthesis method thereof
KR101025741B1 (en) 2008-09-02 2011-04-04 주식회사 하이닉스반도체 Method for forming active pillar of vertical channel transistor
US8871645B2 (en) 2008-09-11 2014-10-28 Applied Materials, Inc. Semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof
US7709396B2 (en) 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
US7968441B2 (en) 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US7928003B2 (en) * 2008-10-10 2011-04-19 Applied Materials, Inc. Air gap interconnects using carbon-based films
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US20100099263A1 (en) 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20100101727A1 (en) 2008-10-27 2010-04-29 Helin Ji Capacitively coupled remote plasma source with large operating pressure range
JP5396065B2 (en) 2008-10-28 2014-01-22 株式会社日立製作所 Manufacturing method of semiconductor device
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
JP2010154699A (en) 2008-12-26 2010-07-08 Hitachi Ltd Magnetic flux variable type rotating electrical machine
KR101587601B1 (en) 2009-01-14 2016-01-25 삼성전자주식회사 Method for fabricating nonvolatile memory devices
US20100187694A1 (en) 2009-01-28 2010-07-29 Chen-Hua Yu Through-Silicon Via Sidewall Isolation Structure
KR20100087915A (en) 2009-01-29 2010-08-06 삼성전자주식회사 Semiconductor memory device with cylinder type storage node and method of fabricating the same
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
JP5210191B2 (en) 2009-02-03 2013-06-12 東京エレクトロン株式会社 Silicon nitride film dry etching method
US8148749B2 (en) 2009-02-19 2012-04-03 Fairchild Semiconductor Corporation Trench-shielded semiconductor device
US9378930B2 (en) 2009-03-05 2016-06-28 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
US20110124144A1 (en) 2009-03-17 2011-05-26 Roth & Rau Ag Substrate processing system and substrate processing method
KR101539699B1 (en) 2009-03-19 2015-07-27 삼성전자주식회사 Three dimensional nonvolatile memory device and method for forming the same
US8193075B2 (en) 2009-04-20 2012-06-05 Applied Materials, Inc. Remote hydrogen plasma with ion filter for terminating silicon dangling bonds
JP5822823B2 (en) 2009-04-21 2015-11-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated CVD apparatus to improve film thickness non-uniformity and particle performance
US8492292B2 (en) 2009-06-29 2013-07-23 Applied Materials, Inc. Methods of forming oxide layers on substrates
JP5777615B2 (en) 2009-07-15 2015-09-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Flow control mechanism of CVD chamber
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9653353B2 (en) 2009-08-04 2017-05-16 Novellus Systems, Inc. Tungsten feature fill
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
JP2013503414A (en) 2009-08-26 2013-01-31 ビーコ・インスツルメンツ・インコーポレーテッド System for producing a pattern on a magnetic recording medium
US8211808B2 (en) 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US20110073136A1 (en) 2009-09-10 2011-03-31 Matheson Tri-Gas, Inc. Removal of gallium and gallium containing materials
US20110061810A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US8329587B2 (en) 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
JP5257328B2 (en) 2009-11-04 2013-08-07 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
US8455364B2 (en) 2009-11-06 2013-06-04 International Business Machines Corporation Sidewall image transfer using the lithographic stack as the mandrel
US8742665B2 (en) 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
US8771538B2 (en) 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
KR101758944B1 (en) 2009-12-09 2017-07-18 노벨러스 시스템즈, 인코포레이티드 Novel gap fill integration
US8202803B2 (en) 2009-12-11 2012-06-19 Tokyo Electron Limited Method to remove capping layer of insulation dielectric in interconnect structures
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
JP4927158B2 (en) 2009-12-25 2012-05-09 東京エレクトロン株式会社 Substrate processing method, recording medium storing program for executing substrate processing method, and substrate processing apparatus
JP5710209B2 (en) 2010-01-18 2015-04-30 東京エレクトロン株式会社 Electromagnetic power feeding mechanism and microwave introduction mechanism
JP5166458B2 (en) 2010-01-22 2013-03-21 株式会社東芝 Semiconductor device and manufacturing method thereof
JP5608384B2 (en) 2010-02-05 2014-10-15 東京エレクトロン株式会社 Semiconductor device manufacturing method and plasma etching apparatus
US8361338B2 (en) 2010-02-11 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask removal method
WO2011099650A1 (en) 2010-02-15 2011-08-18 Daikin Industries, Ltd. Water and oil resistant agent for paper and paper treatment process
US8456009B2 (en) 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
JP5450187B2 (en) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
US8354660B2 (en) 2010-03-16 2013-01-15 Sandisk 3D Llc Bottom electrodes for use with metal oxide resistivity switching layers
US8435902B2 (en) 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
US8288268B2 (en) 2010-04-29 2012-10-16 International Business Machines Corporation Microelectronic structure including air gap
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8373239B2 (en) 2010-06-08 2013-02-12 International Business Machines Corporation Structure and method for replacement gate MOSFET with self-aligned contact using sacrificial mandrel dielectric
US20120009796A1 (en) 2010-07-09 2012-01-12 Applied Materials, Inc. Post-ash sidewall healing
US8278203B2 (en) 2010-07-28 2012-10-02 Sandisk Technologies Inc. Metal control gate formation in non-volatile storage
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
KR20120029291A (en) 2010-09-16 2012-03-26 삼성전자주식회사 Semiconductor devices and methods of fabricating the same
US20130224960A1 (en) 2010-10-29 2013-08-29 Applied Materials, Inc. Methods for etching oxide layers using process gas pulsing
JP5544343B2 (en) 2010-10-29 2014-07-09 東京エレクトロン株式会社 Deposition equipment
US9111994B2 (en) 2010-11-01 2015-08-18 Magnachip Semiconductor, Ltd. Semiconductor device and method of fabricating the same
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US8389416B2 (en) 2010-11-22 2013-03-05 Tokyo Electron Limited Process for etching silicon with selectivity to silicon-germanium
KR20120058962A (en) 2010-11-30 2012-06-08 삼성전자주식회사 Fabricating method of semiconductor device
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
JP5728221B2 (en) 2010-12-24 2015-06-03 東京エレクトロン株式会社 Substrate processing method and storage medium
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8363476B2 (en) 2011-01-19 2013-01-29 Macronix International Co., Ltd. Memory device, manufacturing method and operating method of the same
US9018692B2 (en) 2011-01-19 2015-04-28 Macronix International Co., Ltd. Low cost scalable 3D memory
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8791021B2 (en) 2011-03-01 2014-07-29 King Abdullah University Of Science And Technology Silicon germanium mask for deep silicon etching
KR101895307B1 (en) 2011-03-01 2018-10-04 어플라이드 머티어리얼스, 인코포레이티드 Abatement and strip process chamber in a dual loadrock configuration
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP5837178B2 (en) 2011-03-22 2015-12-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Liner assembly for chemical vapor deposition chambers
JP5815967B2 (en) 2011-03-31 2015-11-17 東京エレクトロン株式会社 Substrate cleaning apparatus and vacuum processing system
JP6003011B2 (en) 2011-03-31 2016-10-05 東京エレクトロン株式会社 Substrate processing equipment
US8415250B2 (en) 2011-04-29 2013-04-09 International Business Machines Corporation Method of forming silicide contacts of different shapes selectively on regions of a semiconductor device
US20120285621A1 (en) 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US9012283B2 (en) 2011-05-16 2015-04-21 International Business Machines Corporation Integrated circuit (IC) chip having both metal and silicon gate field effect transistors (FETs) and method of manufacture
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US8637372B2 (en) 2011-06-29 2014-01-28 GlobalFoundries, Inc. Methods for fabricating a FINFET integrated circuit on a bulk silicon substrate
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
KR101271247B1 (en) 2011-08-02 2013-06-07 주식회사 유진테크 Equipment for manufacturing semiconductor
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US20130217243A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US8808562B2 (en) 2011-09-12 2014-08-19 Tokyo Electron Limited Dry metal etching method
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US20130260564A1 (en) 2011-09-26 2013-10-03 Applied Materials, Inc. Insensitive dry removal process for semiconductor integration
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP5740281B2 (en) 2011-10-20 2015-06-24 東京エレクトロン株式会社 Metal film dry etching method
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5779482B2 (en) 2011-11-15 2015-09-16 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
US8900364B2 (en) 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US8603891B2 (en) 2012-01-20 2013-12-10 Micron Technology, Inc. Methods for forming vertical memory devices and apparatuses
US8747686B2 (en) 2012-01-27 2014-06-10 Applied Materials, Inc. Methods of end point detection for substrate fabrication processes
US9161428B2 (en) 2012-04-26 2015-10-13 Applied Materials, Inc. Independent control of RF phases of separate coils of an inductively coupled plasma reactor
US20130284369A1 (en) 2012-04-26 2013-10-31 Applied Materials, Inc. Two-phase operation of plasma chamber by phase locked loop
JP2013235912A (en) 2012-05-08 2013-11-21 Tokyo Electron Ltd Method for etching substrate to be processed and plasma etching device
US20130298942A1 (en) 2012-05-14 2013-11-14 Applied Materials, Inc. Etch remnant removal
US9034773B2 (en) 2012-07-02 2015-05-19 Novellus Systems, Inc. Removal of native oxide with high selectivity
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8772888B2 (en) 2012-08-10 2014-07-08 Avalanche Technology Inc. MTJ MRAM with stud patterning
US8747680B1 (en) 2012-08-14 2014-06-10 Everspin Technologies, Inc. Method of manufacturing a magnetoresistive-based device
US8993058B2 (en) 2012-08-28 2015-03-31 Applied Materials, Inc. Methods and apparatus for forming tantalum silicate layers on germanium or III-V semiconductor devices
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US20140099794A1 (en) 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
JP6035117B2 (en) 2012-11-09 2016-11-30 東京エレクトロン株式会社 Plasma etching method and plasma etching apparatus
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US20140166618A1 (en) 2012-12-14 2014-06-19 The Penn State Research Foundation Ultra-high speed anisotropic reactive ion etching
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9093389B2 (en) 2013-01-16 2015-07-28 Applied Materials, Inc. Method of patterning a silicon nitride dielectric film
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP2014154421A (en) 2013-02-12 2014-08-25 Tokyo Electron Ltd Plasma processing apparatus, plasma processing method, and high-frequency generator
US20140234466A1 (en) 2013-02-21 2014-08-21 HGST Netherlands B.V. Imprint mold and method for making using sidewall spacer line doubling
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US20140262031A1 (en) 2013-03-12 2014-09-18 Sergey G. BELOSTOTSKIY Multi-mode etch chamber source assembly
US8946023B2 (en) 2013-03-12 2015-02-03 Sandisk Technologies Inc. Method of making a vertical NAND device using sequential etching of multilayer stacks
US20140273451A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Tungsten deposition sequence
TWI591211B (en) 2013-03-13 2017-07-11 應用材料股份有限公司 Methods of etching films comprising transition metals
US9556507B2 (en) 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
US9276011B2 (en) 2013-03-15 2016-03-01 Micron Technology, Inc. Cell pillar structures and integrated flows
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8946076B2 (en) 2013-03-15 2015-02-03 Micron Technology, Inc. Methods of fabricating integrated structures, and methods of forming vertically-stacked memory cells
CN105142702A (en) 2013-03-15 2015-12-09 皮博士研究所有限责任公司 Single-use needle assembly and method
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US20140308758A1 (en) 2013-04-10 2014-10-16 Applied Materials, Inc. Patterning magnetic memory
US20140311581A1 (en) 2013-04-19 2014-10-23 Applied Materials, Inc. Pressure controller configuration for semiconductor processing applications
US20140342569A1 (en) 2013-05-16 2014-11-20 Applied Materials, Inc. Near surface etch selectivity enhancement
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
KR102154112B1 (en) * 2013-08-01 2020-09-09 삼성전자주식회사 a semiconductor device including metal interconnections and method for fabricating the same
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9051655B2 (en) 2013-09-16 2015-06-09 Applied Materials, Inc. Boron ionization for aluminum oxide etch enhancement
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8980758B1 (en) 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9396963B2 (en) 2013-11-06 2016-07-19 Mattson Technology Mask removal process strategy for vertical NAND device
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9330937B2 (en) 2013-11-13 2016-05-03 Intermolecular, Inc. Etching of semiconductor structures that include titanium-based layers
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US20150170926A1 (en) * 2013-12-16 2015-06-18 David J. Michalak Dielectric layers having ordered elongate pores
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US20150171008A1 (en) 2013-12-17 2015-06-18 GLOBAL FOUNDRIES Singapore Ptd. Ltd. Integrated circuits with dummy contacts and methods for producing such integrated circuits
US20150170943A1 (en) 2013-12-17 2015-06-18 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US20150170879A1 (en) 2013-12-17 2015-06-18 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9111907B2 (en) 2014-01-02 2015-08-18 Globalfoundries Inc. Silicide protection during contact metallization and resulting semiconductor structures
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US20150214066A1 (en) 2014-01-27 2015-07-30 Applied Materials, Inc. Method for material removal in dry etch reactor
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
KR102175763B1 (en) 2014-04-09 2020-11-09 삼성전자주식회사 Semiconductor Memory Device And Method Of Fabricating The Same
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US20150371865A1 (en) 2014-06-19 2015-12-24 Applied Materials, Inc. High selectivity gas phase silicon nitride removal
US20150371861A1 (en) 2014-06-23 2015-12-24 Applied Materials, Inc. Protective silicon oxide patterning
US20160005833A1 (en) 2014-07-03 2016-01-07 Applied Materials, Inc. Feol low-k spacers
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001313282A (en) * 2000-04-28 2001-11-09 Nec Corp Method of dry etching
JP2006041039A (en) * 2004-07-23 2006-02-09 Nec Electronics Corp Manufacturing method of semiconductor device
JP2006066408A (en) * 2004-07-26 2006-03-09 Hitachi High-Technologies Corp Dry etching method
KR20060080509A (en) * 2005-01-05 2006-07-10 삼성전자주식회사 Method of fabricating semiconductor device having low-k dielectric layer
US20140273496A1 (en) * 2013-03-14 2014-09-18 Chia-Ling Kao Method of removing a metal hardmask

Also Published As

Publication number Publication date
US9373522B1 (en) 2016-06-21
TW201631660A (en) 2016-09-01
TWI674628B (en) 2019-10-11

Similar Documents

Publication Publication Date Title
US9373522B1 (en) Titanium nitride removal
US9478434B2 (en) Chlorine-based hardmask removal
US9711366B2 (en) Selective etch for metal-containing materials
US9837284B2 (en) Oxide etch selectivity enhancement
US9275834B1 (en) Selective titanium nitride etch
US9607856B2 (en) Selective titanium nitride removal
US9881805B2 (en) Silicon selective removal
US9412608B2 (en) Dry-etch for selective tungsten removal
US9406523B2 (en) Highly selective doped oxide removal method
US9564338B1 (en) Silicon-selective removal
US9576788B2 (en) Cleaning high aspect ratio vias
US9299583B1 (en) Aluminum oxide selective etch
US9378969B2 (en) Low temperature gas-phase carbon removal
US9190290B2 (en) Halogen-free gas-phase silicon etch
US20150371865A1 (en) High selectivity gas phase silicon nitride removal
US20150345029A1 (en) Metal removal
US20160005833A1 (en) Feol low-k spacers
US20140273451A1 (en) Tungsten deposition sequence
WO2014113177A1 (en) Dry-etch for selective tungsten removal

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 15879255

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 15879255

Country of ref document: EP

Kind code of ref document: A1