WO2009039382A1 - Method for extending equipment uptime in ion implantation - Google Patents

Method for extending equipment uptime in ion implantation Download PDF

Info

Publication number
WO2009039382A1
WO2009039382A1 PCT/US2008/077031 US2008077031W WO2009039382A1 WO 2009039382 A1 WO2009039382 A1 WO 2009039382A1 US 2008077031 W US2008077031 W US 2008077031W WO 2009039382 A1 WO2009039382 A1 WO 2009039382A1
Authority
WO
WIPO (PCT)
Prior art keywords
specie
ion
gas
reactive
carbon
Prior art date
Application number
PCT/US2008/077031
Other languages
French (fr)
Inventor
Kevin S. Cook
Dennis Manning
Edward K. Mcintyre
Richard Goldberg
Original Assignee
Semequip. Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semequip. Inc. filed Critical Semequip. Inc.
Publication of WO2009039382A1 publication Critical patent/WO2009039382A1/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases

Definitions

  • the present invention relates to a method of semiconductor manufacturing and more particularly to a process for removing residual, non- volatile implant material from the internal surfaces of an ion implanter system.
  • ion implantation equipment includes an ion source which creates a stream of ions containing a desired dopant species, a beam line which extracts and accelerates the ions from the ion source by means of an extraction electrode, and forms and focuses the ion stream into an ion beam having a well-defined energy or velocity, an ion filtration system which selects the ion of interest, since there may be different species of ions present within the ion beam, and a process chamber which houses the silicon substrate upon which the ion beam impinges; the ion beam penetrating a well-defined distance into the substrate.
  • Transistor structures are created by passing the ion beam through a mask formed directly on the substrate surface, the mask being configured so that only discrete portions of the substrate are exposed to the ion beam.
  • dopant ions penetrate into the silicon substrate, the substrate's electrical characteristics are locally modified, creating source, drain and gate structures by the introduction of electrical carriers: such as, holes by p-type dopants, such as boron or indium, and electrons by n-type dopants, such as phosphorus or arsenic, for example.
  • a recent development in semiconductor processing is the incorporation of mechanical stress to enhance transistor performance. This stress is generated by including atoms of elements other than silicon into a lattice structure.
  • the successful process to date has been the incorporation of Ge atoms into the source and drain regions of a PMOS transistor. Inclusion of Ge atoms into a silicon substrate forms a SiGe alloy, which has a compatible lattice structure with the Si lattice. However, the Ge atoms are larger than the Si atoms, resulting in a larger lattice constant for the SiGe alloy, which can be controlled by the amount of Ge included.
  • this alloy material By forming this alloy material in the source and drain region of a PMOS transistor, the larger lattice therein places the channel region under compressive strain, which enhances the hole mobility and increases the performance of the PMOS transistor.
  • the inclusion of Ge atoms only works for PMOS transistors because compressive strain is detrimental to the electron mobility and degrades the performance of an NMOS transistor.
  • Bernas-type ion sources have been used in ion implantation equipment. Such ion sources are known to break down dopant-bearing feed gases, such as BF 3 , AsH 3 or PH 3 , for example, into their atomic or monomer constituents, producing the following ions in copious amounts: B + , As + and P + . Bernas-type ion sources are known as hot plasma or arc discharge sources and typically incorporate an electron emitter, either a naked filament cathode or an indirectly-heated cathode. This type of source generates a plasma that is confined by a magnetic field.
  • cluster implantation ion sources have been introduced into the equipment market. These ion sources are unlike the Bernas-style sources in that they have been designed to produce "clusters", or conglomerates of dopant atoms in molecular form, e.g., ions of the form As n P n + , or B n H 1n + , where n and m are integers, and 2 ⁇ n. ⁇ .18.
  • These cluster sources preserve the parent molecules of the feed gases and vapors introduced into the ion source. The most successful of these have used electron-impact ionization, and do not produce dense plasmas, but rather generate low ion densities at least 100 times smaller than produced by conventional Bernas sources.
  • the method of cluster implantation and cluster ion sources has been described by Horsky et al. in U.S. Pat. No.
  • junction depth There are two principal means of controlling the junction depth: (1) controlling the initial placement of the boron dopants, and (2) controlling their subsequent movement during activation.
  • the dopants move whenever they experience high temperature, such as during the implant anneal and activation process.
  • the initial placement of the dopant is determined by the implant energy of the dopant ion.
  • Both of these means have historically been used to scale the vertical dimension of the PMOS SDE as the technology scales to smaller gate lengths.
  • the principal means of reducing PMOS SDE junction depth in recent generations has been by reducing the annealing time during the activation step, which reduces dopant diffusion and thereby results in the formation of a shallower junction.
  • the implant energy has also been reduced to make the initial dopant placement shallower, i.e., closer to the silicon surface, but since implanter beam currents are reduced at lower beam energy, and substantially so at the sub- keV boron energies required for boron implantation for sub-90nm feature sizes, this significantly reduces the productivity (wafer throughput) of the implant tool, and so is not an attractive means to reduce junction depth.
  • Diffusion is a natural result of the need to activate the implanted boron, that is, the implanted wafer must be annealed at high temperature for the implanted boron to become electrically active in the silicon.
  • the implanted wafer must be annealed at high temperature for the implanted boron to become electrically active in the silicon.
  • the boron will move, or diffuse, from regions of high concentration to regions of lower concentration. This boron movement challenges the formation of very shallow junctions.
  • the major trend in anneal development has been the reduction of annealing time, which manages the net diffusion.
  • Modern wafer processing incorporates a "spike" anneal which quickly ramps to a high temperature (1000-1100 C) and down again. This technique has been very effective in reducing diffusion and providing a production worthy process solution.
  • One alternative to the continued reduction of annealing time is the introduction of other impurities which are known to hinder the diffusion of boron, potentially resulting in a shallower junction at the same thermal budget.
  • F historically introduced during a BF 2 + implantation step, can reduce boron diffusion.
  • junctions formed by a BF 2 + implant are usually shallower than the equivalent B + implant when the same annealing process is used.
  • the F is introduced in the same implantation process as the boron, i.e., as part of the molecular species BF 3 , the as-implanted F depth profile that results from BF 2 + implant is not optimized for the reduction of B diffusion; this makes BF 2 + implantation less attractive as junction depths are reduced further.
  • Carbon implantation has been available essentially since the introduction of commercial ion implantation systems for semiconductor manufacturing, which started in the mid-1970s.
  • the available implantation technology performs the implant one atom at a time, regardless of the feed material used. This occurs because conventional ion source technology uses an intense plasma to ionize the material, and the plasma breaks apart molecules into their component atoms. For most applications, this works well.
  • Carbon implantation has been used as a method of gettering defects or contaminants for some time. See, for example, the Stolk et al and the Ueda et al references above. Since defects have been shown to drive transient enhanced diffusion of B and P in silicon, trapping interstitial defects has been seen as a candidate method for limiting diffusion.
  • Conventional processes use either CO 2 or CO gas source to a conventional plasma ion source. Beams of C + are generated and implantation can be performed with a commercial ion implantation system. The use of CO 2 or CO gas degrades the service lifetime of conventional plasma sources due to oxidation effects and also carbon tracking of insulators found in the sources.
  • ionized clusters of carbon and/or boron requires a novel ion source, for example, as disclosed in U.S. Pat. No. 6,686,595, hereby incorporated by reference.
  • a vapor of a hydrocarbon is introduced into the ion source.
  • the ion source ionizes the molecule without dissociation.
  • the extraction system then extracts an ion beam of the ionized carbon molecule which is then transported down the beam line of a conventional ion implanter to impact the silicon wafer.
  • Cleaning techniques for semiconductor processing equipment and implanter systems are known, such as the techniques found in US patents: 5,129,958; 5,354,698; 5,554,854; and 5,940,724. Cleaning processes of some usefulness for residual boron hydride materials have been developed. These cleaning techniques are ineffective when applied to residual carbon materials in ion implanter systems.
  • the invention features in-situ cleaning process for an ion source and associated extraction electrodes and similar components of the ion-beam producing system, which chemically removes carbon deposits, increasing service lifetime and performance, without the need to disassemble the system.
  • the invention features the increased reactivity of molecular implant residue with reactive gases.
  • an aspect of the invention is directed to an activating, catalytic, or reaction promoting species added to the reactive species to effectively convert the non-volatile molecular residue into a volatile species which can be removed by conventional means.
  • An aspect of the invention is directed to increased rates of reaction to shorten cycle times.
  • Another aspect of the invention is directed to the conversion of non- volatile molecular implant residue to gas phase species for removal of the contaminants.
  • a particular aspect of the invention is directed to cleaning of the ion system of carbon residue contaminants by reacting the carbon materials with halogens, oxygen or hydrogen in the presence of a reaction promoting species, such as a Lewis Acid, which is an electron density acceptor.
  • a reaction promoting species such as a Lewis Acid, which is an electron density acceptor.
  • Lewis Acids are aluminum halides and boron trifluoride, BF 3 .
  • the invention comprises an improvement in cleaning of carbon residues from semiconductor wafer processing equipment, such as, an ion implantation system, wherein the carbon residue is contacted with one or more reaction species in the presence of a reaction promoting or catalytic species.
  • the standard method used for B 18 cleaning is has essentially no affect on carbon cluster residues. That is fiourine F* components generated in the plasma from NF 3 is not known to remove the residue build up.
  • B 18 residue is deposited on top of or underneath carbon cluster residues and a standard cleaning recipe run, a reasonable clean was achieved. The only difference being the addition of boron hydride to the system. From an RGA analysis of the B 18 clean, the boron residues are removed from the system as BF 3 .
  • This byproduct of the boron clean is a so called "Lewis acid” (electron density acceptor) that is known to catalytically activate aromatic pi electron system, such as those found in CC-C 14 (bibenzyl) and CC-C 16 (fiuoranthene). This activation leaves the aromatic system susceptible to attack/reaction and may lead to the break down of the residues.
  • a further byproduct of the boron residue clean is HF . It is also well known that HF in the presence OfBF 3 forms a superacid system. That is, an acid that is stronger than concentrated sulfuric acid. The BF 3 /HF system can protonate substrates that are very resistant to such a reaction.
  • a ion system such as ion system mentioned above, may be used to implant carbon ions into a semiconductor wafer. This process of ion implantation results in a residue of carbon on the various components, e.g., walls, optics, and electrode, of the system. As described in publicly available literature relating to various cleaning techniques, the ion system may be taken apart for cleaning of the components or the system may be cleaned in situ by the introduction of a cleaning agent through appropriate containers, valves and associated equipment.
  • a reactive specie such as reactive halogen gasses, such as fluorine, chlorine, bromine or iodine gas ; or oxygen or hydrogen gas may be introduced into the system to remove the carbon residue, which will be of the form AB x Hy, where x and y are integers > 0.
  • an activating, catalytic or reaction promoting species hereinafter the "catalytic” specie, may be mixed with or introduced with the reactive specie such that carbon residue may be readily removed from the system.
  • Hydrogen fluoride gas may also be used as a reactive specie.
  • the catalytic specie preferably in the gas phase is added to the reactive specie to promote the conversion of the non- volatile molecular residue into a volatile species which is then removed by conventional means, such as pumping, hi order to remove the non-volatile molecular residue particularly carbon residue
  • the reactive species intended to remove the carbon may be induced to increase or actively promote the intended reaction by the introduction of a catalytic specie.
  • the difficulty in removing or the reaction the carbon residue with the reactive specie may be due to electron bonding of the carbon residue that interferes with the proposed reaction with the reactive specie.
  • the introduction of the catalytic specie affects that carbon residue electron bond, such that the reactive specie may effectively react with the carbon residue and convert the non- volatile residue into a volatile specie for removal.
  • the catalytic specie may be introduced in situ with the reactive gas specie or through an independent inlet.
  • the catalytic specie may be mixed with the reactive specie to form a mixed specie and then introducing the mixed specie into the semiconductor cleaning system.
  • BF 3 may be used as the catalytic specie for promoting the reaction of the residual carbon materials with the reactive specie.
  • BF 3 in combination with hydogen fluoride, HF will result in a volatile specie of C x F y any one of which may be readily removed from the system, thereby effectively cleaning the system in situ.
  • Other catalytic species may be used to promote the reactive species to increase the rate of reaction, promote conversion of non- volatile molecular implant residue and to effectively remove molecular residue from a system in situ by any one of well known means.
  • specific residues not readily or effectively cleaned by reaction with appropriate reactive species may be particularly targeted for cleaning by the introduction of a catalytic specie with the reactive cleaning specie to promote the intended cleaning.

Abstract

The invention features in-situ cleaning process for an ion source and associated extraction electrodes and similar components of the ion-beam producing system, which chemically removes carbon deposits, increasing service lifetime and performance, without the need to disassemble the system. In particular, an aspect of the invention is directed to an activating, catalytic, or reaction promoting species added to the reactive species to effectively convert the non-volatile molecular residue into a volatile species which can be removed by conventional means.

Description

METHOD FOR EXTENDING EQUIPMENT UPTIME IN ION IMPLANTATION
CROSS REFERENCE TO RELATED APPLICATIONS
[0001] This application claims priority to and the benefit of US Provisional Patent Application No. 60/974,176, filed on September 21, 2007.
BACKGROUND OF THE INVENTION
1. Field of the Invention
[0002] The present invention relates to a method of semiconductor manufacturing and more particularly to a process for removing residual, non- volatile implant material from the internal surfaces of an ion implanter system.
2. Description of the Prior Art
The Ion Implantation Process
[0003] The fabrication of semiconductor devices involves, in part, the formation of transistor structures within a silicon substrate by ion implantation. As disclosed by Sferlazzo et. al. in U.S. Pat. No. 5,497,006, ion implantation equipment includes an ion source which creates a stream of ions containing a desired dopant species, a beam line which extracts and accelerates the ions from the ion source by means of an extraction electrode, and forms and focuses the ion stream into an ion beam having a well-defined energy or velocity, an ion filtration system which selects the ion of interest, since there may be different species of ions present within the ion beam, and a process chamber which houses the silicon substrate upon which the ion beam impinges; the ion beam penetrating a well-defined distance into the substrate. Transistor structures are created by passing the ion beam through a mask formed directly on the substrate surface, the mask being configured so that only discrete portions of the substrate are exposed to the ion beam. Where dopant ions penetrate into the silicon substrate, the substrate's electrical characteristics are locally modified, creating source, drain and gate structures by the introduction of electrical carriers: such as, holes by p-type dopants, such as boron or indium, and electrons by n-type dopants, such as phosphorus or arsenic, for example.
[0004] A recent development in semiconductor processing is the incorporation of mechanical stress to enhance transistor performance. This stress is generated by including atoms of elements other than silicon into a lattice structure. The successful process to date has been the incorporation of Ge atoms into the source and drain regions of a PMOS transistor. Inclusion of Ge atoms into a silicon substrate forms a SiGe alloy, which has a compatible lattice structure with the Si lattice. However, the Ge atoms are larger than the Si atoms, resulting in a larger lattice constant for the SiGe alloy, which can be controlled by the amount of Ge included. By forming this alloy material in the source and drain region of a PMOS transistor, the larger lattice therein places the channel region under compressive strain, which enhances the hole mobility and increases the performance of the PMOS transistor. The inclusion of Ge atoms only works for PMOS transistors because compressive strain is detrimental to the electron mobility and degrades the performance of an NMOS transistor.
[0005] Traditionally, Bernas-type ion sources have been used in ion implantation equipment. Such ion sources are known to break down dopant-bearing feed gases, such as BF3, AsH3 or PH3, for example, into their atomic or monomer constituents, producing the following ions in copious amounts: B+, As+ and P + . Bernas-type ion sources are known as hot plasma or arc discharge sources and typically incorporate an electron emitter, either a naked filament cathode or an indirectly-heated cathode. This type of source generates a plasma that is confined by a magnetic field.
[0006] Recently, cluster implantation ion sources have been introduced into the equipment market. These ion sources are unlike the Bernas-style sources in that they have been designed to produce "clusters", or conglomerates of dopant atoms in molecular form, e.g., ions of the form Asn Pn +, or BnH1n +, where n and m are integers, and 2 <n. ≤.18. Such ionized clusters can be implanted much closer to the surface of the silicon substrate and at higher doses relative to their monomer (n=l) counterparts, and are therefore of great interest for forming ultra-shallow p-n transistor junctions, for example in transistor devices of the 65 nm, 45 run, or 32 nm generations. These cluster sources preserve the parent molecules of the feed gases and vapors introduced into the ion source. The most successful of these have used electron-impact ionization, and do not produce dense plasmas, but rather generate low ion densities at least 100 times smaller than produced by conventional Bernas sources. For example, the method of cluster implantation and cluster ion sources has been described by Horsky et al. in U.S. Pat. No. 6,452,338 and U.S. Pat. No. 6,686,595, hereby incorporated by reference. The use OfB18H22 as an implant material for ion implantation OfB18Hx + in making PMOS devices is disclosed in Horsky et al. in pending U.S. patent application Ser. No. 10/251,491, published as U.S. Patent Application Publication No. US 2004/0002202 Al, hereby incorporated by reference.
[0007] As device technology continues to scale in all dimensions, it becomes increasingly difficult to form the p-type Ultra Shallow Junctions, or USJ, necessary for the proper formation of the PMOS transistor. The most challenging feature of the PMOS transistor is the Source/Drain Extension, or SDE, which must be the shallowest junction in the transistor to be effective. For 65 nm node technology (as defined in the International Technology Roadmap for Semiconductors, or ITRS), it is required that the PMOS SDE be around 15-25 nm deep, while 45 nm technology will require PMOS SDE junction depths of 8-20 nm.
[0008] There are two principal means of controlling the junction depth: (1) controlling the initial placement of the boron dopants, and (2) controlling their subsequent movement during activation. The dopants move whenever they experience high temperature, such as during the implant anneal and activation process. The initial placement of the dopant is determined by the implant energy of the dopant ion. Both of these means have historically been used to scale the vertical dimension of the PMOS SDE as the technology scales to smaller gate lengths. The principal means of reducing PMOS SDE junction depth in recent generations has been by reducing the annealing time during the activation step, which reduces dopant diffusion and thereby results in the formation of a shallower junction. The implant energy has also been reduced to make the initial dopant placement shallower, i.e., closer to the silicon surface, but since implanter beam currents are reduced at lower beam energy, and substantially so at the sub- keV boron energies required for boron implantation for sub-90nm feature sizes, this significantly reduces the productivity (wafer throughput) of the implant tool, and so is not an attractive means to reduce junction depth.
[0009] Diffusion is a natural result of the need to activate the implanted boron, that is, the implanted wafer must be annealed at high temperature for the implanted boron to become electrically active in the silicon. Whenever silicon containing boron is exposed to high temperatures, the boron will move, or diffuse, from regions of high concentration to regions of lower concentration. This boron movement challenges the formation of very shallow junctions. The major trend in anneal development has been the reduction of annealing time, which manages the net diffusion. Modern wafer processing incorporates a "spike" anneal which quickly ramps to a high temperature (1000-1100 C) and down again. This technique has been very effective in reducing diffusion and providing a production worthy process solution. However, for sub-90 run node technology even less boron diffusion is required; the ramp rate limit of spike annealing systems (up to 150 C/sec) has been reached. The next step in reducing thermal budget this trend will likely use the "millisecond" technologies of either "flash annealing" or laser thermal processing. Both of these technologies are still immature and face significant challenges in providing production worthy solutions. Also, as thermal budgets are reduced to very small values by these ultra-fast annealing approaches, the activation process itself is affected. For example, higher sheet resistances are achieved and the spatial non-uniformity of the sheet resistance, or Rs, is higher than achieved by spike anneals.
[0010] One alternative to the continued reduction of annealing time is the introduction of other impurities which are known to hinder the diffusion of boron, potentially resulting in a shallower junction at the same thermal budget. For example, it is well known that F, historically introduced during a BF2 + implantation step, can reduce boron diffusion. Thus, junctions formed by a BF2 + implant are usually shallower than the equivalent B+ implant when the same annealing process is used. However, since the F is introduced in the same implantation process as the boron, i.e., as part of the molecular species BF3 , the as-implanted F depth profile that results from BF2 + implant is not optimized for the reduction of B diffusion; this makes BF2 +implantation less attractive as junction depths are reduced further.
[0011] Another alternative is the introduction of carbon, which is also known to inhibit diffusion. See for example, E. J. Collart, S. B. Felch, H. Graoui, D. Kirkwood, B. J. Pawlak, P. P. Absil, S. Sevri, T. Janssens and W. Vandervorst, "Co-Implantation with Conventional Spike Anneal Solutions for 45 nm Ultra-Shallow Junction Formation", Proceedings of the Eight International Workshop on: Fabrication, Characterization and Modelling of Ultra-Shallow Doping Profiles in Semiconductors, June 2005, p. 327; N. Cowern, B. Colombeau, J. Graoui, and M. Foad, "Computational Modeling of Co-iimplanted Carbon for 65 nm Node USJ Formation, ibid, p. 300.; S. Rizk, Y. M. Haddara and A. Sibaja-Hernandez, "Modeling the Suppression of Boron Diffusion in Si/SiGe Due to Carbon Incorporation, ibid, p. 315; L. S. Robertson, R. Brindos, and K. S. Jones, "The effect of impurities and activation of ion implanted boron in silicon", Mat. Res. Soc. Symp. Vol. 610, pp. B5.8.1-B5.8.6 (2000); Mark E. Law, Michelle D. Griglione, and Misty Northridge, "Influence of Carbon on the Diffusion of Interstitials and Boron in Silicon", ibid, pp. B7.4.1-B7.4.5; E. J. H. Collart et al., "Co-implantation with conventional spike anneal solutions for 45 nm ultra-shallow junction formation", Internal Conference on Ultra-Shallow Junctions, USJ2005, June 5-8, Florida, USA (2005);P. A. Stolk, H.-J. Gossmann, D. J. Eaglesham, D. J. Jacobson, H. S. Luftman, and J. M. Poate, "Understanding and controlling transient enhanced dopant diffusion in silicon", Mat. Res. Soc. Symp. Proc. Vol. 354, pp. 307-318 (1995);M. Ueda, H. Reuther, R. Gunzel, A. F. Beloto, E. Abramof, and L. A. Berni, "High dose nitrogen and carbon shallow implantation in Si by plasma immersion ion implantation", Nuclear Instruments and Methods in Physics Research B 175-177 (2001) pp. 715-720; Jorg K. N. Lindner, "Ion beam synthesis of buried SiC layers in silicon: Basic physical processes", Nuclear Instruments and Methods in Physics Research B 178 (2001) pp. 44-54; J. K. N. Lindner, W. Reiber and B. Stritzker, "Mechanisms of SiC Formation in the Ion Beam Synthesis of 3 C-SiC Layers in Silicon", Materials Science Forum VoIs. 264-268 (1998) pp. 215-218; M. Ueda et al., "High dose nitrogen and carbon shallow implantation in Si by plasma immersion ion implantation", Nuclear Instruments and Methods in Physics Research B 175-177 (2001) pp. 715-720; Kah-Wee Ang et al., "Thin body silicon-on-insulator N- MOSFET with silicon-carbon source/drain regions for performance enhancement", IEDM Workshop, Washington, D.C., December, 2005; Masahiro Deguchi, Akihisa Yoshida, and Masatoshi Kitagawa, "B-SiC formation by low-energy ion-doping technique", Japanese Journal of Applied Physics Vol. 29, No. 8, August, 1990, pp. L 1493-L 1496, all hereby incorporated by reference.
[0012] Carbon implantation has been available essentially since the introduction of commercial ion implantation systems for semiconductor manufacturing, which started in the mid-1970s. The available implantation technology performs the implant one atom at a time, regardless of the feed material used. This occurs because conventional ion source technology uses an intense plasma to ionize the material, and the plasma breaks apart molecules into their component atoms. For most applications, this works well.
[0013] Carbon implantation has been used as a method of gettering defects or contaminants for some time. See, for example, the Stolk et al and the Ueda et al references above. Since defects have been shown to drive transient enhanced diffusion of B and P in silicon, trapping interstitial defects has been seen as a candidate method for limiting diffusion. Conventional processes use either CO2 or CO gas source to a conventional plasma ion source. Beams of C+ are generated and implantation can be performed with a commercial ion implantation system. The use of CO2 or CO gas degrades the service lifetime of conventional plasma sources due to oxidation effects and also carbon tracking of insulators found in the sources.
[0014] The issue with the conventional technology is that the implantation by single atoms becomes very inefficient when the energy of the ions must be low (e.g., 1 or 2 keV) in order to provide for shallow implant. Conventional systems cannot produce high currents at low extraction energy and so the implantation process has very low productivity. By implanting carbon-containing molecules instead of individual atoms, the physics of low energy implantation are significantly modified. The extraction energy is much higher, since the molecule needs the process energy for each carbon atom, which makes the extraction system able to operate efficiently and generate high beam currents.
[0015] The use of ionized clusters of carbon and/or boron requires a novel ion source, for example, as disclosed in U.S. Pat. No. 6,686,595, hereby incorporated by reference. For example, a vapor of a hydrocarbon is introduced into the ion source. The ion source ionizes the molecule without dissociation. The extraction system then extracts an ion beam of the ionized carbon molecule which is then transported down the beam line of a conventional ion implanter to impact the silicon wafer.
[0016] However, the utilization of large molecular species, such as carbon, has introduced new challenges in removing residual matter from within the implantation device. As such, previous cleaning methodologies have proven to be unsatisfactory in removing residual materials to acceptable levels. Deposits of these larger "cluster" molecules do not react at sufficient rates under the previous conditions.
[0017] Cleaning techniques for semiconductor processing equipment and implanter systems are known, such as the techniques found in US patents: 5,129,958; 5,354,698; 5,554,854; and 5,940,724. Cleaning processes of some usefulness for residual boron hydride materials have been developed. These cleaning techniques are ineffective when applied to residual carbon materials in ion implanter systems.
SUMMARY OF THE INVENTION
[0018] The invention features in-situ cleaning process for an ion source and associated extraction electrodes and similar components of the ion-beam producing system, which chemically removes carbon deposits, increasing service lifetime and performance, without the need to disassemble the system. [0019] The invention features the increased reactivity of molecular implant residue with reactive gases. In particular, an aspect of the invention is directed to an activating, catalytic, or reaction promoting species added to the reactive species to effectively convert the non-volatile molecular residue into a volatile species which can be removed by conventional means. [0020] An aspect of the invention is directed to increased rates of reaction to shorten cycle times. [0021] Another aspect of the invention is directed to the conversion of non- volatile molecular implant residue to gas phase species for removal of the contaminants. [0022] A particular aspect of the invention is directed to cleaning of the ion system of carbon residue contaminants by reacting the carbon materials with halogens, oxygen or hydrogen in the presence of a reaction promoting species, such as a Lewis Acid, which is an electron density acceptor. Forms of Lewis Acids are aluminum halides and boron trifluoride, BF3.
DESCRIPTION OF THE DRAWINGS
[0023] The sole drawing is a flow sheet illustrating the improved cleaning process of the invention.
DETAILED DESCRIPTION
[0024] The invention comprises an improvement in cleaning of carbon residues from semiconductor wafer processing equipment, such as, an ion implantation system, wherein the carbon residue is contacted with one or more reaction species in the presence of a reaction promoting or catalytic species. The standard method used for B18 cleaning is has essentially no affect on carbon cluster residues. That is fiourine F* components generated in the plasma from NF3 is not known to remove the residue build up. When B18 residue is deposited on top of or underneath carbon cluster residues and a standard cleaning recipe run, a reasonable clean was achieved. The only difference being the addition of boron hydride to the system. From an RGA analysis of the B18 clean, the boron residues are removed from the system as BF3. This byproduct of the boron clean is a so called "Lewis acid" (electron density acceptor) that is known to catalytically activate aromatic pi electron system, such as those found in CC-C 14 (bibenzyl) and CC-C 16 (fiuoranthene). This activation leaves the aromatic system susceptible to attack/reaction and may lead to the break down of the residues. Also, a further byproduct of the boron residue clean is HF . It is also well known that HF in the presence OfBF3 forms a superacid system. That is, an acid that is stronger than concentrated sulfuric acid. The BF3/HF system can protonate substrates that are very resistant to such a reaction. If this happens, adding a proton (H+) to an aromatic system will disrupt the aromaticity of the compound, break it down and/or render it susceptible to further attack from F*. The breakdown of the residues to smaller and perhaps more volatile components should allow them to removed from the system in a more facile manner.
[0025]US patent no. 6,452,338 and US application no. 10/582,392, filed on March 14, 2007, hereby incorporated by reference, discloses an ion system capable of implanting large molecular ions. International Patent Application Publication No. WO 2005/059942 A2, published on June 30, 2005, hereby incorporated by reference, describes in detail an in situ chemical cleaning system for semiconductors (also referred to herein as a semiconductor cleaning system) suitable for use with the present invention. The principles of the invention are also applicable with other semiconductor cleaning systems.
[0026] According to the present invention, a ion system, such as ion system mentioned above, may be used to implant carbon ions into a semiconductor wafer. This process of ion implantation results in a residue of carbon on the various components, e.g., walls, optics, and electrode, of the system. As described in publicly available literature relating to various cleaning techniques, the ion system may be taken apart for cleaning of the components or the system may be cleaned in situ by the introduction of a cleaning agent through appropriate containers, valves and associated equipment.
[0027] hi the present invention, a reactive specie; such as reactive halogen gasses, such as fluorine, chlorine, bromine or iodine gas ; or oxygen or hydrogen gas may be introduced into the system to remove the carbon residue, which will be of the form ABxHy, where x and y are integers > 0. In addition, an activating, catalytic or reaction promoting species, hereinafter the "catalytic" specie, may be mixed with or introduced with the reactive specie such that carbon residue may be readily removed from the system. Hydrogen fluoride gas may also be used as a reactive specie.
[0028] hi one embodiment of the invention, the catalytic specie, preferably in the gas phase is added to the reactive specie to promote the conversion of the non- volatile molecular residue into a volatile species which is then removed by conventional means, such as pumping, hi order to remove the non-volatile molecular residue particularly carbon residue, the reactive species intended to remove the carbon may be induced to increase or actively promote the intended reaction by the introduction of a catalytic specie. In particular, the difficulty in removing or the reaction the carbon residue with the reactive specie may be due to electron bonding of the carbon residue that interferes with the proposed reaction with the reactive specie. In this event, the introduction of the catalytic specie affects that carbon residue electron bond, such that the reactive specie may effectively react with the carbon residue and convert the non- volatile residue into a volatile specie for removal.
[0029] The catalytic specie may be introduced in situ with the reactive gas specie or through an independent inlet. The catalytic specie may be mixed with the reactive specie to form a mixed specie and then introducing the mixed specie into the semiconductor cleaning system. [0030] In accordance with the present invention, BF3 may be used as the catalytic specie for promoting the reaction of the residual carbon materials with the reactive specie. For example:
BF3 in combination with hydrogen, H2, will result in a volatile specie of CxHy;
BF3 in combination with oxygen, O2, will result in a volatile specie of COy;
BF3 in combination with a halogen, Z, will result in a volatile specie of CxZy; and
BF3 in combination with hydogen fluoride, HF, will result in a volatile specie of CxFy any one of which may be readily removed from the system, thereby effectively cleaning the system in situ. Other catalytic species may be used to promote the reactive species to increase the rate of reaction, promote conversion of non- volatile molecular implant residue and to effectively remove molecular residue from a system in situ by any one of well known means. [0028] hi another embodiment of the invention, it has been determined that specific residues not readily or effectively cleaned by reaction with appropriate reactive species may be particularly targeted for cleaning by the introduction of a catalytic specie with the reactive cleaning specie to promote the intended cleaning.
[0029] Obviously many modifications and variations of the present invention are possible in light of the above teachings. Thus, it is to be understood that, within the scope of the appended claims, the invention may be practiced otherwise than is specifically described above. [0030] What is claimed and desired to be secured by a Letters Patent of the United States is:

Claims

We Claim:
1. A method of cleaning a semiconductor system of a residue material with a semiconductor cleaning system, the method comprising: providing a reactive specie and a catalytic specie to the semiconductor cleaning system to promote the conversion of the residue material to a gas; and removing said gas.
2. A method of cleaning a semiconductor system of a residue material with a semiconductor cleaning system, the method comprising; providing a reactive specie to the semiconductor cleaning system; providing a catalytic specie to the semiconductor cleaning system; mixing a catalytic specie with the reactive specie; providing the mixed specie to the semiconductor cleaning system to increase the rate of reaction with the residue material to form a removable material; and removing the removable material.
3. A method according to claim 1, wherein the reactive specie is a halogen gas.
4. A method according to claim 1, wherein the reactive specie is oxygen gas.
5. A method according to claim 1, wherein the reactive specie is hydrogen gas.
6. A method according to claim 1, wherein the reactive specie is hydrogen fluoride gas.
7. A method according to claim 1, wherein the catalytic specie is boron trifiuoride gas.
8. A method according to claim 3, wherein the catalytic specie is boron trifiuoride gas.
9. A method according to claim 4 wherein the catalytic specie is boron trifiuoride gas.
10. A method according to claim 5, wherein the catalytic specie is boron trifluoride gas
11. A method according to claim , wherein the catalytic specie is boron trifluoride gas
PCT/US2008/077031 2007-09-21 2008-09-19 Method for extending equipment uptime in ion implantation WO2009039382A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US97417607P 2007-09-21 2007-09-21
US60/974,176 2007-09-21

Publications (1)

Publication Number Publication Date
WO2009039382A1 true WO2009039382A1 (en) 2009-03-26

Family

ID=40468380

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2008/077031 WO2009039382A1 (en) 2007-09-21 2008-09-19 Method for extending equipment uptime in ion implantation

Country Status (3)

Country Link
US (1) US7875125B2 (en)
TW (1) TWI393179B (en)
WO (1) WO2009039382A1 (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9984855B2 (en) 2010-11-17 2018-05-29 Axcelis Technologies, Inc. Implementation of co-gases for germanium and boron ion implants
US9805912B2 (en) 2010-11-17 2017-10-31 Axcelis Technologies, Inc. Hydrogen COGas for carbon implant
WO2012129459A1 (en) * 2011-03-24 2012-09-27 Linde Aktiengesellschaft Self cleaning solutions for carbon implantation
RU2522662C2 (en) * 2011-08-03 2014-07-20 Федеральное государственное бюджетное учреждение "Государственный научный центр Российской Федерации - Институт Теоретической и Экспериментальной Физики" (ФГБУ "ГНЦ РФ ИТЭФ") Method for continuous production of beam of carborane ions with constant self-cleaning of ion source and component of ion implanter extraction system
US10361081B2 (en) * 2016-11-24 2019-07-23 Axcelis Technologies, Inc. Phosphine co-gas for carbon implants
US10161034B2 (en) 2017-04-21 2018-12-25 Lam Research Corporation Rapid chamber clean using concurrent in-situ and remote plasma sources

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040077511A1 (en) * 2002-10-17 2004-04-22 Applied Materials, Inc. Method for performing fluorocarbon chamber cleaning to eliminate fluorine memory effect
WO2005059942A2 (en) * 2003-12-12 2005-06-30 Semequip, Inc. Method and apparatus for extending equipment uptime in ion implantation
US20050279381A1 (en) * 2002-11-05 2005-12-22 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) Method for cleaning microstructure
US20060088666A1 (en) * 2004-06-04 2006-04-27 Applied Microstructures, Inc. Controlled vapor deposition of biocompatible coatings over surface-treated substrates

Family Cites Families (150)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4105916A (en) * 1977-02-28 1978-08-08 Extranuclear Laboratories, Inc. Methods and apparatus for simultaneously producing and electronically separating the chemical ionization mass spectrum and the electron impact ionization mass spectrum of the same sample material
FR2412939A1 (en) * 1977-12-23 1979-07-20 Anvar HIGH CURRENT ION IMPLANTER
GB2079035A (en) 1980-06-10 1982-01-13 Philips Electronic Associated Deflection system for charged-particle beam
US4412900A (en) 1981-03-13 1983-11-01 Hitachi, Ltd. Method of manufacturing photosensors
JPS59142839A (en) * 1983-02-01 1984-08-16 Canon Inc Cleaning method of vapor-phase apparatus
WO1984003798A1 (en) 1983-03-18 1984-09-27 Matsushita Electric Ind Co Ltd Reactive ion etching apparatus
US4512812A (en) * 1983-09-22 1985-04-23 Varian Associates, Inc. Method for reducing phosphorous contamination in a vacuum processing chamber
US4619844A (en) 1985-01-22 1986-10-28 Fairchild Camera Instrument Corp. Method and apparatus for low pressure chemical vapor deposition
US4665315A (en) * 1985-04-01 1987-05-12 Control Data Corporation Method and apparatus for in-situ plasma cleaning of electron beam optical systems
US4657616A (en) * 1985-05-17 1987-04-14 Benzing Technologies, Inc. In-situ CVD chamber cleaner
US4640221A (en) * 1985-10-30 1987-02-03 International Business Machines Corporation Vacuum deposition system with improved mass flow control
US4703183A (en) 1985-12-05 1987-10-27 Eaton Corporation Ion implantation chamber purification method and apparatus
US4786352A (en) 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US4723967A (en) * 1987-04-27 1988-02-09 Advanced Technology Materials, Inc. Valve block and container for semiconductor source reagent dispensing and/or purification
US4738693A (en) * 1987-04-27 1988-04-19 Advanced Technology Materials, Inc. Valve block and container for semiconductor source reagent dispensing and/or purification
GB2216714B (en) * 1988-03-11 1992-10-14 Ulvac Corp Ion implanter system
US4958078A (en) 1989-01-05 1990-09-18 The University Of Michigan Large aperture ion-optical lens system
GB2230644B (en) * 1989-02-16 1994-03-23 Tokyo Electron Ltd Electron beam excitation ion source
US5028791A (en) * 1989-02-16 1991-07-02 Tokyo Electron Ltd. Electron beam excitation ion source
US5186120A (en) * 1989-03-22 1993-02-16 Mitsubishi Denki Kabushiki Kaisha Mixture thin film forming apparatus
JP2873693B2 (en) 1989-05-25 1999-03-24 東京エレクトロン株式会社 Ion source
US5429070A (en) * 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5132545A (en) * 1989-08-17 1992-07-21 Mitsubishi Denki Kabushiki Kaisha Ion implantation apparatus
JPH03130368A (en) * 1989-09-22 1991-06-04 Applied Materials Inc Cleaning of semiconductor wafer process device
US5101110A (en) * 1989-11-14 1992-03-31 Tokyo Electron Limited Ion generator
JP2819420B2 (en) * 1989-11-20 1998-10-30 東京エレクトロン株式会社 Ion source
KR0148385B1 (en) * 1990-01-30 1998-10-15 이노우에 키요시 Ion generator
US5362328A (en) 1990-07-06 1994-11-08 Advanced Technology Materials, Inc. Apparatus and method for delivering reagents in vapor form to a CVD reactor, incorporating a cleaning subsystem
JPH04112441A (en) 1990-08-31 1992-04-14 Toshiba Corp Ion implantation device and its cleaning method
US5832177A (en) 1990-10-05 1998-11-03 Fujitsu Limited Method for controlling apparatus for supplying steam for ashing process
DE4108462C2 (en) * 1991-03-13 1994-10-13 Bruker Franzen Analytik Gmbh Method and device for generating ions from thermally unstable, non-volatile large molecules
US5206516A (en) * 1991-04-29 1993-04-27 International Business Machines Corporation Low energy, steered ion beam deposition system having high current at low pressure
US5262652A (en) 1991-05-14 1993-11-16 Applied Materials, Inc. Ion implantation apparatus having increased source lifetime
JP2567099Y2 (en) * 1991-06-07 1998-03-30 山形日本電気株式会社 Gas supply device
US5466942A (en) 1991-07-04 1995-11-14 Kabushiki Kaisha Toshiba Charged beam irradiating apparatus having a cleaning means and a method of cleaning a charged beam irradiating apparatus
JP3253675B2 (en) * 1991-07-04 2002-02-04 株式会社東芝 Charged beam irradiation apparatus and method
US5443686A (en) 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
JPH06176724A (en) * 1992-01-23 1994-06-24 Tokyo Electron Ltd Ion source device
DE4202158C1 (en) * 1992-01-27 1993-07-22 Siemens Ag, 8000 Muenchen, De
US5466929A (en) 1992-02-21 1995-11-14 Hitachi, Ltd. Apparatus and method for suppressing electrification of sample in charged beam irradiation apparatus
US5306921A (en) * 1992-03-02 1994-04-26 Tokyo Electron Limited Ion implantation system using optimum magnetic field for concentrating ions
US5369279A (en) 1992-06-04 1994-11-29 Martin; Frederick W. Chromatically compensated particle-beam column
US5350926A (en) 1993-03-11 1994-09-27 Diamond Semiconductor Group, Inc. Compact high current broad beam ion implanter
DE69420474T2 (en) * 1993-06-30 2000-05-18 Applied Materials Inc Process for rinsing and pumping out a vacuum chamber to ultra-high vacuum
US5354698A (en) 1993-07-19 1994-10-11 Micron Technology, Inc. Hydrogen reduction method for removing contaminants in a semiconductor ion implantation process
US5486235A (en) 1993-08-09 1996-01-23 Applied Materials, Inc. Plasma dry cleaning of semiconductor processing chambers
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
EP0648861A1 (en) 1993-10-15 1995-04-19 Applied Materials, Inc. Semiconductor processing apparatus
US5451258A (en) 1994-05-11 1995-09-19 Materials Research Corporation Apparatus and method for improved delivery of vaporized reactant gases to a reaction chamber
US5514246A (en) * 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5489550A (en) * 1994-08-09 1996-02-06 Texas Instruments Incorporated Gas-phase doping method using germanium-containing additive
US5497006A (en) * 1994-11-15 1996-03-05 Eaton Corporation Ion generating source for use in an ion implanter
JPH08212965A (en) * 1995-01-31 1996-08-20 Ulvac Japan Ltd Ion implanting device
US5700327A (en) 1995-03-10 1997-12-23 Polar Materials, Incorporated Method for cleaning hollow articles with plasma
NL1000677C2 (en) * 1995-06-28 1996-12-31 Combis B V Device suitable for supplying a gaseous fuel to a combustion engine, as well as an evaporator, dosing device, processor and pressure control device suitable for such an device.
US5714738A (en) * 1995-07-10 1998-02-03 Watlow Electric Manufacturing Co. Apparatus and methods of making and using heater apparatus for heating an object having two-dimensional or three-dimensional curvature
US5633506A (en) * 1995-07-17 1997-05-27 Eaton Corporation Method and apparatus for in situ removal of contaminants from ion beam neutralization and implantation apparatuses
US5554854A (en) 1995-07-17 1996-09-10 Eaton Corporation In situ removal of contaminants from the interior surfaces of an ion beam implanter
GB2343545B (en) * 1995-11-08 2000-06-21 Applied Materials Inc An ion implanter with three electrode deceleration structure and upstream mass selection
GB2307592B (en) * 1995-11-23 1999-11-10 Applied Materials Inc Ion implantation apparatus withimproved post mass selection deceleration
US5993766A (en) 1996-05-20 1999-11-30 Advanced Technology Materials, Inc. Gas source and dispensing system
US5661308A (en) * 1996-05-30 1997-08-26 Eaton Corporation Method and apparatus for ion formation in an ion implanter
GB2314202B (en) * 1996-06-14 2000-08-09 Applied Materials Inc Ion implantation apparatus and a method of monitoring high energy neutral contamination in an ion implantation process
US5883364A (en) * 1996-08-26 1999-03-16 Frei; Rob A. Clean room heating jacket and grounded heating element therefor
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US5824375A (en) 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
JP3749924B2 (en) * 1996-12-03 2006-03-01 富士通株式会社 Ion implantation method and semiconductor device manufacturing method
US6338312B2 (en) * 1998-04-15 2002-01-15 Advanced Technology Materials, Inc. Integrated ion implant scrubber system
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US5780863A (en) * 1997-04-29 1998-07-14 Eaton Corporation Accelerator-decelerator electrostatic lens for variably focusing and mass resolving an ion beam in an ion implanter
US5940724A (en) * 1997-04-30 1999-08-17 International Business Machines Corporation Method for extended ion implanter source lifetime
GB2325561B (en) * 1997-05-20 2001-10-17 Applied Materials Inc Apparatus for and methods of implanting desired chemical species in semiconductor substrates
US5882416A (en) * 1997-06-19 1999-03-16 Advanced Technology Materials, Inc. Liquid delivery system, heater apparatus for liquid delivery system, and vaporizer
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
JP3449198B2 (en) 1997-10-22 2003-09-22 日新電機株式会社 Ion implanter
JP3627206B2 (en) * 1997-11-28 2005-03-09 住友イートンノバ株式会社 Ion implantation apparatus and ion implantation method
US6271529B1 (en) * 1997-12-01 2001-08-07 Ebara Corporation Ion implantation with charge neutralization
US6184532B1 (en) * 1997-12-01 2001-02-06 Ebara Corporation Ion source
US6143084A (en) 1998-03-19 2000-11-07 Applied Materials, Inc. Apparatus and method for generating plasma
US6135128A (en) 1998-03-27 2000-10-24 Eaton Corporation Method for in-process cleaning of an ion source
US6335534B1 (en) 1998-04-17 2002-01-01 Kabushiki Kaisha Toshiba Ion implantation apparatus, ion generating apparatus and semiconductor manufacturing method with ion implantation processes
US6620256B1 (en) 1998-04-28 2003-09-16 Advanced Technology Materials, Inc. Non-plasma in-situ cleaning of processing chambers using static flow methods
US6107634A (en) 1998-04-30 2000-08-22 Eaton Corporation Decaborane vaporizer
KR20010043738A (en) * 1998-05-22 2001-05-25 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. Method and apparatus for low energy ion implantation
US6130436A (en) 1998-06-02 2000-10-10 Varian Semiconductor Equipment Associates, Inc. Acceleration and analysis architecture for ion implanter
US6060034A (en) * 1998-06-02 2000-05-09 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Abatement system for ClF3 containing exhaust gases
GB9813327D0 (en) 1998-06-19 1998-08-19 Superion Ltd Apparatus and method relating to charged particles
US6094012A (en) * 1998-11-06 2000-07-25 The Regents Of The University Of California Low energy spread ion source with a coaxial magnetic filter
US6355933B1 (en) * 1999-01-13 2002-03-12 Advanced Micro Devices, Inc. Ion source and method for using same
US6464891B1 (en) 1999-03-17 2002-10-15 Veeco Instruments, Inc. Method for repetitive ion beam processing with a carbon containing ion beam
US6155289A (en) * 1999-05-07 2000-12-05 International Business Machines Method of and system for sub-atmospheric gas delivery with backflow control
US6259105B1 (en) 1999-05-10 2001-07-10 Axcelis Technologies, Inc. System and method for cleaning silicon-coated surfaces in an ion implanter
US6221169B1 (en) * 1999-05-10 2001-04-24 Axcelis Technologies, Inc. System and method for cleaning contaminated surfaces in an ion implanter
JP2000350970A (en) 1999-05-10 2000-12-19 Eaton Corp Method and apparatus for cleaning contaminated surface in ion injector
US6441382B1 (en) 1999-05-21 2002-08-27 Axcelis Technologies, Inc. Deceleration electrode configuration for ultra-low energy ion implanter
US6423976B1 (en) * 1999-05-28 2002-07-23 Applied Materials, Inc. Ion implanter and a method of implanting ions
US6885812B2 (en) * 2003-03-06 2005-04-26 Mks Instruments, Inc. System and method for heating solid or vapor source vessels and flow paths
US6288403B1 (en) 1999-10-11 2001-09-11 Axcelis Technologies, Inc. Decaborane ionizer
US7838842B2 (en) * 1999-12-13 2010-11-23 Semequip, Inc. Dual mode ion source for ion implantation
US6452338B1 (en) 1999-12-13 2002-09-17 Semequip, Inc. Electron beam ion source with integral low-temperature vaporizer
US6710358B1 (en) * 2000-02-25 2004-03-23 Advanced Ion Beam Technology, Inc. Apparatus and method for reducing energy contamination of low energy ion beams
DE10008829B4 (en) 2000-02-25 2005-06-23 Steag Rtp Systems Gmbh Method of removing adsorbed molecules from a chamber
US6489622B1 (en) 2000-03-01 2002-12-03 Advanced Ion Beam Technology, Inc. Apparatus for decelerating ion beams with minimal energy contamination
US6946667B2 (en) 2000-03-01 2005-09-20 Advanced Ion Beam Technology, Inc. Apparatus to decelerate and control ion beams to improve the total quality of ion implantation
US6885014B2 (en) * 2002-05-01 2005-04-26 Axcelis Technologies, Inc. Symmetric beamline and methods for generating a mass-analyzed ribbon ion beam
US6703628B2 (en) * 2000-07-25 2004-03-09 Axceliss Technologies, Inc Method and system for ion beam containment in an ion beam guide
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US6639227B1 (en) 2000-10-18 2003-10-28 Applied Materials, Inc. Apparatus and method for charged particle filtering and ion implantation
US6559462B1 (en) * 2000-10-31 2003-05-06 International Business Machines Corporation Method to reduce downtime while implanting GeF4
US6617593B2 (en) 2000-12-04 2003-09-09 Intel Corporation Ion implantation system
US6479828B2 (en) 2000-12-15 2002-11-12 Axcelis Tech Inc Method and system for icosaborane implantation
TW523796B (en) 2000-12-28 2003-03-11 Axcelis Tech Inc Method and apparatus for improved ion acceleration in an ion implantation system
US6852242B2 (en) * 2001-02-23 2005-02-08 Zhi-Wen Sun Cleaning of multicompositional etchant residues
US6670623B2 (en) 2001-03-07 2003-12-30 Advanced Technology Materials, Inc. Thermal regulation of an ion implantation system
US6545419B2 (en) * 2001-03-07 2003-04-08 Advanced Technology Materials, Inc. Double chamber ion implantation system
US6452198B1 (en) 2001-06-28 2002-09-17 Advanced Micro Devices, Inc. Minimized contamination of semiconductor wafers within an implantation system
JP2003023051A (en) 2001-07-10 2003-01-24 Mitsubishi Electric Corp Checking apparatus for semiconductor device
US20030030010A1 (en) * 2001-08-07 2003-02-13 Perel Alexander S. Decaborane vaporizer having improved vapor flow
US6772776B2 (en) * 2001-09-18 2004-08-10 Euv Llc Apparatus for in situ cleaning of carbon contaminated surfaces
GB0128913D0 (en) * 2001-12-03 2002-01-23 Applied Materials Inc Improvements in ion sources for ion implantation apparatus
US20030111014A1 (en) * 2001-12-18 2003-06-19 Donatucci Matthew B. Vaporizer/delivery vessel for volatile/thermally sensitive solid and liquid compounds
US6664547B2 (en) 2002-05-01 2003-12-16 Axcelis Technologies, Inc. Ion source providing ribbon beam with controllable density profile
DE10392706B4 (en) 2002-05-31 2016-09-29 Waters Technologies Corp. (N.D.Ges.D. Staates Delaware) Fast combination multi-mode ionization source for mass spectrometers
US6712084B2 (en) * 2002-06-24 2004-03-30 Mks Instruments, Inc. Apparatus and method for pressure fluctuation insensitive mass flow control
US6686595B2 (en) * 2002-06-26 2004-02-03 Semequip Inc. Electron impact ion source
US20040002202A1 (en) * 2002-06-26 2004-01-01 Horsky Thomas Neil Method of manufacturing CMOS devices by the implantation of N- and P-type cluster ions
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US6841141B2 (en) * 2002-09-26 2005-01-11 Advanced Technology Materials, Inc. System for in-situ generation of fluorine radicals and/or fluorine-containing interhalogen (XFn) compounds for use in cleaning semiconductor processing chambers
US6740586B1 (en) * 2002-11-06 2004-05-25 Advanced Technology Materials, Inc. Vapor delivery system for solid precursors and method of using same
US7410890B2 (en) 2002-12-12 2008-08-12 Tel Epion Inc. Formation of doped regions and/or ultra-shallow junctions in semiconductor materials by gas-cluster ion irradiation
US6777696B1 (en) * 2003-02-21 2004-08-17 Axcelis Technologies, Inc. Deflecting acceleration/deceleration gap
US6670624B1 (en) 2003-03-07 2003-12-30 International Business Machines Corporation Ion implanter in-situ mass spectrometer
US6770888B1 (en) * 2003-05-15 2004-08-03 Axcelis Technologies, Inc. High mass resolution magnet for ribbon beam ion implanters
US6909839B2 (en) * 2003-07-23 2005-06-21 Advanced Technology Materials, Inc. Delivery systems for efficient vaporization of precursor source material
US20080223409A1 (en) 2003-12-12 2008-09-18 Horsky Thomas N Method and apparatus for extending equipment uptime in ion implantation
US7791047B2 (en) 2003-12-12 2010-09-07 Semequip, Inc. Method and apparatus for extracting ions from an ion source for use in ion implantation
US6909102B1 (en) * 2004-01-21 2005-06-21 Varian Semiconductor Equipment Associates, Inc. Ion implanter system, method and program product including particle detection
WO2005074586A2 (en) 2004-02-02 2005-08-18 Semequip Inc. Method of production of b10h102- ammonium salts and methods of production of b18h22
US6956225B1 (en) 2004-04-01 2005-10-18 Axcelis Technologies, Inc. Method and apparatus for selective pre-dispersion of extracted ion beams in ion implantation systems
US7112789B2 (en) 2004-05-18 2006-09-26 White Nicholas R High aspect ratio, high mass resolution analyzer magnet and system for ribbon ion beams
US20050260354A1 (en) 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US20060017010A1 (en) * 2004-07-22 2006-01-26 Axcelis Technologies, Inc. Magnet for scanning ion beams
US7581549B2 (en) * 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
JP2008522429A (en) 2004-12-03 2008-06-26 エピオン コーポレーション Formation of ultra-shallow junction by gas cluster ion irradiation
US6992311B1 (en) * 2005-01-18 2006-01-31 Axcelis Technologies, Inc. In-situ cleaning of beam defining apertures in an ion implanter
US7491947B2 (en) * 2005-08-17 2009-02-17 Varian Semiconductor Equipment Associates, Inc. Technique for improving performance and extending lifetime of indirectly heated cathode ion source
US7531819B2 (en) * 2005-12-20 2009-05-12 Axcelis Technologies, Inc. Fluorine based cleaning of an ion source

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040077511A1 (en) * 2002-10-17 2004-04-22 Applied Materials, Inc. Method for performing fluorocarbon chamber cleaning to eliminate fluorine memory effect
US20050279381A1 (en) * 2002-11-05 2005-12-22 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) Method for cleaning microstructure
WO2005059942A2 (en) * 2003-12-12 2005-06-30 Semequip, Inc. Method and apparatus for extending equipment uptime in ion implantation
US20060088666A1 (en) * 2004-06-04 2006-04-27 Applied Microstructures, Inc. Controlled vapor deposition of biocompatible coatings over surface-treated substrates

Also Published As

Publication number Publication date
US20090081874A1 (en) 2009-03-26
TWI393179B (en) 2013-04-11
TW200939324A (en) 2009-09-16
US7875125B2 (en) 2011-01-25

Similar Documents

Publication Publication Date Title
US8530343B2 (en) System and method for the manufacture of semiconductor devices by the implantation of carbon clusters
KR101492533B1 (en) Techniques for forming shallow junctions
JP5856565B2 (en) Method for cleaning ion source components
US7875125B2 (en) Method for extending equipment uptime in ion implantation
KR102013959B1 (en) Photoresist strip processes for improved device integrity
WO2008058049A2 (en) Ion implantation device and method of semiconductor manufacturing by the implantation of molecular ions containing phosphorus and arsenic
US8003957B2 (en) Ethane implantation with a dilution gas
US20080242066A1 (en) Method Of Manufacturing Semiconductor
US20110034014A1 (en) Cold implant for optimized silicide formation
US20180247801A1 (en) Gallium implantation cleaning method
JP2006221941A (en) Ion implantation device and ion implantation method
Chang et al. High Mass Molecular Ion Implantation

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 08832585

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 08832585

Country of ref document: EP

Kind code of ref document: A1