WO2005093780A3 - Rf plasma source with conductive top section - Google Patents

Rf plasma source with conductive top section Download PDF

Info

Publication number
WO2005093780A3
WO2005093780A3 PCT/US2005/008709 US2005008709W WO2005093780A3 WO 2005093780 A3 WO2005093780 A3 WO 2005093780A3 US 2005008709 W US2005008709 W US 2005008709W WO 2005093780 A3 WO2005093780 A3 WO 2005093780A3
Authority
WO
WIPO (PCT)
Prior art keywords
section
chamber
plasma source
extends
radio frequency
Prior art date
Application number
PCT/US2005/008709
Other languages
French (fr)
Other versions
WO2005093780A2 (en
Inventor
Vikram Singh
Harold Persing
Timothy Miller
Original Assignee
Varian Semiconductor Equipment
Vikram Singh
Harold Persing
Timothy Miller
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Varian Semiconductor Equipment, Vikram Singh, Harold Persing, Timothy Miller filed Critical Varian Semiconductor Equipment
Publication of WO2005093780A2 publication Critical patent/WO2005093780A2/en
Publication of WO2005093780A3 publication Critical patent/WO2005093780A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation

Abstract

A plasma source includes a chamber that contains a process gas. The chamber has a chamber top comprising a first section formed of a dielectric material that extends in a horizontal direction. A second section of the chamber top is formed of a dielectric material that extends a height from the first section in a vertical direction. A top section of the chamber top is formed of a conductive material that extends a length across the second section in the horizontal direction. A radio frequency antenna is positioned proximate to at least one of the first section and the second section. The radio frequency antenna induces radio frequency currents into the chamber that excite and ionize the process gas so as to generate a plasma in the chamber.
PCT/US2005/008709 2004-03-22 2005-03-16 Rf plasma source with conductive top section WO2005093780A2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/805,966 2004-03-22
US10/805,966 US20050205211A1 (en) 2004-03-22 2004-03-22 Plasma immersion ion implantion apparatus and method
US10/905,172 2004-12-20
US10/905,172 US20050205212A1 (en) 2004-03-22 2004-12-20 RF Plasma Source With Conductive Top Section

Publications (2)

Publication Number Publication Date
WO2005093780A2 WO2005093780A2 (en) 2005-10-06
WO2005093780A3 true WO2005093780A3 (en) 2006-06-08

Family

ID=34962830

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2005/008709 WO2005093780A2 (en) 2004-03-22 2005-03-16 Rf plasma source with conductive top section

Country Status (3)

Country Link
US (2) US20050205211A1 (en)
TW (1) TWI423735B (en)
WO (1) WO2005093780A2 (en)

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040149219A1 (en) * 2002-10-02 2004-08-05 Tomohiro Okumura Plasma doping method and plasma doping apparatus
US7294574B2 (en) * 2004-08-09 2007-11-13 Applied Materials, Inc. Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
US7524743B2 (en) * 2005-10-13 2009-04-28 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
US20070084564A1 (en) * 2005-10-13 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
KR20070065684A (en) * 2005-12-20 2007-06-25 주식회사 케이씨텍 Antenna for generating plasma and manufacturing method of the same, plasma processing apparatus of the same
US20070170867A1 (en) * 2006-01-24 2007-07-26 Varian Semiconductor Equipment Associates, Inc. Plasma Immersion Ion Source With Low Effective Antenna Voltage
US20070224840A1 (en) * 2006-03-21 2007-09-27 Varian Semiconductor Equipment Associates, Inc. Method of Plasma Processing with In-Situ Monitoring and Process Parameter Tuning
US20080075880A1 (en) * 2006-09-26 2008-03-27 Anthony Renau Non-doping implantation process utilizing a plasma ion implantation system
US20080132046A1 (en) * 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
US20080169183A1 (en) * 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
US7820533B2 (en) * 2007-02-16 2010-10-26 Varian Semiconductor Equipment Associates, Inc. Multi-step plasma doping with improved dose control
US20080204795A1 (en) * 2007-02-23 2008-08-28 Samsung Electronics Co., Ltd. Data transmission apparatus and method of controlling the same and method of processing data to be printed onto a printable medium
US20090004873A1 (en) * 2007-06-26 2009-01-01 Intevac, Inc. Hybrid etch chamber with decoupled plasma controls
US20090004836A1 (en) * 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US20090008577A1 (en) * 2007-07-07 2009-01-08 Varian Semiconductor Equipment Associates, Inc. Conformal Doping Using High Neutral Density Plasma Implant
US20090017229A1 (en) * 2007-07-10 2009-01-15 Varian Semiconductor Equipment Associates, Inc. Processing System Platen having a Variable Thermal Conductivity Profile
US20090104761A1 (en) * 2007-10-19 2009-04-23 Varian Semiconductor Equipment Associates, Inc. Plasma Doping System With Charge Control
US20090104719A1 (en) * 2007-10-23 2009-04-23 Varian Semiconductor Equipment Associates, Inc. Plasma Doping System with In-Situ Chamber Condition Monitoring
US7586100B2 (en) * 2008-02-12 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
US20090227096A1 (en) * 2008-03-07 2009-09-10 Varian Semiconductor Equipment Associates, Inc. Method Of Forming A Retrograde Material Profile Using Ion Implantation
JP2011522381A (en) 2008-05-30 2011-07-28 コロラド ステート ユニバーシティ リサーチ ファンデーション Plasma-based chemical source apparatus and method of use thereof
US8994270B2 (en) 2008-05-30 2015-03-31 Colorado State University Research Foundation System and methods for plasma application
WO2011123124A1 (en) 2010-03-31 2011-10-06 Colorado State University Research Foundation Liquid-gas interface plasma device
JP5649153B2 (en) * 2008-07-11 2015-01-07 住友重機械工業株式会社 Plasma processing apparatus and plasma processing method
US7927986B2 (en) * 2008-07-22 2011-04-19 Varian Semiconductor Equipment Associates, Inc. Ion implantation with heavy halogenide compounds
US20100048018A1 (en) * 2008-08-25 2010-02-25 Varian Semiconductor Equipment Associates, Inc. Doped Layers for Reducing Electromigration
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
US7993937B2 (en) * 2009-09-23 2011-08-09 Tokyo Electron Limited DC and RF hybrid processing system
KR101757920B1 (en) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 Plasma processing apparatus and plasma processing method
JP5592098B2 (en) * 2009-10-27 2014-09-17 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
CN102054649B (en) * 2009-10-27 2014-03-19 东京毅力科创株式会社 Plasma processing apparatus and plasma processing method
KR101757922B1 (en) * 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 Plamsa processing apparatus
JP5851681B2 (en) * 2009-10-27 2016-02-03 東京エレクトロン株式会社 Plasma processing equipment
US8222822B2 (en) 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
US8642974B2 (en) * 2009-12-30 2014-02-04 Fei Company Encapsulation of electrodes in solid media for use in conjunction with fluid high voltage isolation
TWI424796B (en) * 2010-02-12 2014-01-21 Advanced Micro Fab Equip Inc Plasma processing device with diffusion dissociation region
CA2794902A1 (en) 2010-03-31 2011-10-06 Colorado State University Research Foundation Liquid-gas interface plasma device
JP5781349B2 (en) * 2011-03-30 2015-09-24 東京エレクトロン株式会社 Plasma processing equipment
RU2479668C1 (en) * 2011-10-03 2013-04-20 Валерий Николаевич Пименов Ion-plasma alloying method of product surface
CN104428883B (en) 2011-11-08 2017-02-22 因特瓦克公司 substrate processing system and method
WO2014100506A1 (en) * 2012-12-19 2014-06-26 Intevac, Inc. Grid for plasma ion implant
US9532826B2 (en) 2013-03-06 2017-01-03 Covidien Lp System and method for sinus surgery
US8669538B1 (en) * 2013-03-12 2014-03-11 Varian Semiconductor Equipment Associates, Inc. Method of improving ion beam quality in an implant system
US9555145B2 (en) 2013-03-13 2017-01-31 Covidien Lp System and method for biofilm remediation
US9736920B2 (en) 2015-02-06 2017-08-15 Mks Instruments, Inc. Apparatus and method for plasma ignition with a self-resonating device
CN106711007B (en) * 2015-11-17 2018-08-14 中微半导体设备(上海)有限公司 A kind of inductively type plasma processing apparatus
KR20200072557A (en) * 2017-12-27 2020-06-22 매슨 테크놀로지 인크 Plasma processing apparatus and method
US11120973B2 (en) * 2019-05-10 2021-09-14 Applied Materials, Inc. Plasma processing apparatus and techniques
US20210020405A1 (en) * 2019-07-18 2021-01-21 Tokyo Electron Limited Equipment and methods for plasma processing
CN111192812B (en) * 2020-01-07 2022-11-25 北京北方华创微电子装备有限公司 Inductive coupling device and semiconductor processing equipment
WO2021222726A1 (en) * 2020-05-01 2021-11-04 Mattson Technology, Inc. Methods and apparatus for pulsed inductively coupled plasma for surface treatment processing
CN112376029B (en) * 2020-11-11 2022-10-21 北京北方华创微电子装备有限公司 Plasma immersion ion implantation apparatus

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
EP0810816A1 (en) * 1996-05-30 1997-12-03 Applied Materials, Inc. Balanced source for plasma system
US6083363A (en) * 1997-07-02 2000-07-04 Tokyo Electron Limited Apparatus and method for uniform, low-damage anisotropic plasma processing
US6518190B1 (en) * 1999-12-23 2003-02-11 Applied Materials Inc. Plasma reactor with dry clean apparatus and method
US20030201722A1 (en) * 2002-04-24 2003-10-30 Appleyard Nicholas John Plasma processing apparatus

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US85246A (en) * 1868-12-22 Improvement in bolts for safe-doors
US149218A (en) * 1874-03-31 Improvement in molds for forming articles of rubber and other material
US107908A (en) * 1870-10-04 Improvement in corn-planters
US107909A (en) * 1870-10-04 Improvement in projectiles
US112542A (en) * 1871-03-14 Improvement in powder-flasks
US107906A (en) * 1870-10-04 Improvement in stump-extractors
US38486A (en) * 1863-05-12 Improvement in clutches
JPS6372877A (en) * 1986-09-12 1988-04-02 Tokuda Seisakusho Ltd Vacuum treatment device
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5707486A (en) * 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US5241245A (en) * 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
KR100238627B1 (en) * 1993-01-12 2000-01-15 히가시 데쓰로 Plasma processing apparatus
US5354381A (en) * 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
JP3279038B2 (en) * 1994-01-31 2002-04-30 ソニー株式会社 Plasma apparatus and plasma processing method using the same
JP3365067B2 (en) * 1994-02-10 2003-01-08 ソニー株式会社 Plasma apparatus and plasma processing method using the same
ATE251798T1 (en) * 1994-04-28 2003-10-15 Applied Materials Inc METHOD FOR OPERATING A HIGH PLASMA DENSITY CVD REACTOR WITH COMBINED INDUCTIVE AND CAPACITIVE COUPLING
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
US5919382A (en) * 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
JP3424867B2 (en) * 1994-12-06 2003-07-07 富士通株式会社 Plasma processing apparatus and plasma processing method
US5888413A (en) * 1995-06-06 1999-03-30 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
TW279240B (en) * 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
JPH0982495A (en) * 1995-09-18 1997-03-28 Toshiba Corp Plasma producing device and method
US6264812B1 (en) * 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5838111A (en) * 1996-02-27 1998-11-17 Matsushita Electric Industrial Co., Ltd. Plasma generator with antennas attached to top electrodes
JPH09251935A (en) * 1996-03-18 1997-09-22 Applied Materials Inc Plasma igniter, semiconductor producing apparatus using plasma and plasma igniting method for semiconductor device
JP3739137B2 (en) * 1996-06-18 2006-01-25 日本電気株式会社 Plasma generator and surface treatment apparatus using the plasma generator
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US6534922B2 (en) * 1996-09-27 2003-03-18 Surface Technology Systems, Plc Plasma processing apparatus
US5911832A (en) * 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
DE19702294A1 (en) * 1997-01-23 1998-07-30 Rossendorf Forschzent Modulator for plasma immersion ion implantation
US5824607A (en) * 1997-02-06 1998-10-20 Applied Materials, Inc. Plasma confinement for an inductively coupled plasma reactor
TW376547B (en) * 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
US6186091B1 (en) * 1998-02-11 2001-02-13 Silicon Genesis Corporation Shielded platen design for plasma immersion ion implantation
US6055928A (en) * 1998-03-02 2000-05-02 Ball Semiconductor, Inc. Plasma immersion ion processor for fabricating semiconductor integrated circuits
US6164241A (en) * 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
US6300227B1 (en) * 1998-12-01 2001-10-09 Silicon Genesis Corporation Enhanced plasma mode and system for plasma immersion ion implantation
US6213050B1 (en) * 1998-12-01 2001-04-10 Silicon Genesis Corporation Enhanced plasma mode and computer system for plasma immersion ion implantation
DE19933841A1 (en) * 1999-07-20 2001-02-01 Bosch Gmbh Robert Device and method for etching a substrate by means of an inductively coupled plasma
US6744213B2 (en) * 1999-11-15 2004-06-01 Lam Research Corporation Antenna for producing uniform process rates
KR100545034B1 (en) * 2000-02-21 2006-01-24 가부시끼가이샤 히다치 세이사꾸쇼 Plasma processing apparatus and method for processing substrate
TW449806B (en) * 2000-06-15 2001-08-11 Nat Science Council Plasma manufacturing method with plasma density feedback control
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US6527912B2 (en) * 2001-03-30 2003-03-04 Lam Research Corporation Stacked RF excitation coil for inductive plasma processor
TW533752B (en) * 2001-04-06 2003-05-21 Axcelis Tech Inc Plasma source having supplemental energizer for ion enhancement
US6626188B2 (en) * 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
CN100533651C (en) * 2002-06-12 2009-08-26 应用材料有限公司 Plasma apparatus and method for processing a substrate
US6842147B2 (en) * 2002-07-22 2005-01-11 Lam Research Corporation Method and apparatus for producing uniform processing rates

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
EP0810816A1 (en) * 1996-05-30 1997-12-03 Applied Materials, Inc. Balanced source for plasma system
US6083363A (en) * 1997-07-02 2000-07-04 Tokyo Electron Limited Apparatus and method for uniform, low-damage anisotropic plasma processing
US6518190B1 (en) * 1999-12-23 2003-02-11 Applied Materials Inc. Plasma reactor with dry clean apparatus and method
US20030201722A1 (en) * 2002-04-24 2003-10-30 Appleyard Nicholas John Plasma processing apparatus

Also Published As

Publication number Publication date
US20050205211A1 (en) 2005-09-22
TW200534751A (en) 2005-10-16
WO2005093780A2 (en) 2005-10-06
TWI423735B (en) 2014-01-11
US20050205212A1 (en) 2005-09-22

Similar Documents

Publication Publication Date Title
WO2005093780A3 (en) Rf plasma source with conductive top section
TW200802598A (en) Plasma processing apparatus and plasma processing method
EP1993745A4 (en) Plasma processing reactor with multiple capacitive and inductive power sources
WO2007079496A3 (en) Plasma lamp with field-concentrating antenna
WO2010071785A3 (en) Plasma confinement structures in plasma processing systems
SG91920A1 (en) Multiple frequency plasma chamber with grounding capacitor at cathode
TW200733821A (en) Plasma immersion ion source with low effective antenna voltage
SG139539A1 (en) Packaged integrated atenna for circular and linear polarizations
TW200644047A (en) Plasma processing apparatus
WO2006026110A3 (en) Yttria insulator ring for use inside a plasma chamber
WO2001071765A3 (en) Plasma reactor with overhead rf electrode tuned to the plasma
WO2003055287A3 (en) Plasma reactor with overhead rf electrode tuned to the plasma with arcing suppression
WO2005119731A3 (en) Vacuum plasma processor including control in response to dc bias voltage
WO2006074050A3 (en) Electrically enhancing the confinement of plasma
AU2002216110A1 (en) Antenna, in particular mobile radio antenna
TW200515507A (en) Plasma control using dual cathode frequency mixing
Semmler et al. Heating of a dual frequency capacitively coupled plasma via the plasma series resonance
WO2010122459A3 (en) Method and apparatus for high aspect ratio dielectric etch
WO2004003963A3 (en) Plasma processor with electrode simultaneously responsive to plural frequencies
WO2005074000A3 (en) Compact, distributed inductive element for large scale inductively-coupled plasma sources
TW200632980A (en) Plasma generation apparatus
WO2004012235A3 (en) Atmospheric pressure plasma processing reactor
TW200802596A (en) Plasma processing method and plasma processing apparatus
ATE414338T1 (en) HIGH FREQUENCY FILTER
TW200943410A (en) Plasma control using dual cathode frequency mixing

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SM SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
NENP Non-entry into the national phase

Ref country code: DE

WWW Wipo information: withdrawn in national office

Country of ref document: DE

122 Ep: pct application non-entry in european phase