WO2004076127A1 - Method of manufacturing a fixed abrasive material - Google Patents

Method of manufacturing a fixed abrasive material Download PDF

Info

Publication number
WO2004076127A1
WO2004076127A1 PCT/US2004/004920 US2004004920W WO2004076127A1 WO 2004076127 A1 WO2004076127 A1 WO 2004076127A1 US 2004004920 W US2004004920 W US 2004004920W WO 2004076127 A1 WO2004076127 A1 WO 2004076127A1
Authority
WO
WIPO (PCT)
Prior art keywords
fixed abrasive
forming
abrasive material
aqueous dispersion
weight percent
Prior art date
Application number
PCT/US2004/004920
Other languages
French (fr)
Other versions
WO2004076127A8 (en
Inventor
Sudhakar Balijepalli
Dale J. Aldrich
Laura A. Grier
Original Assignee
Dow Global Technologies Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dow Global Technologies Inc. filed Critical Dow Global Technologies Inc.
Priority to EP04712882A priority Critical patent/EP1597024A1/en
Priority to JP2006503709A priority patent/JP2006519115A/en
Publication of WO2004076127A1 publication Critical patent/WO2004076127A1/en
Publication of WO2004076127A8 publication Critical patent/WO2004076127A8/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24DTOOLS FOR GRINDING, BUFFING OR SHARPENING
    • B24D18/00Manufacture of grinding tools or other grinding devices, e.g. wheels, not otherwise provided for
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24DTOOLS FOR GRINDING, BUFFING OR SHARPENING
    • B24D13/00Wheels having flexibly-acting working parts, e.g. buffing wheels; Mountings therefor
    • B24D13/14Wheels having flexibly-acting working parts, e.g. buffing wheels; Mountings therefor acting by the front face
    • B24D13/147Wheels having flexibly-acting working parts, e.g. buffing wheels; Mountings therefor acting by the front face comprising assemblies of felted or spongy material; comprising pads surrounded by a flexible material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24DTOOLS FOR GRINDING, BUFFING OR SHARPENING
    • B24D3/00Physical features of abrasive bodies, or sheets, e.g. abrasive surfaces of special nature; Abrasive bodies or sheets characterised by their constituents
    • B24D3/02Physical features of abrasive bodies, or sheets, e.g. abrasive surfaces of special nature; Abrasive bodies or sheets characterised by their constituents the constituent being used as bonding agent
    • B24D3/20Physical features of abrasive bodies, or sheets, e.g. abrasive surfaces of special nature; Abrasive bodies or sheets characterised by their constituents the constituent being used as bonding agent and being essentially organic
    • B24D3/28Resins or natural or synthetic macromolecular compounds
    • B24D3/32Resins or natural or synthetic macromolecular compounds for porous or cellular structure

Definitions

  • the present invention relates generally to fixed abrasive materials and, in particular, the manufacture of fixed abrasive materials suitable for use in planarizing pads for removing process material layers from the surface of semiconductor substrates.
  • Ultra large scale integrated (ULSI) semiconductor devices such as dynamic random access memories (DRAMs) and synchronous dynamic random access memories (SDRAMs), consist of multiple layers of conducting, semiconducting, and insulating materials, interconnected within and between layers in specific patterns designed to produce desired electronic functionalities.
  • the materials are selectively patterned on each layer of the device, using lithographic techniques, involving masking and etching the materials. This is a very precise process, particularly as the size of the device structures continues to decrease and the complexity of the circuits continues to increase. Height differences, pitch and reflectivity variations and other imperfections present in the surface of underlying layers may compromise the formation of additional process layers and/or the ability to precisely position and dimension photoresist patterns formed during subsequent lithography processes.
  • CMP processes have been developed for removing a wide variety of materials including oxides, nitrides, suicides and metals from the surface of a semiconductor substrate.
  • planarization and polishing are intended to be mutually inclusive terms for the same general category of processes.
  • Machines used for CMP processing can be broadly grouped into either web-feed or fixed-pad categories. In both categories, however, the basic process uses a combination of a planarizing pad and a planarizing liquid to remove material from the surface of a semiconductor substrate using primarily mechanical action or through a combination of chemical and mechanical action.
  • the planarizing pads in turn, can be broadly grouped into fixed-abrasive (FA) or non-abrasive (NA) categories.
  • FA fixed-abrasive
  • NA non-abrasive
  • abrasive particles are distributed in material that forms at least a portion of the planarizing surface of the pad, while non- abrasive pad compositions do not include any abrasive particles.
  • the fixed- abrasive pads already include abrasive particles, they are typically used in combination with a "clean" planarizing liquid that does not add additional abrasive particles.
  • both the "clean" and abrasive planarizing liquids can also include other chemical components, such as oxidizers, surfactants, viscosity modifiers, acids and/or bases in order to achieve the desired liquid properties for the removal of the targeted material layer from the semiconductor substrate and/or to provide lubrication for decreasing defectivity rates.
  • CMP processes typically utilize a combination of mechanical abrasion and chemical reaction(s) provided by the action of the planarizing slurry or planarizing liquid and a planarizing pad in order to remove one or more materials from a wafer surface and produce a substantially planar wafer surface.
  • Planarizing slurries used in combination with non-abrasive pads generally comprise a basic aqueous solution of a hydroxide, such as KOH, containing abrasive silica particles.
  • Planarizing slurries, particularly for the removal of metal layers such as copper generally comprise an aqueous solution of one or more oxidizers, such as hydrogen peroxide, to form the corresponding metal oxide that is then removed from the substrate surface.
  • planarizing pads used in such processes typically comprise porous or fibrous materials, such as polyurethanes, that provide a relatively compliant surface onto which the planarizing slurry may be dispensed.
  • the consistency of a CMP process may be greatly improved by automating the process so that the planarizing is terminated in response to a consistently measurable endpoint reflecting sufficient removal of an overlying material layer, typically followed by a brief "overetch” or “over-polish” to compensate for variations in the thickness of the material layer.
  • the size and concentration of the particles for planarizing a wafer surface can directly affect the resulting surface finish and the productivity of a CMP process. For example, if the abrasive particulate concentration is too low or the abrasive particle size too small, the material removal rate will generally slow and process throughput will be reduced. Conversely, if the abrasive particulate concentration is too high, the abrasive particles are too large or the abrasive particles begin to agglomerate, the wafer surface is more likely to be damaged, the CMP process may tend to become more variable and/or the material removal rate may decrease, resulting in reduced throughput, reduced yields or device reliability and/or increased scrap.
  • CMP processes may experience significant performance variations over time that further complicate processing of the wafers and reduce process throughput.
  • the performance variations may be attributable to changes in the characteristics of the planarizing pad as a result of the CMP process itself. Such changes may result from particulates agglomerating and/or becoming lodged in or hardening on the pad surface. Such changes may also be the result of wear, glazing or deformation of the pad, or simply the degradation of the pad material over time.
  • the planarizing machine brings the non-planar surface of a material layer formed over one or more patterns on a semiconductor substrate into contact with a planarizing surface of the planarizing pad.
  • the surface of the planarizing pad will typically be continuously wetted with an abrasive slurry and/or a planarizing liquid to produce the desired planarizing surface.
  • the substrate and or the planarizing surface of the pad are then urged into contact and moved relative to one another to cause the planarizing surface to begin removing an upper portion of the material layer.
  • This relative motion can be simple or complex and may include one or more lateral, rotational, revolving or orbital movements by the planarizing pad and/or the substrate in order to produce generally uniform removal of the material layer across the surface of the substrate.
  • lateral movement is movement in a single direction
  • rotational movement is rotation about an axis through the center point of the rotating object
  • revolving movement is rotation of the revolving object about a non-centered axis
  • orbital movement is rotational or revolving movement combined with an oscillation.
  • the relative motion of the substrate and the planarizing pad may incorporate different types of movement, the motion must typically be confined to a plane substantially parallel to the surface of substrate in order to achieve a planarized substrate surface.
  • Fixed abrasive pad types are known in the art of semiconductor wafer processing and have been disclosed in, for example, U.S. Pat. No. 5,692,950 to Rutherford et al.; U.S. Pat. No. 5,624,303 to Robinson; and U.S. Pat. No. 5,335,453 to Baldy et al. These types of fixed abrasive pads typically require a pre-conditioning cycle before they may be used in a CMP process, as well as periodic re-conditioning or in-situ surface conditioning during use, to generate a suitable number of asperities on the planarizing surface to maintain their planarizing ability.
  • the primary goal of CMP processing is to produce a defect-free planarized substrate surface having a material layer, or portions of a material layer, of uniform depth across the entire surface of the planarized substrate.
  • Other goals such as maximizing the throughput of the CMP process and reducing the per wafer cost, may, at times, conflict with the production of the best possible planarized surface.
  • the uniformity of the planarized surfaces and the process throughput are directly related to the effectiveness and repeatability of the entire CMP process including the planarizing liquid, the planarizing pad, machine maintenance, as well as an array of other operating parameters.
  • planarizing slurries and liquids have been developed that are somewhat specific to the composition of the material layer or layers that are to be removed and/or the composition of the planarizing pad being used. These tailored slurries and liquids are intended to provide adequate material removal rates and selectivity for particular CMP processes.
  • the benefits of CMP may be somewhat offset by the variations inherent in such a combination process, such as imbalances that may exist or may develop between the chemical and mechanical material removal rates of different material layers exposed on a single semiconductor substrate. Further, both the abrasive particles and other chemicals used in a typical CMP process may be relatively expensive and are generally unsuitable for reuse or recycling.
  • U.S. Pat. No. 5,421,769 to Schultz et al. discloses a noncircular planarizing pad intended to compensate for variations resulting from the edges of a rotating wafer traveling across more of a planarizing pad than the interior surfaces.
  • U.S. Pat. No. 5,441,598 to Yu et al. discloses a planarizing pad having a textured planarizing surface for providing a planarizing surface intended to provide more even polishing of wide and narrow structures across a wafer surface.
  • the present invention provides a method for manufacturing a fixed abrasive material having an open cell foam structure suitable for use in CMP planarization pads.
  • the method comprises forming an aqueous polymer dispersion, typically comprising a polyurethane or polyurethane forming materials and abrasive particles, frothing the polymer dispersion to form a substantially homogeneous froth, applying the froth to a substrate, mold or carrier and curing the froth to form a fixed abrasive material having an open cell structure containing between about 5 and 85 wt% abrasive particles and a dry density of between about 350 kg/m 3 and 1200 kg/m 3 (about 21.8 - 75 lbs/ft 3 ).
  • the present invention provides a method for manufacturing fixed abrasive materials comprising: forming an aqueous dispersion, the aqueous dispersion including at least one of a polymer or a polymer forming mixture, abrasive particles, and a surfactant; injecting a frothing agent into the aqueous dispersion; mechanically frothing the aqueous dispersion and the frothing agent to form a substantially uniform froth; curing the uniform forth to form an open cell foam having interconnected cells and a polymer matrix wherein the abrasive particles are distributed substantially uniformly throughout the polymer matrix.
  • the present invention also provides a method for manufacturing fixed abrasive pads useful in the manufacture of semiconductor devices for planarizing one or more layers deposited or formed on a semiconductor substrate, comprising: forming an aqueous dispersion, the aqueous dispersion including a polymer or a polymer forming mixture, abrasive particles, the abrasive particles having an average particle size of less than about 5 ⁇ m, and a surfactant; injecting a frothing agent into the aqueous dispersion; mechanically frothing the aqueous dispersion and the frothing agent to form a substantially uniform froth; applying a layer of the froth to a substrate material; curing the layer of the forth to form a layer of open cell foam comprising interconnected cells and a polymer matrix wherein the abrasive particles are distributed substantially uniformly throughout the polymer matrix.
  • a planarizing or polishing pad according to the invention comprises a layer of the fixed abrasive material having an open cell foam structure containing between about 5 and 85 wt% abrasive particles and a dry bulk density of between about 350 kg/m 3 to 1200 kg/m 3 (about 21.8 - 75 lbs/ft 3 ) arranged on a suitable backing or substrate material.
  • FIGS. 1A-C are cross-sectional views of a semiconductor substrate with a raised pattern, a material layer formed over the pattern, and the planarized substrate at sequential processing stages;
  • FIGS. 2A-B are a plan view and a side view of a planarization apparatus that may be used for planarizing substrates using planarizing pads incorporating a layer of a fixed abrasive material manufactured according to an exemplary embodiment of the invention;
  • FIG. 3 A is a cross-sectional view generally corresponding to a fixed abrasive composition according to an exemplary embodiment of the invention
  • FIG. 3B is a cross-sectional view generally corresponding to a portion of a planarizing pad incorporating a layer of a fixed abrasive material according to an exemplary embodiment of the invention
  • FIGS. 4A-B are SEM microphotographs of a fixed abrasive material manufactured according to an exemplary embodiment of the invention.
  • FIGS. 5A-D are SEM micrographs reflecting the range of particle composition produced by the conditioning of a layer of a fixed abrasive material according to an exemplary embodiment of the invention provided on the planarizing surface of a planarizing pad;
  • FIG. 6 is a graph illustrating the measured pore size distribution for a fixed abrasive material manufactured according to an exemplary embodiment of the invention.
  • the present invention provides methods for producing fixed abrasive materials that may be useful in the production of semiconductor devices.
  • semiconductor devices include any wafer, substrate or other structure comprising one or more layers comprising conducting, semiconducting, and insulating materials.
  • the terms wafer and substrate are used herein in their broadest sense and include any base semiconductor structure such as metal-oxide-silicon (MOS), shallow-trench isolation (STI), silicon-on-sapphire (SOS), silicon-on-insulator (SOI), thin film transistor (TFT), doped and undoped semiconductors, epitaxial silicon, III-V semiconductor compositions, polysilicon, as well as other semiconductor structures at any stage during their manufacture.
  • MOS metal-oxide-silicon
  • STI shallow-trench isolation
  • SOS silicon-on-sapphire
  • SOI silicon-on-insulator
  • TFT thin film transistor
  • FIG. 1A illustrates a typical substrate 1 having a first layer 10 and a patterned second layer 12.
  • first layer 10 may comprise a wafer of single-crystal silicon or other base semiconductor layer, an insulating layer separating second patterned layer 12 from other layers, or a combination of multiple layers formed during previous processing steps.
  • a material layer 14 which may actually comprise multiple layers of one or more materials, is then typically formed or deposited over the patterned layer 12, producing a non-planar surface on the wafer.
  • semiconductor manufacturing processes include one or more planarization processes such as spin-on-glass (SOG), etchback (or blanket etch) or chemical-mechanical planarization (CMP) in order to form a substantially planar surface before the wafer is subjected to additional processing.
  • SOG spin-on-glass
  • CMP chemical-mechanical planarization
  • a typical CMP process will remove that portion of material layer 14 that lies over the patterned layer 12 while leaving that portion 14A of the material layer 14 that was deposited in the openings of patterned layer 12 to produce a substantially more planar surface as illustrated in FIG. lC.
  • a stop layer comprising a more CMP resistant material may be incorporated on the upper surface of the patterned layer 12 to protect the underlying pattern during the planarization process.
  • the actual composition and structure of the first layer 10, second layer 12 and the material layer 14 may comprise any combination of semiconductor, insulator or conductor materials assembled during the manufacture of a semiconductor device.
  • a typical CMP .apparatus for use with a fixed abrasive planarization pad will comprise at least a platen 16 supporting the planarizing pad 18, a wafer carrier 20 supporting a wafer 22 and positioning a major surface of the wafer adjacent a major surface of the planarizing pad 18, and a conditioning device 24 for conditioning the major surface of the planarizing pad and a carrier liquid supply line 26 for applying a carrier liquid to the major surface of the pad.
  • the platen 16 and the wafer carrier 20 are configured to provide relative motion between the major surface of the planarizing pad 18 and the major surface of the wafer 22 while applying a force tending to move the wafer and the planarizing pad against each other. Polishing Pads:
  • the fixed abrasive materials of the present invention have an open cell structure of a thermoset polymer matrix defining a plurality of interconnected cells and abrasive particles distributed generally uniformly throughout the polymer matrix.
  • the fixed abrasive materials of the present invention are preferably manufactured from a polymeric composition comprising an aqueous dispersion or emulsion of one or more compositions such as polyuretl anes, polyether polyols, polyester polyols, polyacrylate polyols and polystyrene/polyacrylate latexes.
  • the polymeric composition may also include one or more additives including polymerization catalysts, chain extenders, including amines and diols, isocyanates, both aliphatic and aromatic, surfactants and viscosity modifiers.
  • additives including polymerization catalysts, chain extenders, including amines and diols, isocyanates, both aliphatic and aromatic, surfactants and viscosity modifiers.
  • An exemplary embodiment of a polyurethane dispersion useful for manufacturing a fixed abrasive material according to the present invention includes water, abrasive particles and a polyurethane (and/or a mixture capable of forming a polyurethane).
  • the polyurethane dispersion will generally also include one or more additives such as surfactants, that may act as frothing aids, wetting agents and/or foam stabilizers, and viscosity modifiers.
  • Polyurethane-forming materials may include, for example, polyurethane prepolymers that retain some minor isocyanate reactivity for some period of time after being dispersed, but as referenced herein, a polyurethane prepolymer dispersion will have reacted substantially completely to form a polyurethane polymer dispersion.
  • polyurethane prepolymer and polyurethane polymer may encompass other types of structures such as, for example, urea groups.
  • Polyurethane prepolymers may be prepared by reacting active hydrogen compounds with an isocyanate, typically with a stoichiometric excess of the isocyanate.
  • the polyurethane prepolymers may exhibit isocyanate functionality in an amount from about 0.2 to 20 %, may have a molecular weight in the range of from about 100 to about 10,000, and are typically in a substantially liquid state under the conditions of the dispersal.
  • the prepolymer formulations typically include a polyol component, e.g., active hydrogen containing compounds having at least two hydroxyl or amine groups.
  • a polyol component e.g., active hydrogen containing compounds having at least two hydroxyl or amine groups.
  • Exemplary polyols are generally known and are described in such publications as High Polymers, Vol. XNI, "Polyurethanes, Chemistry and Technology," Saunders and Frisch, Interscience Publishers, New York, Vol. I, pp. 32-42, 44-54 (1962) and Vol. II, pp. 5-6, 198-199 (1964); Organic Polymer Chemistry, K. J. Saunders, Chapman and Hall, London, pp. 323-325 (1973); and Developments in Polyurethanes, Vol. I, J. M.
  • Active hydrogen containing compounds that may be used in the prepolymer formulations also include, alone or in an admixture, polyols comprising: (a) alkylene oxide adducts of polyhydroxyalkanes; (b) alkylene oxide adducts of non-reducing sugars and sugar derivatives; (c) alkylene oxide adducts of phosphorus and polyphosphorus acids; and (d) alkylene oxide adducts of polyphenols.
  • base polyols may be generally referred to herein as "base polyols.”
  • Examples of useful alkylene oxide adducts of polyhydroxyalkanes include adducts of ethylene glycol, propylene glycol, 1,3-dihydroxypropane, 1,4- dihydroxybutane, and 1,6-dihydroxyhexane, glycerol, 1,2,4-trihydroxybutane, 1,2,6- dihydroxyhexane, 1,1,1-trimethylolethane, 1,1,1-trimethylolpropane, pentaerythritol, polycaprolactone, xylitol, arabitol, sorbitol, mannitol.
  • alkylene oxide adducts of polyhydroxyalkanes include the propylene oxide adducts and ethylene oxide capped propylene oxide adducts of dihydroxy- and trihydroxyalkanes.
  • Yet other useful alkylene oxide adducts include adducts of ethylene diamine, glycerin, piperazine, water, ammonia, 1 ,2,3, 4-tetrahydroxy butane, fructose, sucrose.
  • the oxyethylene content may comprise between about 40 and about 80 wt% of the total polyol.
  • Ethylene oxide when used, may be incorporated in any way along the polymer chain, for example, as internal blocks, terminal blocks, randomly distributed blocks or any combination thereof.
  • Polyester polyols may also be used in preparing a polyurethane dispersion.
  • Polyester polyols are generally characterized by repeating ester units, which can be aromatic or aliphatic, and by the presence of terminal primary or secondary hydroxyl groups, although many polyesters terminating in at least two active hydrogen groups may be used.
  • the reaction product of the transesterification of glycols with poly(ethylene terephthalate) may be used to prepare polyurethane dispersions.
  • Other components useful in preparing a polyurethane dispersion include polyols having acrylic groups or amine groups, acrylate prepolymers, acrylate dispersions and hybrid prepolymers.
  • At least 50 wt% of the active hydrogen compounds used in preparing the polyurethane or polyurethane prepolymer is one or more polyether polyols having molecular weights of from about 600 to 20,000, more preferably from about 1,000 to 10,000 and most preferably from about 3,000 to 8,000, that also exhibit a hydroxyl functionality of at least 2.2, preferably between about 2.2 to 5.0, more preferably from about 2.5 to 3.8 and most preferably from about 2.6 to 3.5.
  • hydroxyl functionality is defined as the average calculated functionality of all polyol initiators after adjustment for any known side reactions which may affect functionality during polyol production.
  • the polyisocyanate component of the polyurethane or prepolymer formulations may include one or more organic polyisocyanates, modified polyisocyanates, isocyanate based prepolymers, or mixtures thereof.
  • the polyisocyanates may include aliphatic and cycloaliphatic isocyanates, but aromatic, and especially multifunctional aromatic isocyanates, such as 2,4- and 2,6-toluenediisocyanate and the corresponding isomeric mixtures; 4,4'-, 2,4'- and 2,2*-diphenyl-methanediisocyanate (MDI) and the corresponding isomeric mixtures; mixtures of 4,4'-, 2,4'- and 2,2'- diphenylmethanediisocyanates and polyphenyl polymethylene polyisocyanates (PMDI); and mixtures of PMDI and toluene diisocyanates are preferred.
  • the polyisocyanate used to prepare the prepolymer formulation of the present invention
  • the polyurethane prepolymers may include a chain extender or crosslinker.
  • a chain extender is used to build the molecular weight of the polyurethane prepolymer by reaction of the chain extender with the isocyanate functionality in the polyurethane prepolymer, i.e., "chain extend" the polyurethane prepolymer.
  • Suitable chain extenders and crosslinkers typically comprise a low equivalent weight active hydrogen containing compound having two or more active hydrogen groups per molecule. Chain extenders typically include at least two active hydrogen groups and crosslinkers typically include at least three active hydrogen groups such as hydroxyl, mercaptyl, or amino groups. Amine chain extenders may be blocked, encapsulated, or otherwise rendered less reactive. Other materials, particularly water, may also extend chain length and, therefore, may also be used as chain extenders in the polyurethane prepolymer formulation.
  • Polyamines are preferred as chain extenders and/or crosslinkers, particularly amine terminated polyethers such as, for example, JEFF AMINE D-400 from Huntsman Chemical Company, aminoethyl piperazine, 2-methyl piperazine, l,5-diamino ⁇ 3 ⁇ methyl- pentane, isophorone diamine, ethylene diamine, diethylene triamine, aminoethyl ethanolamine, triethylene tetraamine, triethylene pentaamine, ethanol amine, lysine in any of its stereoisomeric forms and salts thereof, hexane diamine, hydrazine and piperazine.
  • amine terminated polyethers such as, for example, JEFF AMINE D-400 from Huntsman Chemical Company, aminoethyl piperazine, 2-methyl piperazine, l,5-diamino ⁇ 3 ⁇ methyl- pentane, isophorone diamine, ethylene diamine, diethylene triamine, aminoethyl ethanolamine, tri
  • the chain extender may be used as an aqueous solution and may be present in an amount sufficient to react with up to 100 percent of the isocyanate functionality present in the prepolymer, based on one equivalent of isocyanate reacting with one equivalent of chain extender. Water may act as a chain extender and react with some or all of the isocyanate functionality present.
  • a catalyst may also be included to promote the reaction between a chain extender and an isocyanate and chain extenders having three or more active hydrogen groups may also concurrently function as crosslinkers.
  • Catalysts suitable for use in preparing the polyurethanes and polyurethane prepolymers utilized in the present invention include, for example, tertiary amines, organometallic compounds and mixtures thereof.
  • suitable catalysts include di-n-butyl tin bis(mercaptoacetic acid isooctyl ester), dimethyltin dilaurate, dibutyltin dilaurate, dibutyltin sulfide, stannous octoate, lead octoate, ferric acetylacetonate, bismuth carboxylates, triethylenediamine, N-rnethyl morpholine, and mixtures thereof.
  • a catalyst may decrease the time necessary to cure the polyurethane prepolymer dispersion to a tack-free state and may utilize a quantity of catalyst from about 0.01 to about 5 parts per 100 parts by weight of the polyurethane prepolymer.
  • Surfactants useful in the dispersion may include cationic surfactants, anionic surfactants or non-ionic surfactants.
  • Anionic surfactants include, for example, sulfonates, carboxylates, and phosphates
  • cationic surfactants include quaternary amines
  • non- ionic surfactants include block copolymers containing ethylene oxide, propylene oxide, butylene oxide, or a combination thereof and silicone surfactants.
  • Surfactants useful herein include external surfactants, i.e., surfactants that do not chemically react with the polymer during dispersion preparation, such as salts of dodecyl benzene sulfonic acid, and lauryl sulfonic acid.
  • Surfactants useful herein also include internal surfactants, that may chemically react with the polymer during dispersion preparation, such as 2,2- dimethylol propionic acid (DMPA) and its salts or sulfonated polyols neutralized with ammonium chloride.
  • DMPA 2,2- dimethylol propionic acid
  • the surfactant or surfactants may be included in the polyurethane dispersion in an amount ranging from about 0.01 to about 20 parts per 100 parts by weight of polyurethane component.
  • a polyurethane dispersion having a mean particle size of less than about 5 microns may be generally considered to be shelf-stable or storage-stable while polyurethane dispersions having a mean particle size greater than about 5 microns will tend to be less stable.
  • Polyurethane dispersions may be prepared by mixing a polyurethane prepolymer with water and dispersing the prepolymer in the water using a mixer.
  • the polyurethane dispersion may be prepared by feeding a prepolymer and water into a static mixing device, and dispersing the water and prepolymer in the static mixer. Continuous methods for preparing aqueous dispersions of polyurethane are also known as disclosed in, for example, U.S. Pat.
  • a polyurethane dispersion useful for forming a fixed abrasive pad will generally include polyurethane component, abrasive particles, and one or more surfactants to control the frothing and stabilize the resulting foam to produce a cured foam having a density between 350 kg/m 3 and 1200 kg/m 3 while maintaining desired foam properties like abrasion resistance, tensile, tear, and elongation (TTE), compression set, foam recovery, wet strength, toughness, and adhesion.
  • TTE tensile, tear, and elongation
  • the cured foam may have a density of between about 350 kg/m 3 and 1200 kg/m 3
  • preferred foams will have a density of about 600-1100 kg/m 3
  • more preferred foams will have a density of about 700-1000 kg/m 3
  • most preferred foams will have a density of about 750-950 kg/m 3 .
  • surfactants may be useful in preparing the polyurethane dispersion and may also be useful in preparing a froth from the dispersion.
  • Surfactants useful for preparing a froth are referred to herein as frothing surfactants and typically act by allowing the frothing agent, typically a gas and commonly air, used in the frothing process to disperse more homogenously and efficiently throughout the polyurethane dispersion.
  • Frothing surfactants may be selected from a variety of anionic, cationic and zwitterionic surfactants and preferably, after curing, provide a non-sudsing foam.
  • a commonly used anionic surfactant, sodium lauryl sulfate, for instance is less preferred because of a tendency to cause some post-cure sudsing in the final foam product.
  • Preferred frothing surfactants include carboxylic acid salts represented by the general formula:
  • R represents a C JO -C I 8 linear or branched alkyl, and more preferably a C 12 -C 18 linear or branched alkyl.
  • the surfactant may include a number of different R species, such as a mixture of C 8 -C 2 o alkyl salts of fatty acids.
  • amines are preferred and ammonium salts, such as ammonium stearate, are more preferred as the counter ion, X, in the surfactants.
  • the amount of frothing surfactant(s) used may be based on the dry solids content in the surfactant relative to polyurethane dispersion solids in parts per hundred. Generally, between about 1 and 20 parts of dry frothing surfactant may be used per 100 parts of polyurethane dispersion, although between 1 and 10 parts is preferred.
  • Stabilizing surfactants may be based on sulfonic acid salts, such as sulfates including alkylbenzenesulfonates, succinamates, and sulfosuccinamates.
  • Preferred sulfates are sulfosuccinate esters that may be represented by the general formula:
  • R 2 and R 3 each represent a different or identical C 8 -C 2 o linear or branched alkyl and, more preferably, a C ⁇ o-C ⁇ 8 linear or branched alkyl.
  • the surfactant may include a number of different R 2 and R 3 species, with amines being preferred and ammonium salts being more preferred.
  • Salts of octadecyl sulfosuccinates are also preferred. Generally, between about 0.01 and 20 parts of dry stabilizing surfactant may be used per 100 parts of polyurethane dispersion, although between about 0.1 and 10 parts is preferred.
  • the polyurethane dispersion may also include a zwitterionic surfactant to enhance frothing and/or stability of the froth.
  • Suitable zwitterionic sufactants include N-alkylbetaines and beta-alkylproprionic acid derivatives.
  • N-alkylbetaines may be represented by the general formulas:
  • One or more zwitterionic surfactants may be included in the polyurethane dispersion at up to about 10 parts of dry zwitterionic surfactant per 100 parts of polyurethane dispersion, and preferably between about 0.05 to 4 parts of dry surfactant.
  • surfactants specifically listed above may be included in the polyurethane dispersion in order to achieve the desired frothing and foam stability.
  • additional anionic, zwitterionic or nonionic surfactants may be used in combination with the above listed surfactants.
  • the polyurethane dispersion also comprises one or more abrasive particulate compositions.
  • abrasive compositions may be either a dry powder or an aqueous slurry to produce a final polyurethane dispersion composition comprising between about 1 and 80 wt%, and more preferably between about 20 and 70 wt%, of the abrasive particulates.
  • the abrasive particulates may comprise one or more fine abrasive materials, typically one or more inorganic oxides selected from a group consisting of silica, ceria, alumina, zirconia and titania and have an average particle size of between about 10 nm and l ⁇ m, preferably no more than about 500-600 nm.
  • the polyurethane dispersion and/or the abrasive material may also include a wetting agent for improving the compatibility and dispersability of the abrasive particles throughout the polyurethane dispersion.
  • Wetting agents may include phosphate salts such as sodium hexametaphosphate and may be present in the polyurethane dispersion at a concentration of up to 3 parts per 100 parts of polyurethane dispersion.
  • the polyurethane dispersion may also include viscosity modifiers, particularly thickeners, to adjust the viscosity of the polyurethane dispersion.
  • viscosity modifiers include ACUSOL 810A (trade designation of Rohm & Haas Company), ALCOGUMTM NEP-II (trade designation of Alco Chemical Corporation) and
  • PARAGUMTM 241 (trade designation of Para-Chem Southern, Inc.). Other suitable
  • thickeners include cellulose ethers such as MethocelTM products (trade designation of The Dow Chemical Company).
  • the viscosity modifiers may be present in the polyurethane dispersion in any amount necessary to achieve the desired viscosity, but are preferably present at less than 10 wt% and more preferably at less than 5 wt%. Unless otherwise indicated, all references to "weight percent” or “parts” are “dry” values, i.e., they do not reflect the water content of the component or dispersion.
  • the resulting polyurethane dispersion may have an organic solids content of up to about 60 wt%, an inorganic solids content, e.g., abrasive particles, of up to about 60 wt%, a viscosity of between about 500 and 50,000 cps, a pH of between about 4 and 11 and may include up to about 25 wt% surfactant(s).
  • This polyurethane dispersion will also typically have an average organic particulate size of between about 10 nm and 50 ⁇ m, and preferably less than about 5 ⁇ m to improve its stability.
  • the polyurethane dispersion is frothed, typically through the injection of one or more frothing agents, generally including one or more gases such as, for example, air, carbon dioxide, oxygen, nitrogen, argon and helium.
  • the frothing agent(s) is typically introduced into the polyurethane dispersion by injecting the frothing agent, under pressure, into the polyurethane dispersion.
  • a substantially homogeneous froth is then generated by applying mechanical shear forces to the polyurethane dispersion using a mechanical frother.
  • the frothed composition In order to improve the homogeneity of the frothed composition, it is preferred that all components of the polyurethane dispersion, with the exception of the frothing agent, be mixed in a manner that does not incorporate excess quantities of gas into the dispersion prior to the frothing process.
  • the mechanical frothing may be achieved with a variety of equipment, including frothers available from manufacturers including OAKES, COWIE & RIDING and FIRESTONE.
  • a layer of the frothed composition may be applied to a suitable substrate, such as a polycarbonate sheet or other polymeric material, using application equipment such as a doctor knife or roll, air knife, or doctor blade to apply and gauge the layer.
  • a suitable substrate such as a polycarbonate sheet or other polymeric material
  • application equipment such as a doctor knife or roll, air knife, or doctor blade to apply and gauge the layer.
  • the backing material or substrate may also be heated to a temperature between about 25 to 50 °C. prior to the application of the frothed
  • the froth is treated to remove substantially all of the water remaining in the froth and cure the polyurethane materials to form a resilient polyurethane foam having an open cell structure containing fine abrasive particles dispersed generally uniformly throughout the cell walls.
  • the water is preferably removed at least partially by heating the froth and may use one or more energy sources such as an infrared oven, a conventional oven, microwave or heating plates capable of achieving temperatures of from about 50 to 200 °C.
  • the froth may also be cured by gradually increasing the temperature in a step- wise or continuous ramping manner. For example, curing a layer of the froth may comprise heating in three steps of approximately 30 minutes each at temperatures of about 70, 125 and 150 °C. respectively.
  • the frothed polyurethane dispersion may be applied to the substrate to achieve a range of layer thicknesses and weights, ranging from about 1 kg/m 2 to about 14.4 kg/m 2 (about 3.3 oz/ft 2 to about 47.2 oz/ft 2 ) dry weight, depending on the characteristics of the substrate, the desired coating weight and the desired thickness.
  • the preferred coating weight is from about 2.1 kg/m 2 to about 5.7 kg/m 2 (about 6.9 oz/ft 2 to about 18.7 oz/ft 2 ) dry weight.
  • the preferred coating weight is from about 9 kg/m 2 to about 11.4 kg/m 2 (about 29.5 oz/ft 2 to about 37.4 oz/ft 2 ) dry weight.
  • aqueous polymer dispersions may be used in combination with the polyurethane dispersions described above including styrene-butadiene dispersions; styrene-butadiene-vinylidene chloride dispersions; styrene-alkyl acrylate dispersions; ethylene vinyl acetate dispersions; polychloropropylene latexes; polyethylene copolymer latexes; ethylene styrene copolymer latexes; polyvinyl chloride latexes; or acrylic dispersions, like compounds, and mixtures thereof.
  • Other components useful in preparing suitable aqueous polymer dispersions include polyols having acrylic groups or amine groups, acrylate prepolymers, expoxies, acrylic dispersions, acrylate dispersions and hybrid prepolymers.
  • the polyurethane foams produced by curing the frothed polyurethane dispersions described above are typically resilient open cell foams, i.e., foams that exhibit a resiliency of at least 5 % when tested according to ASTM D3574.
  • the polyurethane foams preferably exhibit a resiliency of from about 5 to 80 %, more preferably from about 10 to 60 %, and most preferably from about 15 to 50 %, and a foam density between about 0.35 and 1.2 grams/cm 3 , preferably between about 0.7 and 1.0 grams/cm 3 , and most preferably between about 0.75 and 0.95 grams/cm 3 .
  • the fixed abrasive material 19 comprises a polymeric material 28 containing a substantially uniform distribution of abrasive particles 30.
  • the polymeric material has an open cell structure in which small adjacent cells 32 are randomly connected to one another to provide paths for fluid flow from the surface of the fixed abrasive material into and through the bulk of the fixed abrasive material.
  • the fixed abrasive material 19 is provided as a layer on a substrate material 21 to form a fixed abrasive planarizing pad 18.
  • the material is conditioned to form nano-asperities 33 on the exposed major surface of the fixed abrasive material 19.
  • the open cell construction of the fixed abrasive material 19 allows liquid and fine particles to flow into and through the fixed abrasive material and through the substrate material 21.
  • 3A-B are intended only to illustrate a simplified embodiment of the fixed abrasive material and a planarizing pad structure utilizing the fixed abrasive material according to the present invention for purposes of discussion and are, consequently, not drawn to scale and should not, therefore, be considered to limit the invention.
  • FIGS. 4A and 4B A fixed abrasive material manufactured according to the present invention was examined under a SEM to produce the micrographs provided as FIGS. 4A and 4B.
  • FIG. 4A shows an exemplary embodiment of the fixed abrasive material under a relatively low magnification to illustrate the highly open structure.
  • FIG. 4B shows a portion of the fixed abrasive material under much higher magnification to reveal details of the cell stracture 32 and illustrate the unifomi distribution of tlie abrasive particles, i.e., the bright specks 28, throughout the polymeric composition forming the cell walls.
  • the polymer matrix may have a density of at least about 0.5 g/cm 3 , preferably of at least about 0.7 g/cm 3 , more preferably of at least about 0.9 g/cm 3 , and most preferably at least about 1.1 g/cm 3 .
  • the density is preferably no greater than 1.5 g/cm 3 , more preferably no greater than 1.4 g/cm 3 , more preferably still no greater than 1.3 g cm 3 , and most preferably no greater than 1.25 g/cm 3 .
  • the polymer matrix may have a Shore A hardness of at least about 30, preferably at least about 70, and more preferably at least about 75 but no greater than about 90, preferably no greater than about 85. .
  • the polymer matrix may have a percent rebound at 5 psi of at least about 30 preferably at least about 50, but no greater than about 90, preferably no greater than about 80, and more preferably no greater than about 75.
  • the polymer matrix may have a percent compressibility at 5 psi of at least about 1%, preferably at least about 2% but no greater than about 10%, preferably no greater than about 6%, more preferably no greater than about 4%.
  • a polymer matrix may have no porosity or may have some porosity.
  • porosity is present it is greater than 0%, preferably at least about 5%, preferably at least about 10%, and more preferably, between at least about 20% but no greater than 60%, preferably no greater than 50%, and more preferably no greater than 40% based on total volume of the matrix.
  • the polymer matrix may have no cells but if cells are present the median cell size may be at least about 5 ⁇ m, preferably'at least about 30 ⁇ m but no greater than 500 ⁇ m, preferably no greater than 300 ⁇ m, and more preferably no greater than 200 ⁇ m.
  • Planarization or polishing pads manufactured from a fixed abrasive material according to the present invention may be used to removed one or more materials from a major surface of a semiconductor substrate in a process in which: a carrier liquid is applied to tlie polishing surface of a polishing pad, the polishing pad having an open cell structure of a thennoset polymer matrix defining a plurality of interconnected cells and abrasive particles distributed throughout the polymer matrix; causing relative motion between the substrate and the polishing surface of the polishing pad in a plane generally parallel to the major surface of the substrate while applying a force tending to bring the major surface and the polishing surface into contact; conditioning the polishing surface, thereby releasing abrasive particles from the polymer matrix to form free abrasive particles; and polishing the major surface of the substrate with the free abrasive particles to remove a portion of the material from the major surface of the substrate.
  • the particles released by conditioning the polishing surface of a planarizing or polishing pad comprising a fixed abrasive material may include a mixture of free abrasive particles, polymer particles and composite particles including abrasive particles on the surface or still encompassed within a polymer particle. This mixture of particles acts to reduce the defectivity of the resulting polished surface.
  • composition Al An exemplary polyurethane, composition Al, was prepared by combining:
  • ACUSOL 810A as a viscosity modifier/thickener (Rohm & Haas);
  • aqueous dispersion 100 parts 500 nm ceria particles to form an aqueous dispersion (all parts reflecting dry weight).
  • the polyurethane dispersion was then allowed to stand for approximately one hour to stabilize the viscosity at about 9500 cps.
  • the polyurethane dispersion was then frothed using an OAKES frother to produce a froth having a density of approximately 1040 grams per liter and applied to a polycarbonate substrate to a thickness of about 1.5 mm.
  • the froth was then cured for 30 minutes at 70 °C, 30 minutes at 125 °C, and 30 minutes at 150 °C. to form a foam product comprising a fixed abrasive material having a foam density between about 0.75 and 0.95 grams/cm 3 .
  • the examples include viscosities between about 8000 and 10,000 cps, depending on the application, the viscosity of the frothed polyurethane dispersions could range between about 5000 and 15,000 or perhaps higher while still producing fixed abrasive materials incorporating the advantages of the present invention. Similarly, depending on the application, the density of the frothed polyurethane dispersions could be adjusted to provide either more or less dense froths that could range from about 500 grams per liter to about 1500 or more grams per liter.
  • composition A2 Another exemplary polyurethane composition, composition A2, was prepared by combining:
  • ACUSOL 810A as a viscosity modifier/thickener
  • the polyurethane dispersion was then allowed to stand for approximately one hour to stabilize the viscosity at about 10,000 cps.
  • the polyurethane dispersion was then frothed using an OAKES frother to produce a froth having a density of approximately 970 grams per liter and applied to a polycarbonate substrate to a thickness of about 1.5 mm.
  • the froth was then cured for 30 minutes at 70 °C, 30 minutes at 125 °C, and 30 minutes at 150 °C. to form a foam product comprising a fixed abrasive material having a foam density between about 0.75 and 0.95 grams/cm 3 .
  • composition A3 Another exemplary polyurethane composition, composition A3, was prepared by combining:
  • ACUSOL 810A as a viscosity modifier/thickener
  • the polyurethane dispersion was then allowed to stand for approximately one hour to stabilize the viscosity at about 10,000 cps.
  • the polyurethane dispersion was then frothed using an OAKES frother to produce a froth having a density of approximately 970 grams per liter and applied to a polycarbonate substrate to a thickness of about 1.5 mm.
  • the froth was then cured for 30 minutes at 70 °C, 30 minutes at 125 °C, and 30 minutes at 150 °C. to form a foam product comprising a fixed abrasive material having a foam density between about 0.75 and 0.95 grams/cm 3 .
  • composition B 1 Another exemplary polyurethane composition, composition B 1, was prepared by combining:
  • ACUSOL 810A as a viscosity modifier/thickener
  • composition B2 Another exemplary polyurethane composition, composition B2, was prepared by combining:
  • a preferred prepolymer composition may be prepared by combining:
  • ACUSOL 810A as a viscosity modifier/thickener
  • aqueous dispersion 100 parts 1 ⁇ m ceria particles to form an aqueous dispersion.
  • the polyurethane dispersion was then allowed to stand for approximately one hour to stabilize the viscosity at about 8270 cps.
  • the polyurethane dispersion was then frothed using an OAKES frother to produce a froth having a density of approximately 943 grams per liter and applied to a polycarbonate substrate to a thickness of about 1.5 mm.
  • the froth was then cured for 30 minutes at 70 °C, 30 minutes at 125 °C, and 30 minutes at 150 °C. to form a foam product comprising a fixed abrasive material having a density between about 0.75 and 0.95 grams/cm 3 .
  • WITCOBOND A-100 is an aqueous dispersion of an aliphatic urethane/acrylic alloy
  • WITCOBOND W-240 is an aqueous dispersion of an aliphatic urethane
  • ACUSOL 810A is an anionic acrylic copolymer
  • STANFAX 318 is an anionic surfactant comprising sodium sulfosuccinimate used as a foam stabilizer
  • STANFAX 320 is an anionic surfactant comprising ammonium stearate used as a foaming agent
  • STANFAX 519 is a surfactant comprising a di-(2- ethylhexyl) sulfosuccinate sodium salt used as a wetting/penetrant agent.
  • the test conditions included a Hg fill pressure of 0.41 psia, a Hg contact angle of 130.0°, a Hg surface tension of 485.0 dyn/cm, a Hg density of 13.53 g/mL, a 5 minute evacuation time, small bore penetrometer (solid type) with a 5-cc bulb, a 30 second equilibration time, 92-point pressure table (75 intrusion + 17 extrusion pressure points)
  • the pressure table used was adapted to provide an even incremental distribution of pressures on a log scale from 0.5 to 60,000 psia.
  • Hg porosimetry data including total intrusion volume, median pore diameter (volume), and bulk density is achieved with a precision of ⁇ 3% RSD (relative standard deviation) for this instrument.
  • Hg porosimetry is a bulk analysis of the overall porosity, and interstitial (void) filling (apparent porosity) may be created while the Hg is pushing its way between the pieces or particles of sample at low fill pressures. Typically, this is only a problem with small meshed or powdered materials and doesn't seem to be occurring for these samples.
  • the accuracy of the adjusted data was confirmed by comparing the sample total pore area (determined using Hg porosimetry) with its measured B.E.T. (Bruner, Emmett, and Teller) surface area (determined by krypton adsorption) of ⁇ 0.05 m"/g.
  • the pore size distribution data for the tested samples is reflected in the graph illustrated in FIG. 6.

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Polishing Bodies And Polishing Tools (AREA)
  • Emulsifying, Dispersing, Foam-Producing Or Wetting Agents (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Manufacture Of Macromolecular Shaped Articles (AREA)

Abstract

Provided is a method for manufacturing a fixed abrasive material (19) suitable for use in CMP planarization pads from an aqueous polymer dispersion that also includes abrasive particles (30) that involves frothing the polymer dispersion, applying the froth to a substrate, mold or carrier and curing the froth to form a fixed abrasive material (19) having an open cell structure containing between about 5 and 85 wt% abrasive particles and a dry density of about 350kg/m3 to 1200 kg/m3.

Description

METHOD OF MANUFACTURING A FIXED ABRASIVE MATERIAL
The present invention relates generally to fixed abrasive materials and, in particular, the manufacture of fixed abrasive materials suitable for use in planarizing pads for removing process material layers from the surface of semiconductor substrates.
Ultra large scale integrated (ULSI) semiconductor devices, such as dynamic random access memories (DRAMs) and synchronous dynamic random access memories (SDRAMs), consist of multiple layers of conducting, semiconducting, and insulating materials, interconnected within and between layers in specific patterns designed to produce desired electronic functionalities. The materials are selectively patterned on each layer of the device, using lithographic techniques, involving masking and etching the materials. This is a very precise process, particularly as the size of the device structures continues to decrease and the complexity of the circuits continues to increase. Height differences, pitch and reflectivity variations and other imperfections present in the surface of underlying layers may compromise the formation of additional process layers and/or the ability to precisely position and dimension photoresist patterns formed during subsequent lithography processes.
A variety of methods have been developed in the art so as to increase the planarity of the layers during the manufacturing process. Such methods include reflow processes with deposited oxides, spin-on-glass (SOG) processes, etchback processes and Chemical- Mechanical Planarization (CMP) processes (also referred to as Chemical-Mechanical Polishing). CMP processes have been developed for removing a wide variety of materials including oxides, nitrides, suicides and metals from the surface of a semiconductor substrate. As used herein, the terms planarization and polishing are intended to be mutually inclusive terms for the same general category of processes.
A variety of different machine configurations have been developed for performing the various CMP processes. Machines used for CMP processing can be broadly grouped into either web-feed or fixed-pad categories. In both categories, however, the basic process uses a combination of a planarizing pad and a planarizing liquid to remove material from the surface of a semiconductor substrate using primarily mechanical action or through a combination of chemical and mechanical action.
The planarizing pads, in turn, can be broadly grouped into fixed-abrasive (FA) or non-abrasive (NA) categories. In fixed-abrasive pads, abrasive particles are distributed in material that forms at least a portion of the planarizing surface of the pad, while non- abrasive pad compositions do not include any abrasive particles. Because the fixed- abrasive pads already include abrasive particles, they are typically used in combination with a "clean" planarizing liquid that does not add additional abrasive particles. With non-abrasive pads, however, substantially all of the abrasive particles used in the planarizing process are introduced as a component of the planarizing liquid, typically as a slurry applied to the planarizing surface of the pad. Both the "clean" and abrasive planarizing liquids can also include other chemical components, such as oxidizers, surfactants, viscosity modifiers, acids and/or bases in order to achieve the desired liquid properties for the removal of the targeted material layer from the semiconductor substrate and/or to provide lubrication for decreasing defectivity rates.
CMP processes typically utilize a combination of mechanical abrasion and chemical reaction(s) provided by the action of the planarizing slurry or planarizing liquid and a planarizing pad in order to remove one or more materials from a wafer surface and produce a substantially planar wafer surface. Planarizing slurries used in combination with non-abrasive pads, particularly for the removal of oxide layers, generally comprise a basic aqueous solution of a hydroxide, such as KOH, containing abrasive silica particles. Planarizing slurries, particularly for the removal of metal layers such as copper, generally comprise an aqueous solution of one or more oxidizers, such as hydrogen peroxide, to form the corresponding metal oxide that is then removed from the substrate surface.
The planarizing pads used in such processes typically comprise porous or fibrous materials, such as polyurethanes, that provide a relatively compliant surface onto which the planarizing slurry may be dispensed. The consistency of a CMP process may be greatly improved by automating the process so that the planarizing is terminated in response to a consistently measurable endpoint reflecting sufficient removal of an overlying material layer, typically followed by a brief "overetch" or "over-polish" to compensate for variations in the thickness of the material layer.
The size and concentration of the particles for planarizing a wafer surface can directly affect the resulting surface finish and the productivity of a CMP process. For example, if the abrasive particulate concentration is too low or the abrasive particle size too small, the material removal rate will generally slow and process throughput will be reduced. Conversely, if the abrasive particulate concentration is too high, the abrasive particles are too large or the abrasive particles begin to agglomerate, the wafer surface is more likely to be damaged, the CMP process may tend to become more variable and/or the material removal rate may decrease, resulting in reduced throughput, reduced yields or device reliability and/or increased scrap. CMP processes may experience significant performance variations over time that further complicate processing of the wafers and reduce process throughput. In many cases, the performance variations may be attributable to changes in the characteristics of the planarizing pad as a result of the CMP process itself. Such changes may result from particulates agglomerating and/or becoming lodged in or hardening on the pad surface. Such changes may also be the result of wear, glazing or deformation of the pad, or simply the degradation of the pad material over time.
In a typical planarizing process, the planarizing machine brings the non-planar surface of a material layer formed over one or more patterns on a semiconductor substrate into contact with a planarizing surface of the planarizing pad. During the planarizing process, the surface of the planarizing pad will typically be continuously wetted with an abrasive slurry and/or a planarizing liquid to produce the desired planarizing surface. The substrate and or the planarizing surface of the pad are then urged into contact and moved relative to one another to cause the planarizing surface to begin removing an upper portion of the material layer. This relative motion can be simple or complex and may include one or more lateral, rotational, revolving or orbital movements by the planarizing pad and/or the substrate in order to produce generally uniform removal of the material layer across the surface of the substrate.
As used herein, lateral movement is movement in a single direction, rotational movement is rotation about an axis through the center point of the rotating object, revolving movement is rotation of the revolving object about a non-centered axis and orbital movement is rotational or revolving movement combined with an oscillation. Although, as noted above, the relative motion of the substrate and the planarizing pad may incorporate different types of movement, the motion must typically be confined to a plane substantially parallel to the surface of substrate in order to achieve a planarized substrate surface.
Fixed abrasive pad types are known in the art of semiconductor wafer processing and have been disclosed in, for example, U.S. Pat. No. 5,692,950 to Rutherford et al.; U.S. Pat. No. 5,624,303 to Robinson; and U.S. Pat. No. 5,335,453 to Baldy et al. These types of fixed abrasive pads typically require a pre-conditioning cycle before they may be used in a CMP process, as well as periodic re-conditioning or in-situ surface conditioning during use, to generate a suitable number of asperities on the planarizing surface to maintain their planarizing ability.
The primary goal of CMP processing is to produce a defect-free planarized substrate surface having a material layer, or portions of a material layer, of uniform depth across the entire surface of the planarized substrate. Other goals, such as maximizing the throughput of the CMP process and reducing the per wafer cost, may, at times, conflict with the production of the best possible planarized surface. The uniformity of the planarized surfaces and the process throughput are directly related to the effectiveness and repeatability of the entire CMP process including the planarizing liquid, the planarizing pad, machine maintenance, as well as an array of other operating parameters. A variety of planarizing slurries and liquids have been developed that are somewhat specific to the composition of the material layer or layers that are to be removed and/or the composition of the planarizing pad being used. These tailored slurries and liquids are intended to provide adequate material removal rates and selectivity for particular CMP processes. The benefits of CMP may be somewhat offset by the variations inherent in such a combination process, such as imbalances that may exist or may develop between the chemical and mechanical material removal rates of different material layers exposed on a single semiconductor substrate. Further, both the abrasive particles and other chemicals used in a typical CMP process may be relatively expensive and are generally unsuitable for reuse or recycling. This problem is compounded by the need to supply excess materials to the surface of the planarization pad to ensure that sufficient material is available at every point of the wafer surface as it moves across the pad. It is therefore desirable to reduce the quantity of abrasives and other chemicals used in a CMP process in order to reduce costs associated with both purchasing and storing the materials prior to use and the concerns and expense relating to the disposal of the additional waste materials.
A number of efforts toward reducing the variability and increasing the quality of CMP processes have been previously disclosed. For instance, U.S. Pat. No. 5,421,769 to Schultz et al. discloses a noncircular planarizing pad intended to compensate for variations resulting from the edges of a rotating wafer traveling across more of a planarizing pad than the interior surfaces. U.S. Pat. No. 5,441,598 to Yu et al. discloses a planarizing pad having a textured planarizing surface for providing a planarizing surface intended to provide more even polishing of wide and narrow structures across a wafer surface. U.S. Pat. No. 5,287,663 to Pierce et al. discloses a composite planarizing pad with a rigid layer opposite the planarizing surface and a resilient layer adjacent the rigid layer to reduce overplanarization, or "dishing," of material from between harder underlying features. Other prior art efforts to minimize uneven planarization of wafers have focused on forming additional material layers on the wafer surface to act as "stop" layers to control overplanarization. U.S. Pat. Nos. 5,356,513 and 5,510,652 to Burke et al. and U.S. Pat. No. 5,516,729 to Dawson et al. all provide additional material layers having an increased resistance to the CMP process under the layer being removed to protect the underlying circuit structures. These additional material layers, however, both complicate the semiconductor manufacturing process flow and, as recognized by Dawson et al., do not completely overcome the problem of "dishing."
More recent efforts regarding planarizing pad compositions and constructions are disclosed in U.S. Patent No. 6,425,815 Bl to Walker et al. (a dual material planarizing pad), U.S. Patent No. 6,069,080 to James et al. (a fixed abrasive pad with a matrix material having specified properties), U.S. Patent No. 6,454,634 Bl to James et al. (a multiphase self-dressing planarizing pad), WO 02/22309 Al to Swisher et al. (a planarizing pad having particulate polymer in a cross-linked polymer binder), U.S. Patent No. 6,368,200 B 1 to Merchant et al. (a planarizing pad of a closed cell elastomer foam), U.S. Patent No. 6,364,749 Bl to Walker (planarizing pad having polishing protrusions and hydrophilic recesses), U.S. Patent No. 6,099,954 to Urbanavage et al. (elastomeric compositions with fine particulate matter) and U.S. Patent No. 6,095,902 to Reinhardt (planarization pads manufactured from both polyester and polyether polyurethanes).
Each of the above references, in its entirety, is incorporated by reference in this disclosure.
The present invention provides a method for manufacturing a fixed abrasive material having an open cell foam structure suitable for use in CMP planarization pads. The method comprises forming an aqueous polymer dispersion, typically comprising a polyurethane or polyurethane forming materials and abrasive particles, frothing the polymer dispersion to form a substantially homogeneous froth, applying the froth to a substrate, mold or carrier and curing the froth to form a fixed abrasive material having an open cell structure containing between about 5 and 85 wt% abrasive particles and a dry density of between about 350 kg/m3 and 1200 kg/m3 (about 21.8 - 75 lbs/ft3).
The present invention provides a method for manufacturing fixed abrasive materials comprising: forming an aqueous dispersion, the aqueous dispersion including at least one of a polymer or a polymer forming mixture, abrasive particles, and a surfactant; injecting a frothing agent into the aqueous dispersion; mechanically frothing the aqueous dispersion and the frothing agent to form a substantially uniform froth; curing the uniform forth to form an open cell foam having interconnected cells and a polymer matrix wherein the abrasive particles are distributed substantially uniformly throughout the polymer matrix.
The present invention also provides a method for manufacturing fixed abrasive pads useful in the manufacture of semiconductor devices for planarizing one or more layers deposited or formed on a semiconductor substrate, comprising: forming an aqueous dispersion, the aqueous dispersion including a polymer or a polymer forming mixture, abrasive particles, the abrasive particles having an average particle size of less than about 5 μm, and a surfactant; injecting a frothing agent into the aqueous dispersion; mechanically frothing the aqueous dispersion and the frothing agent to form a substantially uniform froth; applying a layer of the froth to a substrate material; curing the layer of the forth to form a layer of open cell foam comprising interconnected cells and a polymer matrix wherein the abrasive particles are distributed substantially uniformly throughout the polymer matrix.
Preferably, a planarizing or polishing pad according to the invention comprises a layer of the fixed abrasive material having an open cell foam structure containing between about 5 and 85 wt% abrasive particles and a dry bulk density of between about 350 kg/m3 to 1200 kg/m3 (about 21.8 - 75 lbs/ft3) arranged on a suitable backing or substrate material.
It has been found that the methods of this invention afford benefits over methods among those known in the art, including improvements in one or more of improved ability to control the planarization process, increased uniformity of the planarized surface produced, reduced cost and increased throughput.
FIGS. 1A-C are cross-sectional views of a semiconductor substrate with a raised pattern, a material layer formed over the pattern, and the planarized substrate at sequential processing stages; FIGS. 2A-B are a plan view and a side view of a planarization apparatus that may be used for planarizing substrates using planarizing pads incorporating a layer of a fixed abrasive material manufactured according to an exemplary embodiment of the invention;
FIG. 3 A is a cross-sectional view generally corresponding to a fixed abrasive composition according to an exemplary embodiment of the invention;
FIG. 3B is a cross-sectional view generally corresponding to a portion of a planarizing pad incorporating a layer of a fixed abrasive material according to an exemplary embodiment of the invention;
FIGS. 4A-B are SEM microphotographs of a fixed abrasive material manufactured according to an exemplary embodiment of the invention;
FIGS. 5A-D are SEM micrographs reflecting the range of particle composition produced by the conditioning of a layer of a fixed abrasive material according to an exemplary embodiment of the invention provided on the planarizing surface of a planarizing pad; and
FIG. 6 is a graph illustrating the measured pore size distribution for a fixed abrasive material manufactured according to an exemplary embodiment of the invention.
It should be noted that the graphs and illustrations of the Figures are intended to show the general characteristics of methods and materials of exemplary embodiments of this invention, for the purpose of the description of such embodiments herein. These graphs and illustrations may not precisely reflect the characteristics of any given embodiment, and are not necessarily intended to fully define or limit the range of values or properties of embodiments within the scope of this invention. Described below and illustrated in the accompanying drawings are certain exemplary embodiments according to the invention. These exemplary embodiments are described in sufficient detail to enable those of skill in the art to practice the invention, but are not to be construed as unduly limiting the scope of the following claims. Indeed, those of skill in the art will appreciate that other embodiments may be utilized and that process or mechanical changes may be made without departing from the spirit and scope of the inventions as described.
The present invention provides methods for producing fixed abrasive materials that may be useful in the production of semiconductor devices. As referred to herein, such semiconductor devices include any wafer, substrate or other structure comprising one or more layers comprising conducting, semiconducting, and insulating materials. The terms wafer and substrate are used herein in their broadest sense and include any base semiconductor structure such as metal-oxide-silicon (MOS), shallow-trench isolation (STI), silicon-on-sapphire (SOS), silicon-on-insulator (SOI), thin film transistor (TFT), doped and undoped semiconductors, epitaxial silicon, III-V semiconductor compositions, polysilicon, as well as other semiconductor structures at any stage during their manufacture. (As used herein, the word "include," and its variants, is intended to be non-limiting, such that recitation of items in a list is not to the exclusion of other similar, corresponding or equivalent items that may also be useful in the materials, compositions, devices, and methods of this invention.)
FIG. 1A illustrates a typical substrate 1 having a first layer 10 and a patterned second layer 12. In typical semiconductor processing, first layer 10 may comprise a wafer of single-crystal silicon or other base semiconductor layer, an insulating layer separating second patterned layer 12 from other layers, or a combination of multiple layers formed during previous processing steps. As illustrated in FIG. IB, a material layer 14, which may actually comprise multiple layers of one or more materials, is then typically formed or deposited over the patterned layer 12, producing a non-planar surface on the wafer.
If allowed to remain, this lack of planarity would present significant, if not fatal, process complications during subsequent processing steps. As a result, most, if not all, semiconductor manufacturing processes include one or more planarization processes such as spin-on-glass (SOG), etchback (or blanket etch) or chemical-mechanical planarization (CMP) in order to form a substantially planar surface before the wafer is subjected to additional processing. A typical CMP process will remove that portion of material layer 14 that lies over the patterned layer 12 while leaving that portion 14A of the material layer 14 that was deposited in the openings of patterned layer 12 to produce a substantially more planar surface as illustrated in FIG. lC. Depending on the process, a stop layer comprising a more CMP resistant material may be incorporated on the upper surface of the patterned layer 12 to protect the underlying pattern during the planarization process. The actual composition and structure of the first layer 10, second layer 12 and the material layer 14 may comprise any combination of semiconductor, insulator or conductor materials assembled during the manufacture of a semiconductor device.
As illustrated in FIGS. 2A-B, a typical CMP .apparatus for use with a fixed abrasive planarization pad will comprise at least a platen 16 supporting the planarizing pad 18, a wafer carrier 20 supporting a wafer 22 and positioning a major surface of the wafer adjacent a major surface of the planarizing pad 18, and a conditioning device 24 for conditioning the major surface of the planarizing pad and a carrier liquid supply line 26 for applying a carrier liquid to the major surface of the pad. The platen 16 and the wafer carrier 20 are configured to provide relative motion between the major surface of the planarizing pad 18 and the major surface of the wafer 22 while applying a force tending to move the wafer and the planarizing pad against each other. Polishing Pads:
The fixed abrasive materials of the present invention have an open cell structure of a thermoset polymer matrix defining a plurality of interconnected cells and abrasive particles distributed generally uniformly throughout the polymer matrix. The fixed abrasive materials of the present invention are preferably manufactured from a polymeric composition comprising an aqueous dispersion or emulsion of one or more compositions such as polyuretl anes, polyether polyols, polyester polyols, polyacrylate polyols and polystyrene/polyacrylate latexes. The polymeric composition may also include one or more additives including polymerization catalysts, chain extenders, including amines and diols, isocyanates, both aliphatic and aromatic, surfactants and viscosity modifiers. (As used herein, the words "preferred" and "preferably" refer to embodiments of the invention that may afford certain benefits, under certain circumstances. However, other embodiments may also be preferred, under the same or other circumstances. Furthermore, the recitation of one or more preferred embodiments does not imply that other embodiments are not useful and is not intended to exclude other embodiments from the scope of the invention.)
An exemplary embodiment of a polyurethane dispersion useful for manufacturing a fixed abrasive material according to the present invention includes water, abrasive particles and a polyurethane (and/or a mixture capable of forming a polyurethane). The polyurethane dispersion will generally also include one or more additives such as surfactants, that may act as frothing aids, wetting agents and/or foam stabilizers, and viscosity modifiers. Polyurethane-forming materials may include, for example, polyurethane prepolymers that retain some minor isocyanate reactivity for some period of time after being dispersed, but as referenced herein, a polyurethane prepolymer dispersion will have reacted substantially completely to form a polyurethane polymer dispersion. Also, the terms polyurethane prepolymer and polyurethane polymer may encompass other types of structures such as, for example, urea groups.
Polyurethane prepolymers may be prepared by reacting active hydrogen compounds with an isocyanate, typically with a stoichiometric excess of the isocyanate. The polyurethane prepolymers may exhibit isocyanate functionality in an amount from about 0.2 to 20 %, may have a molecular weight in the range of from about 100 to about 10,000, and are typically in a substantially liquid state under the conditions of the dispersal.
The prepolymer formulations typically include a polyol component, e.g., active hydrogen containing compounds having at least two hydroxyl or amine groups. Exemplary polyols are generally known and are described in such publications as High Polymers, Vol. XNI, "Polyurethanes, Chemistry and Technology," Saunders and Frisch, Interscience Publishers, New York, Vol. I, pp. 32-42, 44-54 (1962) and Vol. II, pp. 5-6, 198-199 (1964); Organic Polymer Chemistry, K. J. Saunders, Chapman and Hall, London, pp. 323-325 (1973); and Developments in Polyurethanes, Vol. I, J. M. Burst, ed., Applied Science Publishers, pp. 1-76 (1978). Active hydrogen containing compounds that may be used in the prepolymer formulations also include, alone or in an admixture, polyols comprising: (a) alkylene oxide adducts of polyhydroxyalkanes; (b) alkylene oxide adducts of non-reducing sugars and sugar derivatives; (c) alkylene oxide adducts of phosphorus and polyphosphorus acids; and (d) alkylene oxide adducts of polyphenols. These types of polyols may be generally referred to herein as "base polyols."
Examples of useful alkylene oxide adducts of polyhydroxyalkanes include adducts of ethylene glycol, propylene glycol, 1,3-dihydroxypropane, 1,4- dihydroxybutane, and 1,6-dihydroxyhexane, glycerol, 1,2,4-trihydroxybutane, 1,2,6- dihydroxyhexane, 1,1,1-trimethylolethane, 1,1,1-trimethylolpropane, pentaerythritol, polycaprolactone, xylitol, arabitol, sorbitol, mannitol. Other useful alkylene oxide adducts of polyhydroxyalkanes include the propylene oxide adducts and ethylene oxide capped propylene oxide adducts of dihydroxy- and trihydroxyalkanes. Yet other useful alkylene oxide adducts include adducts of ethylene diamine, glycerin, piperazine, water, ammonia, 1 ,2,3, 4-tetrahydroxy butane, fructose, sucrose. Also useful are poly(oxypropylene) glycols, triols, tetrols and hexols and any of these compounds capped with ethylene oxide including poly(oxypropyleneoxyethylene)polyols. If present, the oxyethylene content may comprise between about 40 and about 80 wt% of the total polyol. Ethylene oxide, when used, may be incorporated in any way along the polymer chain, for example, as internal blocks, terminal blocks, randomly distributed blocks or any combination thereof.
Polyester polyols may also be used in preparing a polyurethane dispersion. Polyester polyols are generally characterized by repeating ester units, which can be aromatic or aliphatic, and by the presence of terminal primary or secondary hydroxyl groups, although many polyesters terminating in at least two active hydrogen groups may be used. For example, the reaction product of the transesterification of glycols with poly(ethylene terephthalate) may be used to prepare polyurethane dispersions. Other components useful in preparing a polyurethane dispersion include polyols having acrylic groups or amine groups, acrylate prepolymers, acrylate dispersions and hybrid prepolymers.
Preferably at least 50 wt% of the active hydrogen compounds used in preparing the polyurethane or polyurethane prepolymer is one or more polyether polyols having molecular weights of from about 600 to 20,000, more preferably from about 1,000 to 10,000 and most preferably from about 3,000 to 8,000, that also exhibit a hydroxyl functionality of at least 2.2, preferably between about 2.2 to 5.0, more preferably from about 2.5 to 3.8 and most preferably from about 2.6 to 3.5. As used herein, hydroxyl functionality is defined as the average calculated functionality of all polyol initiators after adjustment for any known side reactions which may affect functionality during polyol production.
The polyisocyanate component of the polyurethane or prepolymer formulations may include one or more organic polyisocyanates, modified polyisocyanates, isocyanate based prepolymers, or mixtures thereof. The polyisocyanates may include aliphatic and cycloaliphatic isocyanates, but aromatic, and especially multifunctional aromatic isocyanates, such as 2,4- and 2,6-toluenediisocyanate and the corresponding isomeric mixtures; 4,4'-, 2,4'- and 2,2*-diphenyl-methanediisocyanate (MDI) and the corresponding isomeric mixtures; mixtures of 4,4'-, 2,4'- and 2,2'- diphenylmethanediisocyanates and polyphenyl polymethylene polyisocyanates (PMDI); and mixtures of PMDI and toluene diisocyanates are preferred. Most preferably, the polyisocyanate used to prepare the prepolymer formulation of the present invention is MDI, PMDI or a mixture thereof.
The polyurethane prepolymers may include a chain extender or crosslinker. A chain extender is used to build the molecular weight of the polyurethane prepolymer by reaction of the chain extender with the isocyanate functionality in the polyurethane prepolymer, i.e., "chain extend" the polyurethane prepolymer. Suitable chain extenders and crosslinkers typically comprise a low equivalent weight active hydrogen containing compound having two or more active hydrogen groups per molecule. Chain extenders typically include at least two active hydrogen groups and crosslinkers typically include at least three active hydrogen groups such as hydroxyl, mercaptyl, or amino groups. Amine chain extenders may be blocked, encapsulated, or otherwise rendered less reactive. Other materials, particularly water, may also extend chain length and, therefore, may also be used as chain extenders in the polyurethane prepolymer formulation.
Polyamines are preferred as chain extenders and/or crosslinkers, particularly amine terminated polyethers such as, for example, JEFF AMINE D-400 from Huntsman Chemical Company, aminoethyl piperazine, 2-methyl piperazine, l,5-diamino~3~methyl- pentane, isophorone diamine, ethylene diamine, diethylene triamine, aminoethyl ethanolamine, triethylene tetraamine, triethylene pentaamine, ethanol amine, lysine in any of its stereoisomeric forms and salts thereof, hexane diamine, hydrazine and piperazine. The chain extender may be used as an aqueous solution and may be present in an amount sufficient to react with up to 100 percent of the isocyanate functionality present in the prepolymer, based on one equivalent of isocyanate reacting with one equivalent of chain extender. Water may act as a chain extender and react with some or all of the isocyanate functionality present. A catalyst may also be included to promote the reaction between a chain extender and an isocyanate and chain extenders having three or more active hydrogen groups may also concurrently function as crosslinkers.
Catalysts suitable for use in preparing the polyurethanes and polyurethane prepolymers utilized in the present invention include, for example, tertiary amines, organometallic compounds and mixtures thereof. For example, suitable catalysts include di-n-butyl tin bis(mercaptoacetic acid isooctyl ester), dimethyltin dilaurate, dibutyltin dilaurate, dibutyltin sulfide, stannous octoate, lead octoate, ferric acetylacetonate, bismuth carboxylates, triethylenediamine, N-rnethyl morpholine, and mixtures thereof. The addition of a catalyst may decrease the time necessary to cure the polyurethane prepolymer dispersion to a tack-free state and may utilize a quantity of catalyst from about 0.01 to about 5 parts per 100 parts by weight of the polyurethane prepolymer.
Surfactants useful in the dispersion may include cationic surfactants, anionic surfactants or non-ionic surfactants. Anionic surfactants include, for example, sulfonates, carboxylates, and phosphates, cationic surfactants include quaternary amines and non- ionic surfactants include block copolymers containing ethylene oxide, propylene oxide, butylene oxide, or a combination thereof and silicone surfactants. Surfactants useful herein include external surfactants, i.e., surfactants that do not chemically react with the polymer during dispersion preparation, such as salts of dodecyl benzene sulfonic acid, and lauryl sulfonic acid. Surfactants useful herein also include internal surfactants, that may chemically react with the polymer during dispersion preparation, such as 2,2- dimethylol propionic acid (DMPA) and its salts or sulfonated polyols neutralized with ammonium chloride. The surfactant or surfactants may be included in the polyurethane dispersion in an amount ranging from about 0.01 to about 20 parts per 100 parts by weight of polyurethane component. The selection and use of surfactant compositions in polyurethane dispersions is addressed in U.S. Patent No. 6,271,276, the contents of which are incorporated herein, in their entirety, by reference.
A polyurethane dispersion having a mean particle size of less than about 5 microns may be generally considered to be shelf-stable or storage-stable while polyurethane dispersions having a mean particle size greater than about 5 microns will tend to be less stable. Polyurethane dispersions may be prepared by mixing a polyurethane prepolymer with water and dispersing the prepolymer in the water using a mixer. Alternatively, the polyurethane dispersion may be prepared by feeding a prepolymer and water into a static mixing device, and dispersing the water and prepolymer in the static mixer. Continuous methods for preparing aqueous dispersions of polyurethane are also known as disclosed in, for example, U.S. Pat. Nos.: 4,857,565; 4,742,095; 4,879,322; 3,437,624; 5,037,864; 5,221,710; 4,237,264; 4,092,286 and 5,539,021, the contents of which are incorporated herein, in their entirety, by reference.
A polyurethane dispersion useful for forming a fixed abrasive pad will generally include polyurethane component, abrasive particles, and one or more surfactants to control the frothing and stabilize the resulting foam to produce a cured foam having a density between 350 kg/m3 and 1200 kg/m3 while maintaining desired foam properties like abrasion resistance, tensile, tear, and elongation (TTE), compression set, foam recovery, wet strength, toughness, and adhesion. As will be appreciated by those of ordinary skill in the art, because certain of these various properties are interrelated, modifying one property will tend to effect the values of one or more of the other properties. One skilled in the art, however, guided by this disclosure can produce a range of compositions having a combination of values acceptable for various purposes. Although the cured foam may have a density of between about 350 kg/m3 and 1200 kg/m3, preferred foams will have a density of about 600-1100 kg/m3, more preferred foams will have a density of about 700-1000 kg/m3 and most preferred foams will have a density of about 750-950 kg/m3.
As noted above, surfactants may be useful in preparing the polyurethane dispersion and may also be useful in preparing a froth from the dispersion. Surfactants useful for preparing a froth are referred to herein as frothing surfactants and typically act by allowing the frothing agent, typically a gas and commonly air, used in the frothing process to disperse more homogenously and efficiently throughout the polyurethane dispersion. Frothing surfactants may be selected from a variety of anionic, cationic and zwitterionic surfactants and preferably, after curing, provide a non-sudsing foam. A commonly used anionic surfactant, sodium lauryl sulfate, for instance is less preferred because of a tendency to cause some post-cure sudsing in the final foam product.
Preferred frothing surfactants include carboxylic acid salts represented by the general formula:
RCO2 " X+ (I), where R represents a C8-C20 linear or branched alkyl, which may contain an aromatic, a cycloaliphatic, or heterocycle; and X is a counter ion, generally Na, K, or an amine, such as NH , morpholine, ethanolamine, or triethanolamine. Preferably R represents a CJO-CI 8 linear or branched alkyl, and more preferably a C12-C18 linear or branched alkyl. The surfactant may include a number of different R species, such as a mixture of C8-C2o alkyl salts of fatty acids. Amines are preferred and ammonium salts, such as ammonium stearate, are more preferred as the counter ion, X, in the surfactants. The amount of frothing surfactant(s) used may be based on the dry solids content in the surfactant relative to polyurethane dispersion solids in parts per hundred. Generally, between about 1 and 20 parts of dry frothing surfactant may be used per 100 parts of polyurethane dispersion, although between 1 and 10 parts is preferred.
Surfactants may also be useful for stabilizing the polyurethane froth and are referred to herein generally as stabilizing surfactants. Stabilizing surfactants may be based on sulfonic acid salts, such as sulfates including alkylbenzenesulfonates, succinamates, and sulfosuccinamates. Preferred sulfates are sulfosuccinate esters that may be represented by the general formula:
R2OOCCH2CH(S03 "M+)COOR3 (II), where R2 and R3 each represent a C6-C2o linear or branched alkyl, which can contain an aromatic, a cycloaliphatic and where M represents is a counter ion, generally ammonia or an element from group 1A of the Periodic Table, such as lithium, potassium, or sodium. Preferably R2 and R3 each represent a different or identical C8-C2o linear or branched alkyl and, more preferably, a Cιo-Cι8 linear or branched alkyl. The surfactant may include a number of different R2 and R3 species, with amines being preferred and ammonium salts being more preferred. Salts of octadecyl sulfosuccinates are also preferred. Generally, between about 0.01 and 20 parts of dry stabilizing surfactant may be used per 100 parts of polyurethane dispersion, although between about 0.1 and 10 parts is preferred.
In addition to one or more of the anionic surfactants described above, the polyurethane dispersion may also include a zwitterionic surfactant to enhance frothing and/or stability of the froth. Suitable zwitterionic sufactants include N-alkylbetaines and beta-alkylproprionic acid derivatives. N-alkylbetaines may be represented by the general formulas:
R4N+(CH3)2CH2COO"M+ (III),
R4N+ C1" M+ or (IV),
RV Br" M+ (V), where R4 is a C6-C20 linear or branched alkyl, which can contain an aromatic, a cycloaliphatic and M are as described above. One or more zwitterionic surfactants may be included in the polyurethane dispersion at up to about 10 parts of dry zwitterionic surfactant per 100 parts of polyurethane dispersion, and preferably between about 0.05 to 4 parts of dry surfactant.
In addition to the surfactants specifically listed above, other surfactants may be included in the polyurethane dispersion in order to achieve the desired frothing and foam stability. In particular, additional anionic, zwitterionic or nonionic surfactants may be used in combination with the above listed surfactants.
The polyurethane dispersion also comprises one or more abrasive particulate compositions. Such abrasive compositions may be either a dry powder or an aqueous slurry to produce a final polyurethane dispersion composition comprising between about 1 and 80 wt%, and more preferably between about 20 and 70 wt%, of the abrasive particulates. The abrasive particulates may comprise one or more fine abrasive materials, typically one or more inorganic oxides selected from a group consisting of silica, ceria, alumina, zirconia and titania and have an average particle size of between about 10 nm and lμm, preferably no more than about 500-600 nm.
The polyurethane dispersion and/or the abrasive material may also include a wetting agent for improving the compatibility and dispersability of the abrasive particles throughout the polyurethane dispersion. Wetting agents may include phosphate salts such as sodium hexametaphosphate and may be present in the polyurethane dispersion at a concentration of up to 3 parts per 100 parts of polyurethane dispersion.
The polyurethane dispersion may also include viscosity modifiers, particularly thickeners, to adjust the viscosity of the polyurethane dispersion. Such viscosity modifiers include ACUSOL 810A (trade designation of Rohm & Haas Company), ALCOGUM™ NEP-II (trade designation of Alco Chemical Corporation) and
PARAGUM™ 241 (trade designation of Para-Chem Southern, Inc.). Other suitable
thickeners include cellulose ethers such as Methocel™ products (trade designation of The Dow Chemical Company). The viscosity modifiers may be present in the polyurethane dispersion in any amount necessary to achieve the desired viscosity, but are preferably present at less than 10 wt% and more preferably at less than 5 wt%. Unless otherwise indicated, all references to "weight percent" or "parts" are "dry" values, i.e., they do not reflect the water content of the component or dispersion.
The resulting polyurethane dispersion may have an organic solids content of up to about 60 wt%, an inorganic solids content, e.g., abrasive particles, of up to about 60 wt%, a viscosity of between about 500 and 50,000 cps, a pH of between about 4 and 11 and may include up to about 25 wt% surfactant(s). This polyurethane dispersion will also typically have an average organic particulate size of between about 10 nm and 50 μm, and preferably less than about 5 μm to improve its stability.
In order to produce a polyurethane foam from the polyurethane dispersion, the polyurethane dispersion is frothed, typically through the injection of one or more frothing agents, generally including one or more gases such as, for example, air, carbon dioxide, oxygen, nitrogen, argon and helium. The frothing agent(s) is typically introduced into the polyurethane dispersion by injecting the frothing agent, under pressure, into the polyurethane dispersion. A substantially homogeneous froth is then generated by applying mechanical shear forces to the polyurethane dispersion using a mechanical frother. In order to improve the homogeneity of the frothed composition, it is preferred that all components of the polyurethane dispersion, with the exception of the frothing agent, be mixed in a manner that does not incorporate excess quantities of gas into the dispersion prior to the frothing process. The mechanical frothing may be achieved with a variety of equipment, including frothers available from manufacturers including OAKES, COWIE & RIDING and FIRESTONE.
Once the polyurethane dispersion has been frothed, a layer of the frothed composition may be applied to a suitable substrate, such as a polycarbonate sheet or other polymeric material, using application equipment such as a doctor knife or roll, air knife, or doctor blade to apply and gauge the layer. See, for example, U.S. Patent Nos. 5,460,873 and 5,948,500, the contents of which are hereby incorporated, in their entirety, by reference. The backing material or substrate may also be heated to a temperature between about 25 to 50 °C. prior to the application of the frothed
polyurethane dispersion.
After the frothed polyurethane dispersion is applied to the substrate, the froth is treated to remove substantially all of the water remaining in the froth and cure the polyurethane materials to form a resilient polyurethane foam having an open cell structure containing fine abrasive particles dispersed generally uniformly throughout the cell walls. The water is preferably removed at least partially by heating the froth and may use one or more energy sources such as an infrared oven, a conventional oven, microwave or heating plates capable of achieving temperatures of from about 50 to 200 °C. The froth may also be cured by gradually increasing the temperature in a step- wise or continuous ramping manner. For example, curing a layer of the froth may comprise heating in three steps of approximately 30 minutes each at temperatures of about 70, 125 and 150 °C. respectively.
The frothed polyurethane dispersion may be applied to the substrate to achieve a range of layer thicknesses and weights, ranging from about 1 kg/m2 to about 14.4 kg/m2 (about 3.3 oz/ft2 to about 47.2 oz/ft2) dry weight, depending on the characteristics of the substrate, the desired coating weight and the desired thickness. For example, for foams having a thickness between about 3 and 6 mm, the preferred coating weight is from about 2.1 kg/m2 to about 5.7 kg/m2 (about 6.9 oz/ft2 to about 18.7 oz/ft2) dry weight. For foams having a thickness of about 12 mm, the preferred coating weight is from about 9 kg/m2 to about 11.4 kg/m2 (about 29.5 oz/ft2 to about 37.4 oz/ft2) dry weight.
Other types of aqueous polymer dispersions may be used in combination with the polyurethane dispersions described above including styrene-butadiene dispersions; styrene-butadiene-vinylidene chloride dispersions; styrene-alkyl acrylate dispersions; ethylene vinyl acetate dispersions; polychloropropylene latexes; polyethylene copolymer latexes; ethylene styrene copolymer latexes; polyvinyl chloride latexes; or acrylic dispersions, like compounds, and mixtures thereof. Other components useful in preparing suitable aqueous polymer dispersions include polyols having acrylic groups or amine groups, acrylate prepolymers, expoxies, acrylic dispersions, acrylate dispersions and hybrid prepolymers.
The polyurethane foams produced by curing the frothed polyurethane dispersions described above are typically resilient open cell foams, i.e., foams that exhibit a resiliency of at least 5 % when tested according to ASTM D3574. The polyurethane foams preferably exhibit a resiliency of from about 5 to 80 %, more preferably from about 10 to 60 %, and most preferably from about 15 to 50 %, and a foam density between about 0.35 and 1.2 grams/cm3, preferably between about 0.7 and 1.0 grams/cm3, and most preferably between about 0.75 and 0.95 grams/cm3.
As illustrated in FIG. 3 A, the fixed abrasive material 19 comprises a polymeric material 28 containing a substantially uniform distribution of abrasive particles 30. The polymeric material has an open cell structure in which small adjacent cells 32 are randomly connected to one another to provide paths for fluid flow from the surface of the fixed abrasive material into and through the bulk of the fixed abrasive material.
As illustrated in FIG. 3B, in a preferred embodiment, the fixed abrasive material 19 is provided as a layer on a substrate material 21 to form a fixed abrasive planarizing pad 18. In a preferred method, the material is conditioned to form nano-asperities 33 on the exposed major surface of the fixed abrasive material 19. The open cell construction of the fixed abrasive material 19 allows liquid and fine particles to flow into and through the fixed abrasive material and through the substrate material 21. (As will be appreciated, FIGS. 3A-B are intended only to illustrate a simplified embodiment of the fixed abrasive material and a planarizing pad structure utilizing the fixed abrasive material according to the present invention for purposes of discussion and are, consequently, not drawn to scale and should not, therefore, be considered to limit the invention.)
A fixed abrasive material manufactured according to the present invention was examined under a SEM to produce the micrographs provided as FIGS. 4A and 4B. FIG. 4A shows an exemplary embodiment of the fixed abrasive material under a relatively low magnification to illustrate the highly open structure. FIG. 4B shows a portion of the fixed abrasive material under much higher magnification to reveal details of the cell stracture 32 and illustrate the unifomi distribution of tlie abrasive particles, i.e., the bright specks 28, throughout the polymeric composition forming the cell walls.
The polymer matrix may have a density of at least about 0.5 g/cm3, preferably of at least about 0.7 g/cm3, more preferably of at least about 0.9 g/cm3, and most preferably at least about 1.1 g/cm3. The density is preferably no greater than 1.5 g/cm3, more preferably no greater than 1.4 g/cm3, more preferably still no greater than 1.3 g cm3, and most preferably no greater than 1.25 g/cm3. The polymer matrix may have a Shore A hardness of at least about 30, preferably at least about 70, and more preferably at least about 75 but no greater than about 90, preferably no greater than about 85. . The polymer matrix may have a percent rebound at 5 psi of at least about 30 preferably at least about 50, but no greater than about 90, preferably no greater than about 80, and more preferably no greater than about 75. The polymer matrix may have a percent compressibility at 5 psi of at least about 1%, preferably at least about 2% but no greater than about 10%, preferably no greater than about 6%, more preferably no greater than about 4%. A polymer matrix may have no porosity or may have some porosity. If porosity is present it is greater than 0%, preferably at least about 5%, preferably at least about 10%, and more preferably, between at least about 20% but no greater than 60%, preferably no greater than 50%, and more preferably no greater than 40% based on total volume of the matrix. The polymer matrix may have no cells but if cells are present the median cell size may be at least about 5 μm, preferably'at least about 30 μm but no greater than 500 μm, preferably no greater than 300 μm, and more preferably no greater than 200 μm.
Planarization or polishing pads manufactured from a fixed abrasive material according to the present invention may be used to removed one or more materials from a major surface of a semiconductor substrate in a process in which: a carrier liquid is applied to tlie polishing surface of a polishing pad, the polishing pad having an open cell structure of a thennoset polymer matrix defining a plurality of interconnected cells and abrasive particles distributed throughout the polymer matrix; causing relative motion between the substrate and the polishing surface of the polishing pad in a plane generally parallel to the major surface of the substrate while applying a force tending to bring the major surface and the polishing surface into contact; conditioning the polishing surface, thereby releasing abrasive particles from the polymer matrix to form free abrasive particles; and polishing the major surface of the substrate with the free abrasive particles to remove a portion of the material from the major surface of the substrate.
As reflected in the SEM micrographs in FIGS. 5A-D, the particles released by conditioning the polishing surface of a planarizing or polishing pad comprising a fixed abrasive material according to exemplary embodiments of the invention may include a mixture of free abrasive particles, polymer particles and composite particles including abrasive particles on the surface or still encompassed within a polymer particle. This mixture of particles acts to reduce the defectivity of the resulting polished surface.
The following exemplary examples are provided to illustrate the present invention. The examples are not intended to limit the scope of the present invention and should not be so interpreted. All weight percentages and parts are by dry weight unless otherwise noted.
EXAMPLE Al
An exemplary polyurethane, composition Al, was prepared by combining:
80 parts WITCOBOND A- 100 (WITCO Corp.);
20 parts WITCOBOND W-240 (WITCO Corp.);
15 parts surfactant (consisting of 9 parts STANFAX 320, 3 parts STANFAX 590, and 3 parts STANFAX 318) (Para-Chem Southern Inc.);
8.5 parts ACUSOL 810A (as a viscosity modifier/thickener) (Rohm & Haas); and
100 parts 500 nm ceria particles to form an aqueous dispersion (all parts reflecting dry weight). The polyurethane dispersion was then allowed to stand for approximately one hour to stabilize the viscosity at about 9500 cps. The polyurethane dispersion was then frothed using an OAKES frother to produce a froth having a density of approximately 1040 grams per liter and applied to a polycarbonate substrate to a thickness of about 1.5 mm. The froth was then cured for 30 minutes at 70 °C, 30 minutes at 125 °C, and 30 minutes at 150 °C. to form a foam product comprising a fixed abrasive material having a foam density between about 0.75 and 0.95 grams/cm3.
Although the Examples include viscosities between about 8000 and 10,000 cps, depending on the application, the viscosity of the frothed polyurethane dispersions could range between about 5000 and 15,000 or perhaps higher while still producing fixed abrasive materials incorporating the advantages of the present invention. Similarly, depending on the application, the density of the frothed polyurethane dispersions could be adjusted to provide either more or less dense froths that could range from about 500 grams per liter to about 1500 or more grams per liter.
EXAMPLE A2 Another exemplary polyurethane composition, composition A2, was prepared by combining:
60 parts WITCOBOND A-100;
40 parts WITCOBOND W-240;
15 parts surfactant (consisting of 9 parts STANFAX 320, 3 parts STANFAX 590, and 3 parts STANFAX 318);
8.5 parts ACUSOL 810A (as a viscosity modifier/thickener); and
70 parts 500 nm ceria particles to form an aqueous dispersion. The polyurethane dispersion was then allowed to stand for approximately one hour to stabilize the viscosity at about 10,000 cps. The polyurethane dispersion was then frothed using an OAKES frother to produce a froth having a density of approximately 970 grams per liter and applied to a polycarbonate substrate to a thickness of about 1.5 mm. The froth was then cured for 30 minutes at 70 °C, 30 minutes at 125 °C, and 30 minutes at 150 °C. to form a foam product comprising a fixed abrasive material having a foam density between about 0.75 and 0.95 grams/cm3.
EXAMPLE A3
Another exemplary polyurethane composition, composition A3, was prepared by combining:
20 parts WITCOBOND A- 100;
80 parts WITCOBOND W-240;
15 parts surfactant (consisting of 9 parts STANFAX 320, 3 parts STANFAX 590, and 3 parts STANFAX 318);
8.5 parts ACUSOL 810A (as a viscosity modifier/thickener); and
70 parts 500 nm ceria particles to form an aqueous dispersion. The polyurethane dispersion was then allowed to stand for approximately one hour to stabilize the viscosity at about 10,000 cps. The polyurethane dispersion was then frothed using an OAKES frother to produce a froth having a density of approximately 970 grams per liter and applied to a polycarbonate substrate to a thickness of about 1.5 mm. The froth was then cured for 30 minutes at 70 °C, 30 minutes at 125 °C, and 30 minutes at 150 °C. to form a foam product comprising a fixed abrasive material having a foam density between about 0.75 and 0.95 grams/cm3.
EXAMPLE Bl
Another exemplary polyurethane composition, composition B 1, was prepared by combining:
40 parts WITCOBOND A-100;
60 parts WITCOBOND W-240;
15 parts surfactant (consisting of 9 parts STANFAX 320, 3 parts STANFAX 590, and 3 parts STANFAX 318);
8.5 parts ACUSOL 810A (as a viscosity modifier/thickener); and
50 parts 500 nm ceria particles to form an aqueous dispersion. The polyurethane dispersion was then allowed to stand for approximately one hour to stabilize the viscosity at about 9660 cps. The polyurethane dispersion was then frothed using an OAKES frother to produce a froth having a density of approximately 997 grams per liter and applied to a polycarbonate substrate to a thickness of about 1.5 mm. The froth was then cured for 30 minutes at 70 °C, 30 minutes at 125 °C, and 30 minutes at 150 °C. to form a foam product comprising a fixed abrasive material having a foam density between about 0.75 and 0.95 grams/cm3. EXAMPLE B2
Another exemplary polyurethane composition, composition B2, was prepared by combining:
A preferred prepolymer composition may be prepared by combining:
80 parts WITCOBOND A-100;
20 parts WITCOBOND W-240;
15 parts surfactant (consisting of 9 parts STANFAX 320, 3 parts STANFAX 590, and 3 parts STANFAX 318);
8.5 parts ACUSOL 810A (as a viscosity modifier/thickener); and
100 parts 1 μm ceria particles to form an aqueous dispersion. The polyurethane dispersion was then allowed to stand for approximately one hour to stabilize the viscosity at about 8270 cps. The polyurethane dispersion was then frothed using an OAKES frother to produce a froth having a density of approximately 943 grams per liter and applied to a polycarbonate substrate to a thickness of about 1.5 mm. The froth was then cured for 30 minutes at 70 °C, 30 minutes at 125 °C, and 30 minutes at 150 °C. to form a foam product comprising a fixed abrasive material having a density between about 0.75 and 0.95 grams/cm3.
With regard to the specific components identified above WITCOBOND A-100 is an aqueous dispersion of an aliphatic urethane/acrylic alloy, WITCOBOND W-240 is an aqueous dispersion of an aliphatic urethane, ACUSOL 810A is an anionic acrylic copolymer, STANFAX 318 is an anionic surfactant comprising sodium sulfosuccinimate used as a foam stabilizer, STANFAX 320 is an anionic surfactant comprising ammonium stearate used as a foaming agent, and STANFAX 519 is a surfactant comprising a di-(2- ethylhexyl) sulfosuccinate sodium salt used as a wetting/penetrant agent.
Samples of the fixed abrasive materials corresponding to Examples Al and Bl were subjected to additional testing as reflected below in Table 1.
Figure imgf000035_0001
TABLE 1
Additional characterization tests were conducted using samples of the fixed abrasive compositions produced according to Examples Al, A2, Bl and B2 including a mercury porosimetry analysis. The mercury porosimet y analysis was performed on a Micromeritics Autopore IV 9520. Prior to tlie analysis, the samples were out-gassed at room temperature under a vacuum to remove the majority of any physiosorbed species from the surface of the materials and then cut into rectangles (approximately 15 mm x 25 mm) to help provide a substantially constant area basis and producing samples of approximately 0.43-0.49 g.
The test conditions included a Hg fill pressure of 0.41 psia, a Hg contact angle of 130.0°, a Hg surface tension of 485.0 dyn/cm, a Hg density of 13.53 g/mL, a 5 minute evacuation time, small bore penetrometer (solid type) with a 5-cc bulb, a 30 second equilibration time, 92-point pressure table (75 intrusion + 17 extrusion pressure points)
with mechanical evacuation to less than 50 μm Hg. The pressure table used was adapted to provide an even incremental distribution of pressures on a log scale from 0.5 to 60,000 psia.
During the test Hg is forced into smaller and smaller pores as the pressure is increased incrementally from the initial vacuum to a maximum of nearly 60,000 psia. Hg porosimetry data including total intrusion volume, median pore diameter (volume), and bulk density is achieved with a precision of <3% RSD (relative standard deviation) for this instrument.
The initial unadjusted results for the Hg porosimetry data representing pore sizes between 0.003 and 400 μm diameter (calculated pressure range of 0.5-60,000 psia) are summarized in Table 2.
Figure imgf000036_0001
TABLE 2
Hg porosimetry is a bulk analysis of the overall porosity, and interstitial (void) filling (apparent porosity) may be created while the Hg is pushing its way between the pieces or particles of sample at low fill pressures. Typically, this is only a problem with small meshed or powdered materials and doesn't seem to be occurring for these samples.
However, because the samples are polyurethane/polycarbonate materials, it was expected that there would be some apparent intrusion during the Hg porosimetry measurements as a result of sample compression (Hg filling due to compression of the polymer with increasing Hg fill pressures). Because of this, the intraparticle pore volume (actual pore filling resulting from macropores) must be subtracted from the apparent pore volume (apparent pore filling resulting from sample compression) to determine the actual pore volume. Performing this adjustment produced the data summarized in Table 3 representing pore sizes between 5 and 400 μm diameter (for a calculated pressure range of 0.5-35 psia).
Figure imgf000037_0001
TABLE 3
The accuracy of the adjusted data was confirmed by comparing the sample total pore area (determined using Hg porosimetry) with its measured B.E.T. (Bruner, Emmett, and Teller) surface area (determined by krypton adsorption) of <0.05 m"/g. The pore size distribution data for the tested samples is reflected in the graph illustrated in FIG. 6.
The principles and modes of operation of this invention have been described above with reference to certain exemplary and preferred embodiments. However, it should be noted that this invention may be practiced in manners other than those specifically illustrated and described above without departing from the scope of the invention as defined in the following claims.

Claims

We claim:
1. A method of forming a fixed abrasive material comprising: forming an aqueous dispersion, the aqueous dispersion including at least one of a polymer and a polymer forming mixture, abrasive particles, and a surfactant; injecting a frothing agent into the aqueous dispersion; mechanically frothing the aqueous dispersion and the frothing agent to form a substantially uniform froth; curing the froth to form an open cell foam having interconnected cells and a polymer matrix wherein the abrasive particles are distributed substantially uniformly throughout the polymer matrix.
2. A method of forming a fixed abrasive material according to claim 1, wherein: the cells have a median cell diameter, the median cell diameter being less than about 300 μm.
3. A method of forming a fixed abrasive material according to claim 1, wherein: the abrasive particles have an average particle size of less than about 2 μm.
4. A method of forming a fixed abrasive material according to claim 3, wherein: the abrasive particles include at least one particulate material selected from a group consisting of alumina, ceria, silica, titania and zirconia.
5. A method of forming a fixed abrasive material according to claim 4, wherein: the abrasive particles constitute between about 20 weight percent and about 70 weight percent of the polymer matrix; the froth has a viscosity between about 5,000 and 15,000 cps and a density of between about 500 and 1500 grams per liter; and the open cell foam has a porosity of between about 20 and 40 percent and a median pore diameter of less than about 200 μm.
6. A method of forming a fixed abrasive material according to claim 1, wherein: the polymer matrix includes a polyurethane.
7. A method of forming a fixed abrasive material according to claim 1, wherein: the surfactant includes at least a frothing surfactant and a foam stabilizing surfactant.
8. A method of forming a fixed abrasive material according to claim 1, wherein: the aqueous dispersion further includes a viscosity modifier.
9. A method of forming a fixed abrasive material according to claim 1, wherein: the aqueous dispersion has an organic content of less than about 60 weight percent; an inorganic content of less than about 60 weight percent; and a surfactant content of between about 1 and 20 weight percent.
10. A method of forming a fixed abrasive material according to claim 9, further wherein: the aqueous dispersion has a viscosity modifier content of between about 1 and 10 weight percent.
11. A method of forming a fixed abrasive material according to claim 10, wherein: the surfactant includes a mixture of a sodium sulfosuccinimate, an ammonium stearate, and a sulfosuccinate sodium salt.
12. A method of forming a fixed abrasive material according to claim 11, wherein: the sodium sulfosuccinimate is present in an amount between about 1 and 6 parts, the ammonium stearate is present in an amount between about 3 and 15 parts, and the sulfosuccinate sodium salt is present in an amount between about 1 and 6 parts.
13. A method of forming a fixed abrasive material according to claim 12, wherein: the sodium sulfosuccinimate, the ammonium stearate, and the sulfosuccinate sodium salt are present in a ratio of about 1:3:1.
14. A method of forming a fixed abrasive polishing pad comprising: forming an aqueous dispersion, the aqueous dispersion including at least one of a polymer and a polymer forming mixture, abrasive particles, the abrasive particles having an average particle size of less than about 2 μm, and a surfactant; injecting a frothing agent into the aqueous dispersion; mechanically frothing the aqueous dispersion and the frothing agent to form a substantially uniform froth; applying a layer of the froth to a substrate material; curing the layer of the forth to form a layer of open cell foam comprising intercomiected cells and a polymer matrix wherein the abrasive particles are distributed substantially uniformly throughout the polymer matrix.
15. A method of forming a fixed abrasive polishing pad according to claim 14, wherein: the aqueous dispersion includes at least an alloyed aliphatic polyester based urethane and a polyacrylate as a first component and a self-crosslinking aliphatic urethane as a second component.
16. A method of forming a fixed abrasive polishing pad according to claim 15, wherein: the first and second components are present in the aqueous dispersion in a weight ratio of between about 4:1 and 1:4.
17. A method of forming a fixed abrasive polishing pad according to claim 14, wherein: the abrasive particles constitute one or more particulate materials selected from a group consisting of alumina, ceria, silica, titania and zirconia.
18. A method of forming a fixed abrasive polishing pad according to claim 17, wherein: the abrasive particles constitute between about 20 weight percent and about 70 weight percent of the polymer matrix.
19. A method of forming a fixed abrasive polishing pad according to claim 14, wherein: the surfactant includes at least a frothing surfactant and a foam stabilizing surfactant.
20. A method of forming a fixed abrasive polishing pad according to claim 19, wherein: the aqueous dispersion further includes a viscosity modifier.
21. A method of forming a fixed abrasive polishing pad according to claim 14, wherein: the aqueous dispersion has an organic content of less than about 60 weight percent; an inorganic content of less than about 60 weight percent; and a surfactant content of between about 1 and 20 weight percent.
22. A method of forming a fixed abrasive polishing pad according to claim 21 , further wherein: the aqueous dispersion has a viscosity modifier content of between about 1 and 10 weight percent.
23. A method of forming a fixed abrasive polishing pad according to claim 22, wherein: tlie surfactant includes a mixture of a sodium sulfosuccinimate, an ammonium stearate, and a sulfosuccinate sodium salt.
24. A method of forming a fixed abrasive polishing pad according to claim 23, wherein: the sodium sulfosuccinimate is present in an amount between about 1 and 6 parts, the ammonium stearate is present in an amount between about 3 and 15 parts, and the sulfosuccinate sodium salt is present in an amount between about 1 and 6 parts.
25. A method of forming a fixed abrasive polishing pad according to claim 24, wherein: the sodium sulfosuccinimate, the ammonium stearate, and the sulfosuccinate sodium salt are present in a ratio of about 1:3:1.
26. A method of forming a fixed abrasive polishing pad according to claim 25, wherein: the abrasive particles constitute between about 20 weight percent and about 70 weight percent of the polymer matrix; the froth has a viscosity between about 5,000 and 15,000 cps and a density of between about 500 and 1500 grams per liter; and the open cell foam has a porosity of between about 5 and 40 percent and a median pore diameter of less than about 200 μm.
27. A fixed abrasive pad comprising: a fixed abrasive material layer, the fixed abrasive material being formed by the method of claim 1; and a backing layer to which the fixed abrasive material layer is affixed.
28. A fixed abrasive pad according to claim 27, wherein: the abrasive particles constitute between about 20 weight percent and about 70 weight percent of the fixed abrasive material; the fixed abrasive material has a foam density of between about 0.5 and 1.5 grams/cm3 and a porosity of between about 5 and 40 percent.
29. A fixed abrasive pad according to claim 27, wherein: the open cell foam stracture of the fixed abrasive material has a median pore diameter of less than about 200 μm.
30. A fixed abrasive pad according to claim 27, wherein: the fixed abrasive material layer will release free abrasive particles from the polymer matrix when subjected to conditioning at a pH of between about 7 and 10; and further wherein the fixed abrasive material layer will release substantially no free abrasive particles from the polymer matrix when subjected to conditioning at a pH of about 4 or less.
31. A fixed abrasive pad according to claim 27, wherein: the fixed abrasive material layer has a thickness of less than about 15 mm.
32. A fixed abrasive pad according to claim 27, wherein: the backing layer is a polymeric material, and the fixed abrasive material layer was formed by curing a froth layer deposited on the backing layer, the froth having a viscosity between about 5,000 and 15,000 cps and a density of between about 500 and 1500 grams per liter.
33. A fixed abrasive pad according to claim 32, wherein: the backing layer is a polycarbonate, and the froth layer is cured at a temperature above about 70 °C.
34. A fixed abrasive pad according to claim 33, wherein: an additional backing layer of a soft elastomeric polyurethane material is adhered on the opposite side of tlie backing layer from the froth layer.
PCT/US2004/004920 2003-02-21 2004-02-19 Method of manufacturing a fixed abrasive material WO2004076127A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
EP04712882A EP1597024A1 (en) 2003-02-21 2004-02-19 Method of manufacturing a fixed abrasive material
JP2006503709A JP2006519115A (en) 2003-02-21 2004-02-19 Method for manufacturing fixed abrasive material

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/369,628 2003-02-21
US10/369,628 US7066801B2 (en) 2003-02-21 2003-02-21 Method of manufacturing a fixed abrasive material

Publications (2)

Publication Number Publication Date
WO2004076127A1 true WO2004076127A1 (en) 2004-09-10
WO2004076127A8 WO2004076127A8 (en) 2005-11-03

Family

ID=32868090

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/004920 WO2004076127A1 (en) 2003-02-21 2004-02-19 Method of manufacturing a fixed abrasive material

Country Status (6)

Country Link
US (1) US7066801B2 (en)
EP (1) EP1597024A1 (en)
JP (1) JP2006519115A (en)
KR (1) KR20050106026A (en)
TW (1) TW200422366A (en)
WO (1) WO2004076127A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2853350A4 (en) * 2012-05-23 2016-01-13 Kpx Chemical Co Ltd Polishing pad and method for manufacturing same

Families Citing this family (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4345357B2 (en) * 2003-05-27 2009-10-14 株式会社Sumco Manufacturing method of semiconductor wafer
US6986284B2 (en) * 2003-08-29 2006-01-17 Rohm And Haas Electronic Materials Cmp Holdings, Inc. System and method for characterizing a textured surface
US7335239B2 (en) * 2003-11-17 2008-02-26 Advanced Technology Materials, Inc. Chemical mechanical planarization pad
DE202004007806U1 (en) * 2004-05-14 2004-07-22 Jöst, Peter abrasives
US7582904B2 (en) * 2004-11-26 2009-09-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, display device and method for manufacturing thereof, and television device
KR20060099398A (en) * 2005-03-08 2006-09-19 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스 인코포레이티드 Water-based polishing pads and methods of manufacture
JP4820108B2 (en) * 2005-04-25 2011-11-24 コマツNtc株式会社 Semiconductor wafer manufacturing method, workpiece slicing method, and wire saw used therefor
KR100960585B1 (en) * 2005-07-15 2010-06-03 도요 고무 고교 가부시키가이샤 Layered sheets and processes for producing the same
JP4884726B2 (en) * 2005-08-30 2012-02-29 東洋ゴム工業株式会社 Manufacturing method of laminated polishing pad
TW200720017A (en) * 2005-09-19 2007-06-01 Rohm & Haas Elect Mat Water-based polishing pads having improved adhesion properties and methods of manufacture
KR100741077B1 (en) * 2005-11-07 2007-07-20 삼성에스디아이 주식회사 Apparatus for driving display panel
US20070128991A1 (en) * 2005-12-07 2007-06-07 Yoon Il-Young Fixed abrasive polishing pad, method of preparing the same, and chemical mechanical polishing apparatus including the same
KR100734305B1 (en) * 2006-01-17 2007-07-02 삼성전자주식회사 Fabrication method of semiconductor device having dishing-free planarized layer and semiconductor device fabricated using the same
US7585340B2 (en) * 2006-04-27 2009-09-08 Cabot Microelectronics Corporation Polishing composition containing polyether amine
JP2007290101A (en) * 2006-04-27 2007-11-08 Disco Abrasive Syst Ltd Vitrified bond grindstone and its manufacturing method
US7937026B2 (en) * 2006-06-27 2011-05-03 Bando Chemical Industries, Ltd. Liquid developing electrophotographic device roller and liquid developing electrophotographic device
JP5145683B2 (en) * 2006-07-20 2013-02-20 東レ株式会社 Polishing method, polishing pad, and manufacturing method of polishing pad
JP4465368B2 (en) * 2006-09-08 2010-05-19 東洋ゴム工業株式会社 Polishing pad
JP4465376B2 (en) * 2006-09-08 2010-05-19 東洋ゴム工業株式会社 Polishing pad manufacturing method
US20100009611A1 (en) * 2006-09-08 2010-01-14 Toyo Tire & Rubber Co., Ltd. Method for manufacturing a polishing pad
CN101511537B (en) * 2006-09-08 2011-05-04 东洋橡胶工业株式会社 Polishing pad
MY157714A (en) 2007-01-15 2016-07-15 Rohm & Haas Elect Mat Polishing pad and a method for manufacturing the same
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US8097175B2 (en) * 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
US20090023362A1 (en) * 2007-07-17 2009-01-22 Tzu-Shin Chen Retaining ring for chemical mechanical polishing, its operational method and application system
US8052507B2 (en) * 2007-11-20 2011-11-08 Praxair Technology, Inc. Damping polyurethane CMP pads with microfillers
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
JP4593643B2 (en) * 2008-03-12 2010-12-08 東洋ゴム工業株式会社 Polishing pad
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
TW200942361A (en) * 2008-04-11 2009-10-16 San Fang Chemical Industry Co Polishing pad and method for making the same
WO2009128982A2 (en) * 2008-04-18 2009-10-22 Saint-Gobain Abrasives, Inc. High porosity abrasive articles and methods of manufacturing same
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
SG192427A1 (en) 2008-06-23 2013-08-30 Saint Gobain Abrasives Inc High porosity vitrified superabrasive products and method of preparation
WO2010025003A2 (en) 2008-08-28 2010-03-04 3M Innovative Properties Company Structured abrasive article, method of making the same, and use in wafer planarization
JP5166172B2 (en) * 2008-09-02 2013-03-21 富士紡ホールディングス株式会社 Polishing pad manufacturing method
JP5323447B2 (en) * 2008-10-29 2013-10-23 大和化成工業株式会社 Grinding wheel
WO2010123744A2 (en) * 2009-04-23 2010-10-28 Cabot Microelectronics Corporation Cmp porous pad with particles in a polymeric matrix
TWI404596B (en) * 2009-09-22 2013-08-11 San Fang Chemical Industry Co Method for manufacturing polishing pad and polishing pad
WO2011056680A2 (en) 2009-10-27 2011-05-12 Saint-Gobain Abrasives, Inc. Vitreous bonded abrasive
BR112012009809A2 (en) 2009-10-27 2016-11-22 Saint Gobain Abrasifs Sa superabrasive product, its precursor and method of formation, superabrasive resin product and method of rectifying an inside-back tablet
WO2012092619A2 (en) 2010-12-30 2012-07-05 Saint-Gobain Abrasives, Inc. Coated abrasive aggregates and products containg same
CA2849805A1 (en) 2011-09-29 2013-04-04 Saint-Gobain Abrasives, Inc. Abrasive products and methods for finishing hard surfaces
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
US9017060B2 (en) * 2011-12-28 2015-04-28 Huang-Nan Huang Arc blade-shaped processing surface structure of pad conditioner and manufacturing mold structure thereof
US9266220B2 (en) 2011-12-30 2016-02-23 Saint-Gobain Abrasives, Inc. Abrasive articles and method of forming same
WO2013106575A1 (en) 2012-01-10 2013-07-18 Saint-Gobain Abrasives, Inc. Abrasive products and methods for finishing coated surfaces
CA2867350C (en) 2012-03-16 2017-05-23 Saint-Gobain Abrasives, Inc. Abrasive products and methods for finishing surfaces
US8968435B2 (en) 2012-03-30 2015-03-03 Saint-Gobain Abrasives, Inc. Abrasive products and methods for fine polishing of ophthalmic lenses
US20140057532A1 (en) * 2012-08-24 2014-02-27 Ecolab Usa Inc. Methods of polishing sapphire surfaces
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
US20150056895A1 (en) * 2013-08-22 2015-02-26 Cabot Microelectronics Corporation Ultra high void volume polishing pad with closed pore structure
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
JP6435222B2 (en) * 2015-03-30 2018-12-05 富士紡ホールディングス株式会社 Polishing pad, method for manufacturing the same, and polishing method
CN107646138B (en) * 2016-04-06 2020-11-06 Kpx化工有限公司 Method for manufacturing polishing pad
US10010996B2 (en) * 2016-04-20 2018-07-03 Seagate Technology Llc Lapping plate and method of making
US10105813B2 (en) * 2016-04-20 2018-10-23 Seagate Technology Llc Lapping plate and method of making
KR102054309B1 (en) 2018-04-17 2019-12-10 에스케이씨 주식회사 Porous polishing pad and preparation method thereof
KR102058877B1 (en) * 2018-04-20 2019-12-24 에스케이씨 주식회사 POROUS POLYURETHANE POLISHING PAD and PREPARATION METHOD THEREOF
JP7420728B2 (en) * 2018-09-28 2024-01-23 株式会社フジミインコーポレーテッド Polishing pad and polishing method using it
CN109894930B (en) * 2019-03-22 2021-06-25 湖南科技大学 Slow-release flexible grinding tool and polishing method
KR102177748B1 (en) * 2019-11-28 2020-11-11 에스케이씨 주식회사 Porous polishing pad and preparation method thereof
US20210394334A1 (en) * 2020-06-19 2021-12-23 Skc Solmics Co., Ltd. Polishing pad, preparation method thereof and method for preparing semiconductor device using same
CN114523426B (en) * 2022-02-21 2023-09-05 南充三环电子有限公司 Polishing sheet and preparation method and application thereof
WO2023176315A1 (en) * 2022-03-17 2023-09-21 株式会社ノリタケカンパニーリミテド Polishing pad, method for manufacturing polishing pad, and wafer polishing method

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001074535A1 (en) * 2000-03-31 2001-10-11 Lam Research Corporation Fixed abrasive linear polishing belt and system using the same
US6514301B1 (en) * 1998-06-02 2003-02-04 Peripheral Products Inc. Foam semiconductor polishing belts and pads

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2551094A1 (en) 1975-11-14 1977-05-26 Bayer Ag PROCESS FOR THE MANUFACTURING OF WATER DISPERSIBLE POLYURETHANES
DE2651506C2 (en) 1976-11-11 1986-04-30 Bayer Ag, 5090 Leverkusen Process for the preparation of water-dispersible polyurethanes
US4142663A (en) * 1977-04-28 1979-03-06 Kaiser Steel Corporation Apparatus and method for making perforated tube
US5234867A (en) 1992-05-27 1993-08-10 Micron Technology, Inc. Method for planarizing semiconductor wafers with a non-circular polishing pad
US5197999A (en) 1991-09-30 1993-03-30 National Semiconductor Corporation Polishing pad for planarization
US5287663A (en) 1992-01-21 1994-02-22 National Semiconductor Corporation Polishing pad and method for polishing semiconductor wafers
US6099394A (en) 1998-02-10 2000-08-08 Rodel Holdings, Inc. Polishing system having a multi-phase polishing substrate and methods relating thereto
US6069080A (en) * 1992-08-19 2000-05-30 Rodel Holdings, Inc. Fixed abrasive polishing system for the manufacture of semiconductor devices, memory disks and the like
US5356513A (en) 1993-04-22 1994-10-18 International Business Machines Corporation Polishstop planarization method and structure
US5441598A (en) 1993-12-16 1995-08-15 Motorola, Inc. Polishing pad for chemical-mechanical polishing of a semiconductor substrate
US5516729A (en) 1994-06-03 1996-05-14 Advanced Micro Devices, Inc. Method for planarizing a semiconductor topography using a spin-on glass material with a variable chemical-mechanical polish rate
US6099954A (en) 1995-04-24 2000-08-08 Rodel Holdings, Inc. Polishing material and method of polishing a surface
US5624303A (en) 1996-01-22 1997-04-29 Micron Technology, Inc. Polishing pad and a method for making a polishing pad with covalently bonded particles
US5692950A (en) 1996-08-08 1997-12-02 Minnesota Mining And Manufacturing Company Abrasive construction for semiconductor wafer modification
US6537137B2 (en) 1996-08-16 2003-03-25 Rodel Holdings, Inc Methods for chemical-mechanical polishing of semiconductor wafers
US5972792A (en) 1996-10-18 1999-10-26 Micron Technology, Inc. Method for chemical-mechanical planarization of a substrate on a fixed-abrasive polishing pad
JP3722591B2 (en) 1997-05-30 2005-11-30 株式会社日立製作所 Polishing equipment
US5919082A (en) 1997-08-22 1999-07-06 Micron Technology, Inc. Fixed abrasive polishing pad
JP3672493B2 (en) 1998-02-24 2005-07-20 昭和電工株式会社 Abrasive composition for polishing semiconductor device and method for manufacturing semiconductor device using the same
US6435947B2 (en) * 1998-05-26 2002-08-20 Cabot Microelectronics Corporation CMP polishing pad including a solid catalyst
US6475069B1 (en) 1999-10-22 2002-11-05 Rodel Holdings, Inc. Control of removal rates in CMP
US6302770B1 (en) 1998-07-28 2001-10-16 Nikon Research Corporation Of America In-situ pad conditioning for CMP polisher
JP3858462B2 (en) 1998-07-30 2006-12-13 株式会社日立製作所 Manufacturing method of semiconductor device
US6095902A (en) 1998-09-23 2000-08-01 Rodel Holdings, Inc. Polyether-polyester polyurethane polishing pads and related methods
US6326340B1 (en) * 1998-09-29 2001-12-04 Mohamed Emam Labib Cleaning composition and apparatus for removing biofilm and debris from lines and tubing and method therefor
JP2002528903A (en) 1998-10-23 2002-09-03 アーチ・スペシャルティ・ケミカルズ・インコーポレイテッド Slurry system containing activator solution for chemical mechanical polishing
BR9917111A (en) 1998-12-29 2001-10-23 Dow Chemical Co Process for producing resilient polyurethane foam, foam, foam on a substrate article and quilted floors or textiles
JP3760064B2 (en) 1999-08-09 2006-03-29 株式会社日立製作所 Semiconductor device manufacturing method and semiconductor device flattening apparatus
US6331135B1 (en) 1999-08-31 2001-12-18 Micron Technology, Inc. Method and apparatus for mechanical and chemical-mechanical planarization of microelectronic substrates with metal compound abrasives
US6364749B1 (en) 1999-09-02 2002-04-02 Micron Technology, Inc. CMP polishing pad with hydrophilic surfaces for enhanced wetting
JP2001077060A (en) 1999-09-08 2001-03-23 Toshiba Corp Manufacture of semiconductor device
US7015134B2 (en) 1999-11-02 2006-03-21 Advanced Micro Devices, Inc. Method for reducing anti-reflective coating layer removal during removal of photoresist
EP1212171A1 (en) 1999-12-23 2002-06-12 Rodel Holdings, Inc. Self-leveling pads and methods relating thereto
US6419553B2 (en) 2000-01-04 2002-07-16 Rodel Holdings, Inc. Methods for break-in and conditioning a fixed abrasive polishing pad
US6368200B1 (en) 2000-03-02 2002-04-09 Agere Systems Guardian Corporation Polishing pads from closed-cell elastomer foam
US6416685B1 (en) 2000-04-11 2002-07-09 Honeywell International Inc. Chemical mechanical planarization of low dielectric constant materials
US6454634B1 (en) 2000-05-27 2002-09-24 Rodel Holdings Inc. Polishing pads for chemical mechanical planarization
US6477926B1 (en) 2000-09-15 2002-11-12 Ppg Industries Ohio, Inc. Polishing pad
EP1211024A3 (en) 2000-11-30 2004-01-02 JSR Corporation Polishing method
US20020072307A1 (en) 2000-12-13 2002-06-13 Fruitman Clinton O. Apparatus and method for chemical mechanical planarization using a fixed-abrasive polishing pad
US6478659B2 (en) 2000-12-13 2002-11-12 Promos Technologies, Inc. Chemical mechanical polishing method for slurry free fixed abrasive pads
KR100394572B1 (en) 2000-12-28 2003-08-14 삼성전자주식회사 multi characterized CMP pad structure and method for fabricating same
US6387807B1 (en) 2001-01-30 2002-05-14 Speedfam-Ipec Corporation Method for selective removal of copper
US6774152B2 (en) * 2001-08-31 2004-08-10 General Electric Company Fiber imbedded polymeric sponge

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6514301B1 (en) * 1998-06-02 2003-02-04 Peripheral Products Inc. Foam semiconductor polishing belts and pads
WO2001074535A1 (en) * 2000-03-31 2001-10-11 Lam Research Corporation Fixed abrasive linear polishing belt and system using the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2853350A4 (en) * 2012-05-23 2016-01-13 Kpx Chemical Co Ltd Polishing pad and method for manufacturing same

Also Published As

Publication number Publication date
US20040166790A1 (en) 2004-08-26
EP1597024A1 (en) 2005-11-23
JP2006519115A (en) 2006-08-24
TW200422366A (en) 2004-11-01
US7066801B2 (en) 2006-06-27
WO2004076127A8 (en) 2005-11-03
KR20050106026A (en) 2005-11-08

Similar Documents

Publication Publication Date Title
US7066801B2 (en) Method of manufacturing a fixed abrasive material
US6910951B2 (en) Materials and methods for chemical-mechanical planarization
US6918821B2 (en) Materials and methods for low pressure chemical-mechanical planarization
JP4313761B2 (en) Method for producing polyurethane foam containing fine pores and polishing pad produced therefrom
US6986705B2 (en) Polishing pad and method of making same
KR101526010B1 (en) Chemical mechanical polishing pad
US20150375361A1 (en) Chemical mechanical polishing method
CN111136577B (en) Chemical mechanical polishing pad and polishing method
TWI546315B (en) Polishing pad and manufacturing method thereof
DE102016007767A1 (en) FORMULATION FOR A COMPOSITE COATING LAYER FOR A CHEMICAL-MECHANICAL POLISHING PILLOW
JP6849389B2 (en) Chemical mechanical polishing method
CN111203798B (en) Chemical mechanical polishing pad and polishing method
TW202228918A (en) Formulations for chemical mechanical polishing pads with high planarization efficiency and cmp pads made therewith
KR20220106054A (en) Chemical mechanical polishing pad and polishing method
CN114800255A (en) Formulation for chemical mechanical polishing pad and CMP pad made therefrom
TW202237685A (en) Formulations for high porosity chemical mechanical polishing pads with high hardness and cmp pads made therewith
KR20220106052A (en) Formulations for high porosity chemical mechanical polishing pads with high hardness and cmp pads made therewith

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2006503709

Country of ref document: JP

Ref document number: 1020057015502

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2004712882

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 20048105690

Country of ref document: CN

CFP Corrected version of a pamphlet front page
CR1 Correction of entry in section i

Free format text: IN PCT GAZETTE 37/2004 REPLACE "(71) APPLICANT AND (71) APPLICANTS (FOR ALL DESIGNATED STATES EXCEPTUS)" BY "(71) APPLICANT (FOR ALL DESIGNATED STATES EXCEPT US) AND (72, 75) APPLICANTS AND INVENTORS (FOR US ONLY)"

WWP Wipo information: published in national office

Ref document number: 1020057015502

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2004712882

Country of ref document: EP

DPEN Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed from 20040101)