WO2004023214A1 - Interferometry-based method and apparatus for overlay metrology - Google Patents

Interferometry-based method and apparatus for overlay metrology Download PDF

Info

Publication number
WO2004023214A1
WO2004023214A1 PCT/US2003/025300 US0325300W WO2004023214A1 WO 2004023214 A1 WO2004023214 A1 WO 2004023214A1 US 0325300 W US0325300 W US 0325300W WO 2004023214 A1 WO2004023214 A1 WO 2004023214A1
Authority
WO
WIPO (PCT)
Prior art keywords
grating
overlay
target
probe beam
diffraction
Prior art date
Application number
PCT/US2003/025300
Other languages
French (fr)
Inventor
Kenneth Johnson
Original Assignee
Therma-Wave, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Therma-Wave, Inc. filed Critical Therma-Wave, Inc.
Publication of WO2004023214A1 publication Critical patent/WO2004023214A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects

Definitions

  • This invention relates to measuring the overlay alignment accuracy of a pair of patterned layers on a semiconductor wafer, possibly separated by one or more layers, made by two or more lithography steps during the manufacture of semiconductor devices.
  • Manufacturing semiconductor devices involves depositing and patterning several layers overlaying each other. For example, gate interconnects and gates of an integrated circuit are formed at different lithography steps in the manufacturing process. The tolerance of alignment of these patterned layers is less than the width of the gate.
  • Overlay is defined as the displacement of a patterned layer from its ideal position aligned to a layer patterned earlier on the same wafer.
  • Overlay is a two dimensional vector ( ⁇ x, ⁇ y) in the plane of the wafer.
  • Overlay is a vector field, i.e., the value of the vector depends on the position on the wafer. Perfect overlay and zero overlay are used synonymously. Overlay and overlay error are used synonymously.
  • overlay may signify a vector or one of the components of the vector.
  • Overlay metrology provides the information that is necessary to correct the alignment of the stepper-scanner and thereby minimize overlay error with respect to previously patterned layers. Overlay errors, detected on a wafer after exposing and developing the photoresist, can be corrected by removing the photoresist, repeating exposure on a corrected stepper-scanner, and repeating the development of the photoresist. If the measured error is acceptable but measurable, parameters of the lithography process could be adjusted based on the overlay metrology to avoid excursions for subsequent wafers. Most prior overlay metrology methods use built-in test patterns etched or otherwise formed into or on the various layers during the same plurality of lithography steps that form the patterns for circuit elements on the wafer.
  • box-in-box consists of two concentric squares, formed on a lower and an upper layer, respectively.
  • Bar-in-bar is a similar pattern with just the edges of the “boxes” demarcated, and broken into disjoint line segments.
  • the outer bars are associated with one layer and the inner bars with another.
  • the topographies are complex and not truly planar so the designations "upper” and “lower” are ambiguous. Typically they correspond to earlier and later in the process.
  • the squares or bars are formed by lithographic and other processes used to make planar structures, e.g., chemical-mechanical planarization (CMP).
  • CMP chemical-mechanical planarization
  • the patterns for the boxes or bars are stored on lithography masks and projected onto the wafer.
  • Other methods for putting the patterns on the wafer are possible, e.g., direct electron beam writing from computer memory.
  • a high performance microscope imaging system combined with image processing software estimates overlay error for the two layers.
  • the image processing software uses the intensity of light at a multitude of pixels. Obtaining the overlay error accurately requires a high quality imaging system and means of focusing the system.
  • One requirement for the optical system is very stable positioning of the optical system with respect to the sample. Relative vibration would blur the image and degrade the performance. This is a difficult requirement to meet for overlay metrology systems that are integrated into a process tool, like a lithography track. High-acceleration wafer handlers in the track cause vibration.
  • the tight space requirements for integration preclude bulky isolation strategies.
  • one approach to overcoming these difficulties is to incorporate special diffraction gratings, known as targets, within semiconductor wafers.
  • the targets are measured using scatterometry to perform overlay metrology.
  • Several different grating configurations are described for the overlay targets.
  • the simplest embodiment uses two grating stacks, one for x-alignment and one for y (each grating stack comprising two grating layers).
  • An alternative embodiment uses two line grating stacks each for x and y (four grating stacks total).
  • Still another embodiment uses three line grating stacks in combination to simultaneously measure both x and y alignment.
  • Scatterometry is proving to be an effective tool for measuring overlay errors.
  • analyzing scatterometry measurements is generally a computationally intensive, time consuming process that can only be accomplished using complex mathematical models. In some cases, it may be difficult to accomplish the required computations within the time available.
  • a second approach measures overlay by measuring the difference in the reflection efficiencies of the ⁇ lst diffracted orders.
  • This approach uses a target that includes two overlapping line gratings of equal pitch. When the lines of one grating are centered on the lines or spaces of the other, the ⁇ lst diffraction orders have the same amplitude due to symmetry. When the two gratings are offset with respect to each other, the symmetry is broken and the difference in the amplitudes of the ⁇ lst orders correlate to offset.
  • the proportionality constant i.e., the constant that relates the difference in amplitude to overlay) depends on geometrical details of the sample and the optical properties of all layers in the sample. The proportionality constant is subject to change from sample to sample, and even within the same sample.
  • Figures 1A through ID are various views of an embodiment of an overlay target as provided by the present invention.
  • Figure 2 shows the diffracted orders produced during measurement of the overlay target shown in Figures 1 A through ID.
  • Figure 3 shows an embodiment of the overlay target designed to provide additional measurements values.
  • Figure 4 shows an embodiment of the overlay target designed to provide measurement in two dimensions.
  • Figure 5 shows an alternate embodiment of the overlay target designed to provide measurement in two dimensions.
  • Figure 6 shows a metrology system designed to measure overlay using the overlay targets shown in Figures 1 through 5.
  • Figure 7 shows a second metrology system designed to measure overlay using the overlay targets shown in Figures 1 through 5.
  • Figure 8 shows the appearance of the overlay target of Figure 4 that corresponds to a gross overlay error.
  • Figure 9A shows the diffraction pattern that corresponds to the gross overlay condition.
  • Figure 9B shows the diffraction pattern that corresponds to the non-gross overlay condition.
  • an embodiment of the present invention provides a target 100 for interferometry-based overlay metrology.
  • Overlay target 100 includes an upper grating 102U and a lower grating 102L.
  • Grating 102U is formed in an upper layer 104U and grating 102L is formed in a lower layer 104L.
  • Upper and lower layers 104 may be separated by one or more intermediate layers 106.
  • Intermediate layers 106 are transparent or semitransparent materials such as inter-layer dielectrics, stop layers, and anti-reflective coatings.
  • Each grating 102 is formed as a series of parallel lines spaced at a constant pitch
  • the gap should be sufficiently large so that light diffracted from one grating does not illuminate the other grating and be diffracted in a manner that adds significantly to the measured signal.
  • the separation between the upper and lower gratings creates interference fringes within the ⁇ 1st order spots at the detector plane. The larger the separation, the higher the spatial frequency of the fringes at the detector plane. To avoid having to account for these effects, a small separation is desirable.
  • Figure 2 shows the interaction between a probe beam 202 and overlay target 100.
  • Probe beam 202 is typically coherent and is typically generated by a suitable laser source. Probe beam 202 is normally incident on overlay target 100 where it illuminates gratings 102U and 102L simultaneously. The size of overlay target 100 is comparable to or smaller than the coherence length of probe beam 202. Typically, this is accomplished by expanding probe beam 202 to cover overlay target 100.
  • Upper grating 102U diffracts probe beam 202 into diffracted orders. As shown in Figure 2, this includes a +l st diffracted order and a -1 st diffracted order. Lower grating 102L similarly creates a respective set of + 1 st and -1 st diffracted orders. This requires the ⁇ l st order to be propagating (not evanescent) which in turn requires: P ⁇ ⁇ (1) where P is the period of upper grating 102U and lower grating 102L. In practice, this means that the period of upper and lower gratings 102 and the wavelength (or wavelengths) of probe beam 202 are chosen to be mutually compatible. It is also possible to use non-first diffraction orders.
  • Figure 2 is generalized with upper and lower gratings 102 producing respective n th and -n h diffracted orders (where n is an integer other than 1), rather than just +l st and -1 st diffracted orders, as shown. Equation (1) is then generalized to:
  • the 1 st order diffracted beams from the upper and lower gratings 102 are combined at a detector 204a.
  • the -1 st order diffracted beams from the upper and lower gratings 102 are combined at a detector 204b.
  • Detectors 204 provide output signals that are proportional to the light power that they receive. Detectors 204 are typically members on a detector array but may also be separate photodetectors. Alternately, a single detector may be physically repositioned to capture the 1 st and -1 st order diffracted beams in sequence.
  • the amplitude of the diffracted orders reaching detector 204a would be equivalent to the amplitude reaching detector 204b. This follows because probe beam 202 is directed normally against overlay target 100 and because the lines in upper and lower gratings 102 have symmetric cross-sections. With respect to the amplitude of probe beam 202, the 1 st and -1 st diffracted orders have the following complex amplitudes:
  • the amplitudes a and b, and the phase angles ⁇ a and ⁇ depend on the properties of the sample and the metrology target.
  • the phase of the diffracted orders reaching each detector 204 is a function of the offset ⁇ x between upper and lower gratings 102.
  • upper grating 102U is offset by ⁇ x in the direction of its pitch, there is a phase difference of 4 ⁇ x/P radians between the 1 st and -1 st orders diffracted by the upper grating.
  • the difference in phase causes the diffracted orders received by each detector 204 to interfere.
  • the two 1 st diffracted orders have the intensity:
  • ⁇ x represents the unknown overlay caused by the misalignment of the lithography process.
  • the goal is to measure ⁇ x .
  • the offset bias r x is intentionally introduced and it is well known and controlled.
  • the upper grating 102U is offset by r ⁇ /(magnification) in target 1 on the reticle for the upper layer.
  • the offset is in the direction of the pitch, i.e., perpendicular to the grating lines.
  • r 2 is the offset bias for the upper grating in target 2.
  • Offset bias and reticle offset are used synonymously.
  • an embodiment of the present invention may be constructed as shown in Figure 3. For this embodiment, two overlay targets 300 and 300' are used.
  • Overlay targets 300 are structurally analogous to overlay target 100 of Figure 1. They include an upper grating 302U and a lower grating 302L. The two gratings are formed on respective layers (not specifically shown) within a semiconductor wafer. As was the case for overlay target 100, the two layers are formed at different times during the fabrication process with the lower layer being formed earlier and the upper layer being formed later. A common period is used for all of the gratings 302 in overlay targets 300.
  • Each target 300 has an offset (labeled 304 and 304') between its upper and lower gratings 302. For target 300, this offset is labeled 304, for target 300' the offset is labeled 304'.
  • offset 304 is equal to the grating period P divided by eight or P/8.
  • Offset 304' i.e., the offset between the upper and lower gratings of target 300'
  • -P/8 once again, when alignment is perfect.
  • the quantity ⁇ offset 304 -offset 304') remains constant at P/4 even as the alignment between the layers that include upper and lower gratings 302 changes.
  • FIG. 4 shows an embodiment of the present invention constructed for this type of measurement.
  • Overlay target 400 includes a sub-target 402X for measurement in the x direction and a sub-target 402Y for measurement in the y direction.
  • Each sub-target 402 has two separate portions that are evenly distributed within target 400. This increases the accuracy of the measurement by reducing sensitivity to changes in measurement spot location.
  • Each sub-target 402 includes upper and lower gratings.
  • the upper grating is labeled 404XU and the lower grating is labeled 404XL.
  • the upper grating is labeled 404YU and the lower grating is labeled 404YL.
  • the upper and lower gratings 404 are formed on respective layers (not specifically shown) within a semiconductor wafer. As was the case for overlay target 100, the two layers are formed at different times during the fabrication process with the lower layer being formed earlier and the upper layer being formed later.
  • Overlay target 400' is constructed to be a near copy of target 400. All of the structural components of target 400 are repeated, except the offset biases of 400 and 400' ( and r 2 ) are different.
  • Overlay target 400' includes two sub-targets (labeled 402X' and 402 Y') each of which is constructed using upper and lower gratings (labeled 404XU' and 404XL' for sub-target 402X' and 404YU' and 404YL' for sub-target 402Y'). Sub- targets 402X' and 402Y' are also subdivided and distributed as described for sub- targets 402X and 402Y in overlay target 400.
  • Sub-target 402X in overlay target 400 is logically paired with sub-target 402X' in overlay target 400'. All of the gratings in the two sub targets 402X use a common period. Each sub-target 402X has an offset between its upper grating 404XU and lower grating 404XL. The offsets for sub-target 402X and sub-target 402X' are chosen so that (offset (sub-target 402X) -offset (sub-target 402X' )) is equal to P/4. Typically, this is done by constructing sub-target 402X to have an offset bias of P/8 and sub-target 402X' to have an offset bias of -P/8. The P/4 difference between the offset biases of targets 400 and 400' is necessary to use the simple equation (9) but other values are possible for the regression algorithm described in the following sections.
  • sub-target 402Y in overlay target 400 is logically paired with sub-target 402Y' in overlay target 400'. All of the gratings in the two sub targets 402Y use a common period.
  • Each sub-target 402Y has an offset between its upper grating 404YU and lower grating 404YL.
  • the offsets for sub-target 402Y and sub- target 402Y' are chosen so that (offset (sub-target 402Y)- offset (sub-target 402 Y' )) is equal to P/4. Typically, this is done by constructing sub-target 402 Y to have an offset bias of P/8 and sub-target 402Y' to have an offset bias of -P/8.
  • Figure 4 provides two copies of the overlay target 300 shown in Figure 3.
  • One copy includes sub-targets 402X and 402X' and is used to measure offset ⁇ x.
  • the second copy includes sub-targets 402Y and 402Y' and is used to measure offset ⁇ y.
  • Different periods may be used for the x and y directions but the difference in offset is preferably equal to P/4 for each dimension.
  • Overlay targets 400 and 400' are typically placed in a scribe line between dies within a semiconductor wafer. Target 400 is illuminated and measured without substantially illuminating target 400' and target 400' is measured without substantially illuminating target 400.
  • the detection system may be arranged to differentiate scattering from the two targets.
  • the x-measuring gratings 404XU, 404XL and the y-measuring gratings 404YU, 404YL of target 400 are typically illuminated and measured simultaneously because the diffracted orders of the x-gratings and the y- gratings propagate in different directions. This allows the different diffracted orders to be collected by different detectors simultaneously.
  • FIG. 5 shows an alternative for the implementation of Figure 4.
  • Each overlay target 500 includes an upper three-dimensional grating 502U and a lower three-dimensional grating 502L.
  • Gratings 502 are formed as arrays of cylindrical holes (vias) or posts on two separate layers (i.e., an upper layer and a lower layer). Arrays of other three- dimensional structures can also be used.
  • gratings 502 may be subdivided into portions and distributed within overlay targets 500.
  • the upper grating 502U in target 500 is disposed symmetrically into two portions. The two portions are on a common grid (array). That is, if the array of the first portion is extended with equal spacing, the holes of the second portion and the holes of the first portion, extended, coincide. The same is true for grating 502L.
  • the holes (or posts) in overlay targets 500 are spaced using a common period in the x direction (labeled P x ) and a common period in the y direction (labeled P y ).
  • P x and P y may have the same or different values.
  • the offset bias of target 500 is Xi in the x- direction and yi in the y-direction.
  • the offset bias of target 500' is x 2 in the x-direction and y 2 in the y-direction.
  • x ⁇ P x /8
  • x 2 -P x /8
  • y ⁇ P y /8
  • y 2 ⁇ P y /8.
  • Overlay targets 500 and 500' are typically placed in a scribe line between dies within a semiconductor wafer. Targets 500 and 500' are measured substantially independently. Target 500 is measured without substantially detecting light reflected from target 500' and target 500' is measured without substantially detecting light reflected from target 500.
  • Each target 500 generates at least four diffracted orders, which have associated order indices ⁇ -1, 0 ⁇ , ⁇ 1, 0 ⁇ , ⁇ 0, -1 ⁇ , and ⁇ 0, 1 ⁇ .
  • the ⁇ -1, 0 ⁇ , ⁇ 1, 0 ⁇ orders propagate in a plane parallel to the x axis. These orders are only sensitive to the x component of the overlay offset.
  • the other two first orders ( ⁇ 0, -1 ⁇ , and ⁇ 0, 1 ⁇ ) propagate in a plane parallel to the y axis, and are sensitive to y offset.
  • Four detector channels are typically used to collect all four first orders.
  • the x and y detector signals are processed independently in the manner described previously to obtain simultaneous measurements of both the x and y offsets.
  • an embodiment of the present invention includes a metrology system 600 for use with the overlay targets described in Figures 1 through 5.
  • Metrology system 600 includes an illumination source 602 that produces a mono or polychromatic probe beam.
  • a polychromatic probe beam is generated by combining multiple laser beams, using a laser with more than one line, or sequentially changing the wavelength of a tunable laser.
  • the probe beam is expanded or collimated by a lens 604 and directed towards a beam splitter 606.
  • Beam splitter 606 redirects the probe beam though an objective lens 608 with a large numerical aperture and onto an instance of an overlay target 610. Interaction with overlay target 610 diffracts the probe beam into diffracted orders.
  • the diffracted orders are collected by objective lens 608 and directed to a detector 612.
  • Detector 612 is typically a charge coupled device (CCD) but other detector technologies may also be used.
  • a beam dump 614 preferably eliminates the specular portion of the energy received by detector 612. This limits blooming in the detector array and minimizes light scattering inside the metrology system 600.
  • the collimated light that was reflected from the sample may be focused on a pinhole to select light scattered from only one target. Light that passes through the pinhole is again collected and collimated before detection.
  • Figure 7 shows a second embodiment of a metrology system (labeled 700) for use with the overlay targets described in Figures 1 through 5.
  • a metrology system labeled 700
  • light from an illumination source (not shown) is projected through an objective lens 702 and onto an instance of an overlay target 704.
  • Detector arrays 706a and 706b measure the diffracted orders without the aid of an objective.
  • This lens-less design can achieve a large working distance and a large effective numerical aperture of detection.
  • Detector arrays 706 are typically 2-dimensional arrays of CCD devices but other suitable technologies such as linear photodiode arrays may also be used.
  • objective lens 702 is used for illumination and not for collection.
  • the design of Figure 7 is not characterized by the numerical aperture/working distance tradeoff described for the design of Figure 6.
  • the radial (transverse) distance from the axis of the illuminating beam to the first order diffracted beam on the detector array is given by the table below for various wavelengths:
  • Overlay targets with periodic structures can give erroneous results for large overlay errors. This can be deduced from Equation (9) since the tangent function is periodic with a period of ⁇ . This limits the range of overlay that may be unambiguously measured using Equation (9) to [-R/4...E/4] . In practice, it is possible for overlay errors to exceed this range.
  • Figure 8 shows an instance of target 400 (of the type originally shown in Figure 4) as it would appear for a large overlay error. The large overlay error causes a portion of upper grating 404XU to overlap a portion of lower grating 404YL creating a cross-hatched pattern.
  • the measurement process is preferably configured to analyze the pattern of diffracted orders present at the receiving detector (such as detector 612 of Figure 6).
  • Figure 9A and 9B show two of these patterns. The first, shown in 9 A corresponds to the cross-hatched pattern of Figure 8. The second corresponds to the absence of gross overlay errors. Detection of the first type of pattern allows gross overlay errors to be detected, even when they cannot be accurately measured using Equation (9).
  • ⁇ i ⁇ o - A+ + B+ ⁇ s[- ( ⁇ x+r r )- ⁇ + ] target 400, +l st order, wafer at 180°
  • I ⁇ 0 disk A ' + B ⁇ cos [+k ⁇ (Ax + r ! ) - ⁇ ⁇ ] target 400, -1 st order, wafer at 0°
  • J- oo A ⁇ + B ⁇ cos [+k ⁇ (Ax + r 2 ) - ⁇ ⁇ ] target 400', - 1 st order, wafer at 0° r ⁇ w target 400', -1 st order, wafer at 180°
  • the unknowns A + ,B + , ⁇ + ,A ,B , ⁇ depend on the details of the target and the instrument and they are of no practical interest.
  • is the unknown deviation from normal incidence
  • ⁇ x is the unknown overlay that is to be measured.
  • N ⁇ is the number of measurement wavelengths.
  • the problem reduces to approximately solving the system of equations (10) (one such system per wavelength) for the unknown parameters. Typically, this is performed in a least-squares sense using the Levenberg-Marquardt algorithm to minimize a nonlinear function of two variables, ⁇ 2 ( ⁇ , ⁇ x) .
  • This chi-square error function is calculated as: ⁇ 2 ( ⁇ ,Ax) ⁇ Y ⁇
  • I - Cx LSQ is the residual of a linear least-squares problem:
  • Equation (13) is solved in the least-squares sense independently for each wavelength for a given set of #, ⁇ x values.
  • the vector of measured intensities, ⁇ ( ⁇ ) , the vector of unknown coefficients, x( ⁇ ) , and the matrix C( ⁇ ) are defined as:
  • the least-squares solution for x is obtained by performing the QR-decomposition of C:
  • Reflection efficiency of the ⁇ l s orders depends on the sample and the measurement wavelength.
  • the reflection efficiency can be small at one wavelength but it is less likely to be small over multiple wavelengths spanning a broad band. Therefore, using multiple wavelengths yields a more robust measurement.

Abstract

A method for optically inspecting and evaluating a semiconductor wafer includes projecting a probe beam at two overlay targets. Each overlay target includes an upper grating and a lower grating. At each target, the combined intensity of the 1St diffracted orders generated by the upper and lower gratings are measured. The combined intensity of the -1St diffracted orders generated by the upper and lower gratings are also measured for each target. The method then calculates an overlay offset between an upper layer and a lower layer as a function of the measured intensity information.

Description

INTERFEROMETRY-BASED METHOD AND APPARATUS FOR OVERLAY METROLOGY
TECHNICAL FIELD
This invention relates to measuring the overlay alignment accuracy of a pair of patterned layers on a semiconductor wafer, possibly separated by one or more layers, made by two or more lithography steps during the manufacture of semiconductor devices.
BACKGROUND OF THE INVENTION
Manufacturing semiconductor devices involves depositing and patterning several layers overlaying each other. For example, gate interconnects and gates of an integrated circuit are formed at different lithography steps in the manufacturing process. The tolerance of alignment of these patterned layers is less than the width of the gate.
Overlay is defined as the displacement of a patterned layer from its ideal position aligned to a layer patterned earlier on the same wafer. Overlay is a two dimensional vector (Δx, Δy) in the plane of the wafer. Overlay is a vector field, i.e., the value of the vector depends on the position on the wafer. Perfect overlay and zero overlay are used synonymously. Overlay and overlay error are used synonymously. Depending on the context, overlay may signify a vector or one of the components of the vector.
Overlay metrology provides the information that is necessary to correct the alignment of the stepper-scanner and thereby minimize overlay error with respect to previously patterned layers. Overlay errors, detected on a wafer after exposing and developing the photoresist, can be corrected by removing the photoresist, repeating exposure on a corrected stepper-scanner, and repeating the development of the photoresist. If the measured error is acceptable but measurable, parameters of the lithography process could be adjusted based on the overlay metrology to avoid excursions for subsequent wafers. Most prior overlay metrology methods use built-in test patterns etched or otherwise formed into or on the various layers during the same plurality of lithography steps that form the patterns for circuit elements on the wafer. One typical pattern, called "box-in-box" consists of two concentric squares, formed on a lower and an upper layer, respectively. "Bar-in-bar" is a similar pattern with just the edges of the "boxes" demarcated, and broken into disjoint line segments. The outer bars are associated with one layer and the inner bars with another. Typically one is the upper pattern and the other is the lower pattern, e.g., outer bars on a lower layer, and inner bars on the top. However, with advanced processes the topographies are complex and not truly planar so the designations "upper" and "lower" are ambiguous. Typically they correspond to earlier and later in the process. The squares or bars are formed by lithographic and other processes used to make planar structures, e.g., chemical-mechanical planarization (CMP). Currently, the patterns for the boxes or bars are stored on lithography masks and projected onto the wafer. Other methods for putting the patterns on the wafer are possible, e.g., direct electron beam writing from computer memory.
In one form of the prior art, a high performance microscope imaging system combined with image processing software estimates overlay error for the two layers. The image processing software uses the intensity of light at a multitude of pixels. Obtaining the overlay error accurately requires a high quality imaging system and means of focusing the system. One requirement for the optical system is very stable positioning of the optical system with respect to the sample. Relative vibration would blur the image and degrade the performance. This is a difficult requirement to meet for overlay metrology systems that are integrated into a process tool, like a lithography track. High-acceleration wafer handlers in the track cause vibration. The tight space requirements for integration preclude bulky isolation strategies.
As disclosed in U.S. Patent Application Serial No. 2002/0158193 (incorporated in this document by reference) one approach to overcoming these difficulties is to incorporate special diffraction gratings, known as targets, within semiconductor wafers. The targets are measured using scatterometry to perform overlay metrology. Several different grating configurations are described for the overlay targets. The simplest embodiment uses two grating stacks, one for x-alignment and one for y (each grating stack comprising two grating layers). An alternative embodiment uses two line grating stacks each for x and y (four grating stacks total). Still another embodiment uses three line grating stacks in combination to simultaneously measure both x and y alignment. (See also PCT publication WO 02/25723 A2, incorporated herein by reference). Scatterometry is proving to be an effective tool for measuring overlay errors. At the same time, analyzing scatterometry measurements is generally a computationally intensive, time consuming process that can only be accomplished using complex mathematical models. In some cases, it may be difficult to accomplish the required computations within the time available.
A second approach measures overlay by measuring the difference in the reflection efficiencies of the ±lst diffracted orders. This approach uses a target that includes two overlapping line gratings of equal pitch. When the lines of one grating are centered on the lines or spaces of the other, the ±lst diffraction orders have the same amplitude due to symmetry. When the two gratings are offset with respect to each other, the symmetry is broken and the difference in the amplitudes of the ±lst orders correlate to offset. The proportionality constant (i.e., the constant that relates the difference in amplitude to overlay) depends on geometrical details of the sample and the optical properties of all layers in the sample. The proportionality constant is subject to change from sample to sample, and even within the same sample. As a result, this method does not lead to practical measurements. Methods of this type are described in U.S. Patent Serial No. 4,200,395 and the article "Light diffraction based overlay measurement", Metrology, Inspection, and Process Control for Microlithography XV, Bischoff, et. al., N. T. Sullivan, Ed., p. 222-233, Vol. 4344, SPIE, Bellingham, 2001. Both of these documents are incorporated by reference.
For these reasons and others, there is a continuing need for fast, accurate or otherwise efficient methods for measuring overlay in semiconductor wafers. This is particularly true for integrated metrology solutions where overlay measurements must be made on a real-time basis as part of a production environment.
BRIEF DESCRIPTION OF THE DRAWINGS
Figures 1A through ID are various views of an embodiment of an overlay target as provided by the present invention.
Figure 2 shows the diffracted orders produced during measurement of the overlay target shown in Figures 1 A through ID.
Figure 3 shows an embodiment of the overlay target designed to provide additional measurements values. Figure 4 shows an embodiment of the overlay target designed to provide measurement in two dimensions.
Figure 5 shows an alternate embodiment of the overlay target designed to provide measurement in two dimensions. Figure 6 shows a metrology system designed to measure overlay using the overlay targets shown in Figures 1 through 5.
Figure 7 shows a second metrology system designed to measure overlay using the overlay targets shown in Figures 1 through 5.
Figure 8 shows the appearance of the overlay target of Figure 4 that corresponds to a gross overlay error.
Figure 9A shows the diffraction pattern that corresponds to the gross overlay condition.
Figure 9B shows the diffraction pattern that corresponds to the non-gross overlay condition.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Overlay Target
As shown in Figures 1A through ID, an embodiment of the present invention provides a target 100 for interferometry-based overlay metrology. Overlay target 100 includes an upper grating 102U and a lower grating 102L. Grating 102U is formed in an upper layer 104U and grating 102L is formed in a lower layer 104L. Upper and lower layers 104 may be separated by one or more intermediate layers 106. Intermediate layers 106 are transparent or semitransparent materials such as inter-layer dielectrics, stop layers, and anti-reflective coatings. Each grating 102 is formed as a series of parallel lines spaced at a constant pitch
(in this document, period, spatial period, and pitch are used synonymously). The same pitch is used for upper grating 102U and lower grating 102L and the lines in upper grating 102U are parallel to the lines in lower grating 102L. In Figures 1 A through ID, the lines of gratings 102 are shown to have a rectangular cross section or profile. In general, it should be noted that this is a simplification and that profiles in actual implementations are typically more complex. Gratings 102 are positioned to be non-overlapping. This is shown most clearly in Figures IB and lC. The gratings while substantially non-overlapping, can be relatively close to each other. A suitable gap would be on the order of 0.5 to one micron. Preferably, the gap should be sufficiently large so that light diffracted from one grating does not illuminate the other grating and be diffracted in a manner that adds significantly to the measured signal. We also note that the separation between the upper and lower gratings creates interference fringes within the ± 1st order spots at the detector plane. The larger the separation, the higher the spatial frequency of the fringes at the detector plane. To avoid having to account for these effects, a small separation is desirable. Figure 2 shows the interaction between a probe beam 202 and overlay target 100.
Probe beam 202 is typically coherent and is typically generated by a suitable laser source. Probe beam 202 is normally incident on overlay target 100 where it illuminates gratings 102U and 102L simultaneously. The size of overlay target 100 is comparable to or smaller than the coherence length of probe beam 202. Typically, this is accomplished by expanding probe beam 202 to cover overlay target 100.
Upper grating 102U diffracts probe beam 202 into diffracted orders. As shown in Figure 2, this includes a +lst diffracted order and a -1st diffracted order. Lower grating 102L similarly creates a respective set of + 1st and -1st diffracted orders. This requires the ±lst order to be propagating (not evanescent) which in turn requires: P ≥ λ (1) where P is the period of upper grating 102U and lower grating 102L. In practice, this means that the period of upper and lower gratings 102 and the wavelength (or wavelengths) of probe beam 202 are chosen to be mutually compatible. It is also possible to use non-first diffraction orders. In this case, Figure 2 is generalized with upper and lower gratings 102 producing respective nth and -nh diffracted orders (where n is an integer other than 1), rather than just +lst and -1st diffracted orders, as shown. Equation (1) is then generalized to:
P ≥ nλ (2)
The 1st order diffracted beams from the upper and lower gratings 102 are combined at a detector 204a. Similarly, the -1st order diffracted beams from the upper and lower gratings 102 are combined at a detector 204b. Detectors 204 provide output signals that are proportional to the light power that they receive. Detectors 204 are typically members on a detector array but may also be separate photodetectors. Alternately, a single detector may be physically repositioned to capture the 1st and -1st order diffracted beams in sequence.
If only one of the gratings 102 were present, the amplitude of the diffracted orders reaching detector 204a would be equivalent to the amplitude reaching detector 204b. This follows because probe beam 202 is directed normally against overlay target 100 and because the lines in upper and lower gratings 102 have symmetric cross-sections. With respect to the amplitude of probe beam 202, the 1st and -1st diffracted orders have the following complex amplitudes:
Figure imgf000007_0003
In these equations, the amplitudes a and b, and the phase angles φa and^, depend on the properties of the sample and the metrology target. The phase of the diffracted orders reaching each detector 204 is a function of the offset Δx between upper and lower gratings 102. When upper grating 102U is offset by Δx in the direction of its pitch, there is a phase difference of 4πΔx/P radians between the 1st and -1st orders diffracted by the upper grating. The difference in phase causes the diffracted orders received by each detector 204 to interfere. For detector 204a, the two 1st diffracted orders have the intensity:
/+ = =
Figure imgf000007_0001
For detector 204b, the two -1st diffracted orders have the intensity: r
Figure imgf000007_0002
In these equations A, B, and φ depend on the properties of the sample that includes overlay pattern 100. For metrology, the goal is to obtain Δx without the knowledge of A, B and φ. This is possible if two targets (denoted in this document by subscripts 1 and 2) are measured by the same instrument. This results in the following intensities:
I = A + Bcos(φ + 2π(rl + __x)/p) (5) l = A + Bcos(φ-2π(rl +Ax)/P) (6) 1 = A + Bcos(ψ + 2π(r2 + Ax)/p) (7)
I~ = A + Bcos(φ-2π(r2 +Ax)/p) (8)
In these equations, Δx represents the unknown overlay caused by the misalignment of the lithography process. The goal is to measure Δx . The offset bias rx is intentionally introduced and it is well known and controlled. For example, the upper grating 102U is offset by rλ /(magnification) in target 1 on the reticle for the upper layer. The offset is in the direction of the pitch, i.e., perpendicular to the grating lines. Similarly, r2 is the offset bias for the upper grating in target 2. Offset bias and reticle offset are used synonymously. To provide the additional measurements, an embodiment of the present invention may be constructed as shown in Figure 3. For this embodiment, two overlay targets 300 and 300' are used. Overlay targets 300 are structurally analogous to overlay target 100 of Figure 1. They include an upper grating 302U and a lower grating 302L. The two gratings are formed on respective layers (not specifically shown) within a semiconductor wafer. As was the case for overlay target 100, the two layers are formed at different times during the fabrication process with the lower layer being formed earlier and the upper layer being formed later. A common period is used for all of the gratings 302 in overlay targets 300.
Each target 300 has an offset (labeled 304 and 304') between its upper and lower gratings 302. For target 300, this offset is labeled 304, for target 300' the offset is labeled 304'. When the layers that include upper and lower gratings 302 are in perfect alignment, offset 304 is equal to the grating period P divided by eight or P/8. Offset 304' (i.e., the offset between the upper and lower gratings of target 300') is equal to -P/8 (once again, when alignment is perfect). The quantity {offset 304 -offset 304') remains constant at P/4 even as the alignment between the layers that include upper and lower gratings 302 changes. This is because changes in overlay alignment that increase or decrease offset 304 have the opposite effect on offset 304' Intensities Jj1" and/" are preferably measured simultaneously on target 300. Intensities I2 and I2 are preferably measured simultaneously on target 300'. With (offset 304 -offset 304 ') equal to P/4, Δx may be calculated as:
Δx = arctan((/r -I~ + I2 + - )/(/*~ ~^ + lή)P/(2π) (9) In general, it is useful to characterize overlay alignment in both x and y dimensions (i.e., measurements for Δx and Δy). Figure 4 shows an embodiment of the present invention constructed for this type of measurement. For this embodiment, two overlay targets 400 and 400' are used. Overlay target 400 includes a sub-target 402X for measurement in the x direction and a sub-target 402Y for measurement in the y direction. Each sub-target 402 has two separate portions that are evenly distributed within target 400. This increases the accuracy of the measurement by reducing sensitivity to changes in measurement spot location. It also allows sub-targets 402 to be distributed within the generally square shape of target 400. At the same time, it should be noted that it is possible to use more (or fewer) portions for each sub target 402. Each sub-target 402 includes upper and lower gratings. For sub-target 402X, the upper grating is labeled 404XU and the lower grating is labeled 404XL. For sub- target 402Y, the upper grating is labeled 404YU and the lower grating is labeled 404YL. The upper and lower gratings 404 are formed on respective layers (not specifically shown) within a semiconductor wafer. As was the case for overlay target 100, the two layers are formed at different times during the fabrication process with the lower layer being formed earlier and the upper layer being formed later.
Overlay target 400' is constructed to be a near copy of target 400. All of the structural components of target 400 are repeated, except the offset biases of 400 and 400' ( and r2) are different. Overlay target 400' includes two sub-targets (labeled 402X' and 402 Y') each of which is constructed using upper and lower gratings (labeled 404XU' and 404XL' for sub-target 402X' and 404YU' and 404YL' for sub-target 402Y'). Sub- targets 402X' and 402Y' are also subdivided and distributed as described for sub- targets 402X and 402Y in overlay target 400.
Sub-target 402X in overlay target 400 is logically paired with sub-target 402X' in overlay target 400'. All of the gratings in the two sub targets 402X use a common period. Each sub-target 402X has an offset between its upper grating 404XU and lower grating 404XL. The offsets for sub-target 402X and sub-target 402X' are chosen so that (offset (sub-target 402X) -offset (sub-target 402X' )) is equal to P/4. Typically, this is done by constructing sub-target 402X to have an offset bias of P/8 and sub-target 402X' to have an offset bias of -P/8. The P/4 difference between the offset biases of targets 400 and 400' is necessary to use the simple equation (9) but other values are possible for the regression algorithm described in the following sections.
In a similar fashion, sub-target 402Y in overlay target 400 is logically paired with sub-target 402Y' in overlay target 400'. All of the gratings in the two sub targets 402Y use a common period. Each sub-target 402Y has an offset between its upper grating 404YU and lower grating 404YL. The offsets for sub-target 402Y and sub- target 402Y' are chosen so that (offset (sub-target 402Y)- offset (sub-target 402 Y' )) is equal to P/4. Typically, this is done by constructing sub-target 402 Y to have an offset bias of P/8 and sub-target 402Y' to have an offset bias of -P/8. In essence, the implementation of Figure 4 provides two copies of the overlay target 300 shown in Figure 3. One copy includes sub-targets 402X and 402X' and is used to measure offset Δx. The second copy includes sub-targets 402Y and 402Y' and is used to measure offset Δy. Different periods may be used for the x and y directions but the difference in offset is preferably equal to P/4 for each dimension. Overlay targets 400 and 400' are typically placed in a scribe line between dies within a semiconductor wafer. Target 400 is illuminated and measured without substantially illuminating target 400' and target 400' is measured without substantially illuminating target 400. Alternatively, the detection system may be arranged to differentiate scattering from the two targets. The x-measuring gratings 404XU, 404XL and the y-measuring gratings 404YU, 404YL of target 400 are typically illuminated and measured simultaneously because the diffracted orders of the x-gratings and the y- gratings propagate in different directions. This allows the different diffracted orders to be collected by different detectors simultaneously.
Figure 5 shows an alternative for the implementation of Figure 4. In this implementation, two overlay targets 500 and 500' are used. Each overlay target 500 includes an upper three-dimensional grating 502U and a lower three-dimensional grating 502L. Gratings 502 are formed as arrays of cylindrical holes (vias) or posts on two separate layers (i.e., an upper layer and a lower layer). Arrays of other three- dimensional structures can also be used. As shown in Figure 5, gratings 502 may be subdivided into portions and distributed within overlay targets 500. In Figure 5, the upper grating 502U in target 500 is disposed symmetrically into two portions. The two portions are on a common grid (array). That is, if the array of the first portion is extended with equal spacing, the holes of the second portion and the holes of the first portion, extended, coincide. The same is true for grating 502L.
The holes (or posts) in overlay targets 500 are spaced using a common period in the x direction (labeled Px) and a common period in the y direction (labeled Py). Px and Py may have the same or different values. The offset bias of target 500 is Xi in the x- direction and yi in the y-direction. The offset bias of target 500' is x2 in the x-direction and y2 in the y-direction. Typically, xι=Px/8, and x2= -Px/8, yι=Py/8, y2= ~Py/8. Overlay targets 500 and 500' are typically placed in a scribe line between dies within a semiconductor wafer. Targets 500 and 500' are measured substantially independently. Target 500 is measured without substantially detecting light reflected from target 500' and target 500' is measured without substantially detecting light reflected from target 500. Each target 500 generates at least four diffracted orders, which have associated order indices {-1, 0}, {1, 0}, {0, -1}, and {0, 1}. The {-1, 0}, {1, 0} orders propagate in a plane parallel to the x axis. These orders are only sensitive to the x component of the overlay offset. The other two first orders ({0, -1}, and {0, 1}) propagate in a plane parallel to the y axis, and are sensitive to y offset. Four detector channels are typically used to collect all four first orders. The x and y detector signals are processed independently in the manner described previously to obtain simultaneous measurements of both the x and y offsets.
Metrology System
As shown in Figure 6, an embodiment of the present invention includes a metrology system 600 for use with the overlay targets described in Figures 1 through 5. Metrology system 600 includes an illumination source 602 that produces a mono or polychromatic probe beam. A polychromatic probe beam is generated by combining multiple laser beams, using a laser with more than one line, or sequentially changing the wavelength of a tunable laser. The probe beam is expanded or collimated by a lens 604 and directed towards a beam splitter 606. Beam splitter 606 redirects the probe beam though an objective lens 608 with a large numerical aperture and onto an instance of an overlay target 610. Interaction with overlay target 610 diffracts the probe beam into diffracted orders.
The diffracted orders (or a subset of the diffracted orders) are collected by objective lens 608 and directed to a detector 612. Detector 612 is typically a charge coupled device (CCD) but other detector technologies may also be used. A beam dump 614 preferably eliminates the specular portion of the energy received by detector 612. This limits blooming in the detector array and minimizes light scattering inside the metrology system 600. Alternatively, the collimated light that was reflected from the sample may be focused on a pinhole to select light scattered from only one target. Light that passes through the pinhole is again collected and collimated before detection.
For the design of Figure 6, there is a tradeoff between numerical aperture and working distance. Larger numerical apertures are generally associated with smaller working distances. The following table illustrates this for two different numerical apertures. The first is relatively large (0.85) and corresponds to relatively small working distance of 0.28 mm. The second example uses a smaller numerical aperture of 0.5 with a larger working distance of 15 mm. The use of the smaller numerical aperture requires the use of a larger grating period (such as 1600 nm) for subject 610. The angle θ in the table is the angle between the 1st diffracted order and the axis of the optical system which is normal to the plane of the wafer.
Figure imgf000012_0001
Figure 7 shows a second embodiment of a metrology system (labeled 700) for use with the overlay targets described in Figures 1 through 5. In this case, light from an illumination source (not shown) is projected through an objective lens 702 and onto an instance of an overlay target 704. Interaction with overlay target 704 diffracts the probe beam into diffracted orders. Detector arrays 706a and 706b measure the diffracted orders without the aid of an objective. This lens-less design can achieve a large working distance and a large effective numerical aperture of detection. Detector arrays 706 are typically 2-dimensional arrays of CCD devices but other suitable technologies such as linear photodiode arrays may also be used. Four arrays are used to detect the orders (+1,0), (-1,0), (0,+l), and (0,-1). Only two such arrays are shown in Figure 7 for clarity. Detector arrays, as opposed to single detectors, are useful to make multi-wavelength measurements since different colors diffract at different angles.
As shown in Figure 7, objective lens 702 is used for illumination and not for collection. As a result, the design of Figure 7 is not characterized by the numerical aperture/working distance tradeoff described for the design of Figure 6. This allows the design of Figure 7 to have an effectively large numerical aperture combined with a relatively large working distance and still work at a relatively small grating period (such as 800 nm). The radial (transverse) distance from the axis of the illuminating beam to the first order diffracted beam on the detector array is given by the table below for various wavelengths:
Figure imgf000013_0001
Overlay targets with periodic structures can give erroneous results for large overlay errors. This can be deduced from Equation (9) since the tangent function is periodic with a period of π. This limits the range of overlay that may be unambiguously measured using Equation (9) to [-R/4...E/4] . In practice, it is possible for overlay errors to exceed this range. For example, Figure 8 shows an instance of target 400 (of the type originally shown in Figure 4) as it would appear for a large overlay error. The large overlay error causes a portion of upper grating 404XU to overlap a portion of lower grating 404YL creating a cross-hatched pattern.
To detect gross overlay errors, the measurement process is preferably configured to analyze the pattern of diffracted orders present at the receiving detector (such as detector 612 of Figure 6). Figure 9A and 9B show two of these patterns. The first, shown in 9 A corresponds to the cross-hatched pattern of Figure 8. The second corresponds to the absence of gross overlay errors. Detection of the first type of pattern allows gross overlay errors to be detected, even when they cannot be accurately measured using Equation (9).
Regression Method
In practice, all metrology systems induce some degree of error into the measurement process. For the overlay metrology systems described above, one source of these errors is the difference in the optical efficiencies of the paths traversed by the separate diffracted orders. Path-to-path variations in components (e.g., lenses) induce differences in the diffracted orders. Different diffracted orders are also measured by different detectors or pixels. Once again, detector-to-detector (or pixel-to-pixel) variations in sensitivity tend to induce differences in the diffracted orders. Wafer tilt or wafer misalignment is another source of difference between diffracted orders. The overall result is that there may be cases where Equation (9) will not be entirely robust. To overcome this limitation, it is possible to use a method where each of targets 400 and 400' is measured at two orientations of the wafer: one at 0°, the other at 180°. These angles refer to rotation of the wafer with respect to an axis that is normal to the wafer. Since two diffracted orders are measured per Cartesian component of overlay, there are eight intensity measurements per wavelength per Cartesian component: fi° = A+ + B+ ∞s +k+ (Δx + r,)-^+] target 400, +lst order, wafer at 0°
iβo- = A+ + B+ ∞s[- (Δx+rr)-^+] target 400, +lst order, wafer at 180°
I~ 0„ = A' + B~ cos [+k~ (Ax + r! ) - φ ~ ] target 400, -1st order, wafer at 0°
7fi8o° = A' + B~ cos[-k~(Ax+r1)-φ~' target 400, - 1st order, wafer at 180°
(10)
/+ oo = ^+ + £+cos[+F(Δx+r2)-^+] target 400', +lst order, wafer at 0°
7 2 + ,t8o» = Λ+ + B+cos[-k+
Figure imgf000015_0001
target 400', +lst order, wafer at 180°
J-oo = A~ + B~ cos [+k~ (Ax + r2 ) - ψ ~ ] target 400', - 1st order, wafer at 0° rι w
Figure imgf000015_0002
target 400', -1st order, wafer at 180°
±1 sin(^) k± = 2π (11) P λ
The unknowns A+,B++,A ,B ,φ" depend on the details of the target and the instrument and they are of no practical interest. There are six such unknown parameters per measurement wavelength, θ is the unknown deviation from normal incidence and Δx is the unknown overlay that is to be measured. There are (6Nλ + 2) unknown quantities where Nλ is the number of measurement wavelengths. There are 8N^ intensity measurements per Cartesian component of overlay. The problem reduces to approximately solving the system of equations (10) (one such system per wavelength) for the unknown parameters. Typically, this is performed in a least-squares sense using the Levenberg-Marquardt algorithm to minimize a nonlinear function of two variables, χ2 (θ, Δx) . This chi-square error function is calculated as: χ2 (θ,Ax)^ Y\ ||ll((/λl))--CC(λ)) xLLSSβQμ(λ)i"l2 (12) x
The summation is over the number of measurement wavelengths. The term I - CxLSQ is the residual of a linear least-squares problem:
I(λ) = C(λ) x(λ) (13)
Equation (13) is solved in the least-squares sense independently for each wavelength for a given set of #,Δx values. The vector of measured intensities, ϊ(λ) , the vector of unknown coefficients, x(λ) , and the matrix C(λ) are defined as:
Figure imgf000016_0001
Figure imgf000016_0003
1 cos[/fc+ (Δx + r1)]
Figure imgf000016_0002
+ r,)] 0 0 1 cos[ c+ (Ax + r1)] -sin[V (Δ* + r,)] 0 0
C(Λ) = (15)
0 0 1 cos[-fc~ (Δ + r2)] -sin Λf (Δx + r2)j
The least-squares solution for x is obtained by performing the QR-decomposition of C:
C = Q R ; xISQ = R--QTl (16)
The columns of the 8x6 matrix Q are orthonormal and R is a 6x6 upper-triangular matrix. The residual of the least squares problem is calculated without ever forming the vector x£-?e : I-CxiSβ =I-QQrI (17)
Square of the norm of the residual, U(Λ) - C(λ) xLSQ (λ) I , in (12) is calculated by summing the squares of the entries of the vector on the right hand side of (17). This sum of squares is further summed over measurement wavelengths to obtain χ2 (θ, Ax) .
Reflection efficiency of the ±ls orders depends on the sample and the measurement wavelength. The reflection efficiency can be small at one wavelength but it is less likely to be small over multiple wavelengths spanning a broad band. Therefore, using multiple wavelengths yields a more robust measurement.

Claims

What is claimed is:
1. A method for optically inspecting and evaluating a semiconductor wafer, the method comprising: a) projecting a probe beam at an overlay target that includes a first upper grating and a first lower grating, where the first upper grating and first lower grating are adjacent but substantially non-overlapping; b) measuring the combined intensity for order n diffraction of the probe beam generated by the first upper and lower gratings; c) measuring the combined intensity for order -n diffraction of the probe beam generated by the first upper and lower gratings; and d) calculating an overlay offset between a layer that includes the first upper grating of the overlay target and a layer that includes the first lower grating of the overlay target from the measured intensity information.
2. A method as recited in claim 1, wherein the overlay target includes a second upper grating and a second lower grating, where the second upper grating and second lower grating are substantially non-overlapping, and wherein the method further comprises the steps of: e) measuring the combined intensity for order n diffraction of the probe beam generated by the second upper and lower gratings; and f) measuring the combined intensity for order -n diffraction of the probe beam generated by the second upper and lower gratings and wherein the calculation of step (d) is performed using the additional measurements of step (e) and (f).
3. A method as recited in claim 1 , that further comprises: rotating the semiconductor wafer; and repeating steps (a) though (d) to obtain additional intensity measurements.
4. A method as recited in claim 1, wherein the combined intensities are measured for a series of wavelengths.
5. A method as recited in claim 1 , wherein each grating is formed as a two dimensional array of posts or vias.
6. A method as recited in claim 1, wherein each grating is fonned as a series of lines.
7. A method for optically inspecting and evaluating a semiconductor wafer, the method comprising: projecting a probe beam at an overlay target that includes a first set of non- overlapping gratings including an upper grating formed on an upper layer and a lower grating formed on a lower layer; and calculating an overlay offset between the upper and lower layers by analyzing the diffraction imparted to the probe beam by each grating in the first set.
8. A method as recited in claim 7, that further comprises the steps of: measuring the combined intensity for order n diffraction of the probe beam generated by each grating in the first set; and measuring the combined intensity for order -n diffraction of the probe beam generated by each grating in the first set.
9. A method as recited in claim 7, wherein the overlay target includes a second set of non-overlapping gratings including an upper grating formed on the upper layer and a lower grating formed on the lower layer, and wherein the method further comprises the steps of: measuring the combined intensity for order n diffraction of the probe beam generated by each grating in the second set; and measuring the combined intensity for order -n diffraction of the probe beam generated by each grating in the second set and using the measurements from the second set to calculate the offset between the upper and lower layers.
10. A method as recited in claim 7, wherein the diffraction imparted to the probe beam is analyzed for a series of wavelengths.
11. A method as recited in claim 7, wherein each grating in the first set is formed as a two dimensional array of posts or vias.
12. A method as recited in claim 7, wherein each grating in the first set is formed as a series of lines.
13. A method for optically inspecting and evaluating a semiconductor wafer, the method comprising: projecting a probe beam to simultaneously irradiate a grating formed on an upper layer and a non-overlapping grating formed on a lower layer; and measuring the combined intensity for order n diffraction of the probe beam generated by the gratings; measuring the combined intensity for order -n diffraction of the probe beam generated by the gratings; and calculating an overlay offset between the upper and lower layers by analyzing the combined intensities..
14. A method as recited in claim 13, wherein the diffraction imparted to the probe beam is analyzed for a series of wavelengths.
15. A method as recited in claim 13, wherein each grating in the first set is formed as a two dimensional array of posts or vias.
16. A method as recited in claim 13, wherein each grating in the first set is formed as a series of lines.
PCT/US2003/025300 2002-09-05 2003-08-14 Interferometry-based method and apparatus for overlay metrology WO2004023214A1 (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US40826402P 2002-09-05 2002-09-05
US60/408,264 2002-09-05
US48801703P 2003-07-17 2003-07-17
US60/488,017 2003-07-17
US10/639,661 US20040066517A1 (en) 2002-09-05 2003-08-12 Interferometry-based method and apparatus for overlay metrology
US10/639,661 2003-08-12

Publications (1)

Publication Number Publication Date
WO2004023214A1 true WO2004023214A1 (en) 2004-03-18

Family

ID=31982355

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/025300 WO2004023214A1 (en) 2002-09-05 2003-08-14 Interferometry-based method and apparatus for overlay metrology

Country Status (2)

Country Link
US (1) US20040066517A1 (en)
WO (1) WO2004023214A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021037867A1 (en) * 2019-08-30 2021-03-04 Asml Holding N.V. Metrology system and method
KR20210041133A (en) * 2016-09-30 2021-04-14 가부시키가이샤 니콘 Measuring system, substrate processing system, and device manufacturing method
CN116997863A (en) * 2021-04-20 2023-11-03 科磊股份有限公司 Multi-resolution overlay metrology targets

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7230704B2 (en) * 2003-06-06 2007-06-12 Tokyo Electron Limited Diffracting, aperiodic targets for overlay metrology and method to detect gross overlay
US7230703B2 (en) * 2003-07-17 2007-06-12 Tokyo Electron Limited Apparatus and method for measuring overlay by diffraction gratings
US7112890B2 (en) * 2003-10-30 2006-09-26 Asml Holding N.V. Tunable alignment geometry
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080144036A1 (en) * 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US7289214B1 (en) 2004-11-23 2007-10-30 N&K Technology, Inc. System and method for measuring overlay alignment using diffraction gratings
US7528953B2 (en) * 2005-03-01 2009-05-05 Kla-Tencor Technologies Corp. Target acquisition and overlay metrology based on two diffracted orders imaging
US20080036984A1 (en) * 2006-08-08 2008-02-14 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7449265B1 (en) 2007-11-20 2008-11-11 Tokyo Electron Limited Scatterometry target for determining CD and overlay
NL1036857A1 (en) * 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
US9746785B2 (en) * 2008-06-02 2017-08-29 Asml Netherlands B.V. Sub-wavelength segmentation in measurement targets on substrates
WO2009150089A1 (en) * 2008-06-11 2009-12-17 Asml Netherlands B.V. Apparatus and method for inspecting a substrate
NL2007176A (en) * 2010-08-18 2012-02-21 Asml Netherlands Bv Substrate for use in metrology, metrology method and device manufacturing method.
NL2009079A (en) * 2011-08-23 2013-02-27 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method.
GB201210690D0 (en) * 2012-06-15 2012-08-01 Beers Centenary De Ag Infra-red analysis of diamond
JP5965819B2 (en) * 2012-10-26 2016-08-10 株式会社日立ハイテクノロジーズ Charged particle beam apparatus and overlay misalignment measuring method
WO2014194095A1 (en) * 2013-05-30 2014-12-04 Kla-Tencor Corporation Combined imaging and scatterometry metrology
TWI602035B (en) * 2014-04-11 2017-10-11 聯華電子股份有限公司 Overlap mark set and method for selecting recipe of measuring overlap error
IL310602A (en) 2014-08-29 2024-04-01 Asml Netherlands Bv Metrology method, target and substrate
JP6679872B2 (en) * 2015-10-07 2020-04-15 凸版印刷株式会社 Coating unevenness detection device and coating unevenness detection method
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
EP3333631A1 (en) 2016-12-06 2018-06-13 ASML Netherlands B.V. Method of measuring a target, metrology apparatus, polarizer assembly
SG11201906424WA (en) * 2017-02-10 2019-08-27 Kla Tencor Corp Mitigation of inaccuracies related to grating asymmetries in scatterometry measurements
US11118903B2 (en) * 2018-10-17 2021-09-14 Kla Corporation Efficient illumination shaping for scatterometry overlay
US10990023B1 (en) 2020-02-27 2021-04-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for diffraction-based overlay measurement
TWI809784B (en) * 2022-01-04 2023-07-21 南亞科技股份有限公司 Method for overlay error correction

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4200395A (en) * 1977-05-03 1980-04-29 Massachusetts Institute Of Technology Alignment of diffraction gratings
EP0818814A2 (en) * 1996-07-12 1998-01-14 Kla Instruments Corp. Overlay alignment measurement of semiconductor wafers
WO2002025723A2 (en) * 2000-09-19 2002-03-28 Nova Measuring Instruments Ltd. Lateral shift measurement using an optical technique
WO2002065545A2 (en) * 2001-02-12 2002-08-22 Sensys Instruments Corporation Overlay alignment metrology using diffraction gratings

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8600639A (en) * 1986-03-12 1987-10-01 Asm Lithography Bv METHOD FOR ALIGNING A MASK AND A SUBSTRATE AND DEVICE FOR CARRYING OUT THE METHOD
US5216257A (en) * 1990-07-09 1993-06-01 Brueck Steven R J Method and apparatus for alignment and overlay of submicron lithographic features
US5808742A (en) * 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US6462818B1 (en) * 2000-06-22 2002-10-08 Kla-Tencor Corporation Overlay alignment mark design
US6710876B1 (en) * 2000-08-14 2004-03-23 Kla-Tencor Technologies Corporation Metrology system using optical phase
US6699624B2 (en) * 2001-02-27 2004-03-02 Timbre Technologies, Inc. Grating test patterns and methods for overlay metrology
US6458605B1 (en) * 2001-06-28 2002-10-01 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography overlay registration
DE10142318C1 (en) * 2001-08-30 2003-01-30 Advanced Micro Devices Inc Semiconductor structure for measuring critical dimensions and overlay accuracy, has substrate provided with two different periodic patterns with overlap indicating overlay error

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4200395A (en) * 1977-05-03 1980-04-29 Massachusetts Institute Of Technology Alignment of diffraction gratings
EP0818814A2 (en) * 1996-07-12 1998-01-14 Kla Instruments Corp. Overlay alignment measurement of semiconductor wafers
WO2002025723A2 (en) * 2000-09-19 2002-03-28 Nova Measuring Instruments Ltd. Lateral shift measurement using an optical technique
WO2002065545A2 (en) * 2001-02-12 2002-08-22 Sensys Instruments Corporation Overlay alignment metrology using diffraction gratings

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210041133A (en) * 2016-09-30 2021-04-14 가부시키가이샤 니콘 Measuring system, substrate processing system, and device manufacturing method
US11107718B2 (en) 2016-09-30 2021-08-31 Nikon Corporation Measurement system, substrate processing system, and device manufacturing method
KR102426823B1 (en) * 2016-09-30 2022-07-28 가부시키가이샤 니콘 Measuring system, substrate processing system, and device manufacturing method
KR20220109485A (en) * 2016-09-30 2022-08-04 가부시키가이샤 니콘 Measuring system, substrate processing system, and device manufacturing method
US11430684B2 (en) 2016-09-30 2022-08-30 Nikon Corporation Measurement system, substrate processing system, and device manufacturing method
JP7379314B2 (en) 2016-09-30 2023-11-14 株式会社ニコン Measurement system, substrate processing system, and device manufacturing method
KR102625369B1 (en) * 2016-09-30 2024-01-15 가부시키가이샤 니콘 Measuring system, substrate processing system, and device manufacturing method
US11915961B2 (en) 2016-09-30 2024-02-27 Nikon Corporation Measurement system, substrate processing system, and device manufacturing method
WO2021037867A1 (en) * 2019-08-30 2021-03-04 Asml Holding N.V. Metrology system and method
CN116997863A (en) * 2021-04-20 2023-11-03 科磊股份有限公司 Multi-resolution overlay metrology targets

Also Published As

Publication number Publication date
US20040066517A1 (en) 2004-04-08

Similar Documents

Publication Publication Date Title
WO2004023214A1 (en) Interferometry-based method and apparatus for overlay metrology
JP6668533B2 (en) Apparatus and method for detecting overlay error using scatterometry
TWI572992B (en) Inspection apparatus and methods, methods of manufacturing devices
US10408754B2 (en) Method of measuring a target, substrate, metrology apparatus, and lithographic apparatus
US9347879B2 (en) Apparatus and methods for detecting overlay errors using scatterometry
US10451412B2 (en) Apparatus and methods for detecting overlay errors using scatterometry
US7230703B2 (en) Apparatus and method for measuring overlay by diffraction gratings
TWI461857B (en) Method and apparatus for angular-resolved spectroscopic lithography characterization
KR102233398B1 (en) Metrology methods, devices and computer programs
US7230704B2 (en) Diffracting, aperiodic targets for overlay metrology and method to detect gross overlay
US10866526B2 (en) Metrology method and device
IL270315B2 (en) Metrology parameter determination and metrology recipe selection
US20220035255A1 (en) Target for measuring a parameter of a lithographic process
US20220252990A1 (en) Metrology method and associated computer product

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP