WO2003103020A2 - Layered components, materials, methods of production and uses thereof - Google Patents

Layered components, materials, methods of production and uses thereof Download PDF

Info

Publication number
WO2003103020A2
WO2003103020A2 PCT/US2003/017656 US0317656W WO03103020A2 WO 2003103020 A2 WO2003103020 A2 WO 2003103020A2 US 0317656 W US0317656 W US 0317656W WO 03103020 A2 WO03103020 A2 WO 03103020A2
Authority
WO
WIPO (PCT)
Prior art keywords
layered
diffusion
dielectric
layered material
particles
Prior art date
Application number
PCT/US2003/017656
Other languages
French (fr)
Other versions
WO2003103020A3 (en
Inventor
Nancy Iwamoto
Michael Thomas
Original Assignee
Honeywell International Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to TW092114914A priority Critical patent/TW200416131A/en
Application filed by Honeywell International Inc. filed Critical Honeywell International Inc.
Priority to AU2003273546A priority patent/AU2003273546A1/en
Publication of WO2003103020A2 publication Critical patent/WO2003103020A2/en
Publication of WO2003103020A3 publication Critical patent/WO2003103020A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/095Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
    • H01L2924/097Glass-ceramics, e.g. devitrified glass
    • H01L2924/09701Low temperature co-fired ceramic [LTCC]

Definitions

  • the field of the subject matter described herein is layered components for electronic and semiconductor applications, the materials and methods of production thereof, including controlling and minimizing diffusion of conductive or performance-degrading atoms and/or molecules into underlying and adjacent layers of materials.
  • dielectric constant materials having a dielectric constant (k) less than about 3 to meet all of the properties required for current and future dual damascene integration.
  • k dielectric constant
  • controlled porosity must be introduced into the dielectric material. Porosity is required because the available synthesized high temperature materials have hit the fundamental material limits of their dielectric properties. Atomic scale pores (about 2 nm in size) must have tight size control that is retained at elevated temperatures.
  • Prior Art Figure 1 shows a simulation of how the atomic arrangement of amorphous SiO - a commonly used semiconductor intermetal dielectric - may look after formation by a thermal or CVD growth process.
  • Prior Art Figure 2 shows a log-normal model of the interstitial pore size distribution of amorphous silica, as compared to several gases. (G. S. Nakayama and J. F. Shackelford, J. Non-Crystalline Solids (126), 249-254 (1990)). Although practitioners in the semiconductor industry have routinely used this and similar materials for decades, it is relatively unappreciated that some gases can readily move through the matrix of this material, especially for thin films at elevated temperatures.
  • Prior Art Figure 3 shows the computationally generated spatial arrangement of atoms in a polymethylmethacrylate (PMMA) polymer, providing a perspective of the free space that can be present in polymeric materials.
  • PMMA polymethylmethacrylate
  • CVD chemical vapor deposition
  • Diffusion of species into adjacent layers, such as dielectric layers, will influence many performance characteristics and attributes, including a) dielectric constant, b) etch behavior, c) chemical mechanical processing (CMP) survivability, d) clean behavior and e) electrical reliability. Therefore, the analysis of the likelihood of diffusibility and the determination of the materials and conditions that can reliably control the likelihood of diffusibility would be a beneficial analysis to undertalce. To this end, one of the methods used to determine if a material has a likelihood of diffusibility - the effective viscosity of the material and the pore diameter should be investigated, calculated, documented and combined with one another to determine the diffusion constant - which is an indicator of the diffusibility of a material into an underlying material.
  • a layered component includes: a substrate; a dielectric material having a plurality of pores, wherein the material is coupled to the substrate; and a diffusion blocking material coupled to the dielectric material, wherein the diffusion blocking material is attracted to the dielectric material.
  • a layered material is described herein that includes: a dielectric material having a plurality of pores, wherein each pore has a pore diameter; and a layer comprising a plurality of diffusion blocking particles, wherein the particles have a particle size that is larger than the pore diameter.
  • methods of minimizing the diffusion of metal atoms into a material having a plurality of pores include: providing a precursor material that comprises molecules having a molecule size that is larger than a pore diameter of any of the plurality of pores; providing a solvent carrier solution; combining the precursor material and the solvent carrier solution to form a diffusion blocking reactive solution; and applying the diffusion blocking reactive solution to a layer of porous material.
  • Table 1 shows how feature size and process complexity will impact materials selection.
  • Prior Art Fig. 1 shows a molecular simulation of how the atomic arrangement of amorphous SiO 2 may look after formation by a thermal or CVD growth process.
  • Prior Art Fig. 2 shows a log-normal model of the interstitial pore size distribution of amorphous silica.
  • Prior Art Fig. 3 shows the computationally generated special arrangement of atoms in a polymehtyln ethacrylate (PMMA) polymer.
  • Fig. 4 shows a simulation of the Pore Size versus Rate of Copper Migration for a contemplated layered material.
  • Fig. 5 shows the Dielectric Layer Density versus the Rate of Copper Migration for a contemplated layered material.
  • Fig. 6 shows the Interfacial C Density versus the Rate of Copper Migration for a contemplated layered material.
  • Fig. 7 shows a "master curve" that gives the range of material diffusion coefficient values for transport of a gas, liquid or solid species through a material of varying thickness, x.
  • Fig. 7A shows the "master curve" from Figure 7 with the small gas molecule transport regime highlighted.
  • Fig. 8 shows the relationship between diffusant size and pore size.
  • Fig. 9 shows an example of viscosity range estimates using a minimum lagtime of 60 seconds.
  • Fig. 10 shows a graph of the log (particle diameter in m) versus log (particles/m 3 ).
  • Fig. 11 shows a graph of the log (particle radius in cm) versus log (diffusion coefficient).
  • Fig. 12 shows two graphs of the log (particle radius in cm) versus log (diffusion coefficient).
  • Fig. 13 shows a plot of the diffusion coefficient of several standard gases.
  • Fig. 14 shows a plurality of ordered silicon atoms.
  • Fig. 15 shows the plurality of silicon atoms once diffusion of the copper atom has begun.
  • Fig. 16 shows the diffusion of a copper atom from a side view.
  • Fig. 17 shows the diffusion of a copper atom from a top down view.
  • Fig. 18 shows an experimental simulation of a porous organic matrix.
  • Fig. 19 shows copper diffusion activation energies.
  • Fig. 20 shows a molecular simulation of a porous material.
  • Fig. 21 A shows several contemplated silica-based blocking agents where the rigid core and surface branched structure are organosilane-based.
  • Fig. 2 IB shows several contemplated organic blocking agents where the rigid core and surface branched structure are all organic based.
  • Fig. 22 shows several contemplated mixed organic/inorganic blocking agents where the rigid core and surface branched structure are a mixed silane and organic
  • Fig. 23 shows the blocker/pore area ratio versus relative activation energy.
  • Fig. 24 A shows dielectric blocker interaction energy (kcal/mol) verses activation energy . (kcal/mol).
  • Fig. 24B shows blocker/pore opening ratio versus dielectric-blocker interaction energy (kcal/mol).
  • Fig. 25 A shows the blocker cross section/pore opening versus the activation energy.
  • Fig. 25B shows the blocker/pore area ratio versus relative activation energy.
  • Fig. 26 shows the activation energy summaries from contemplated experimental simulations.
  • Fig. 27 shows two graphs (A) and (B) that indicate the differences between a rigid pore (fixed) and a flexible pore (moving or "unfixed").
  • Fig. 28 shows that when a GX3TM pore is used with silica blockers only, the worst case is predicted by assuming a rigid pore.
  • Fig. 29 shows that for silicon-based pores, the matrix effect difference is less pronounced
  • Fig. 30 shows several contemplated embodiments using dendrimer T8 silsesquioxane cores.
  • Fig. 31 shows several contemplated embodiments using dendrimer T8 silsesquioxane cores.
  • Fig. 32 shows several contemplated embodiments using dendrimer T8 silsesquioxane cores.
  • Fig. 33 shows several contemplated embodiments using GX3TM pores.
  • Fig. 34 shows several contemplated embodiments using silica pores.
  • Layered components, single layer components and materials are described herein that comprise at least one layer or material that can effectively reduce the diffusion of conductive and/or metal atoms and/or molecules into the underlying material (such as a porous dielectric layer).
  • all materials comprise a plurality of pores or voids that allow for the diffusion and subsequent contamination of metal atoms, conductive materials or other undesirable materials and atoms into the underlying/porous layer, (see "Copper Contamination Induced Degradation of MOSFET Characteristics and Reliability' ' ' by M. Inohara, H. Sakurai, T. Yamaguchi, H. Tomita, T. Iijima, H. Oyamatus, T. Nakayama, H. Yoshimura and Y. Toyoshima, 2000 Symposium on VLSI Technology Digest of Technical Papers).
  • the likelihood of diffusibility should be calculated.
  • migration and critical concentration of the diffusing atoms and/or molecules should be reviewed and investigated. The issue of migration and critical concentration can be investigated from several different perspectives, including modeling and simulation or application of diffusion theory.
  • One way to gain a perspective on the likelihood of diffusibility is to simulate a typical migration scenario, such as a layered material that comprises copper coupled to an organic dielectric
  • a typical migration scenario such as a layered material that comprises copper coupled to an organic dielectric
  • molecular modeling is utilized to examine migration stability of a copper layer in contact next to an organic dielectric, where the layered material is allowed to equilibrate at the target temperature of 700K, it is found that copper migration can be blocked depending upon pore size of the dielectric material, density of the interfacial layer formed by the dielectric material or the density of the dielectric.
  • Figure 4 shows a simulation of the Pore Size versus rate of copper migration for a contemplated layered material. As shown, the pore size should be less than 25 Angstroms to reduce or stop migration, according to the simulation.
  • Figure 5 shows the dielectric layer density versus the rate of copper migration for a contemplated layered material. As shown, the dielectric layer density should be around 0.3 to 0.4 g/ml to reduce or stop migration (this includes the interfacial region described in Figure 6).
  • Figure 6 shows the density of the dielectric material immediately next (the top 2- 5A of dielectric surface) to the copper layer (Interfacial C Density) versus the rate of copper migration for a contemplated layered material. As shown, the Interfacial C density should be around 1.5 g/ml to reduce or stop migration. As suggested, the immediate surface next to the copper interface is important at reducing or stopping migration tendencies. Similar results have been found for inorganic dielectric materials.
  • interfacial density can be increased to prevent migration on both inorganic and organic materials.
  • the interfacial density could be changed by choice of pendant groups attached to the basic dielectric structure or by reactive components from either formulation or from process after dielectric formation such as CND, ALD, plasma etch, or liquid and solvent process such as wet etch, clean, CMP (chemical mechanical polishing) which have a solvent involved and can potentially cany reactive groups to the dielectric.
  • Diffusion theory involves investigating the rate of gas transport through a material - which is the material's permeability.
  • permeability is defined as the measure of material flux through a unit cross section of material of thickness, x, under a pressure differential.
  • Permeability is related to the diffusion coefficient in Equation (1) by the solubility of the diffusing species in the material:
  • D Diffusion Coefficient (cm 2 /sec)
  • S Solubility (atoms/cm 3 of solid-torr)
  • Equation (2) Typical activation energies associated with the diffusion coefficient D and gas solubility S can be obtained for a membrane through which gas diffusion has reached steady state, using the following expression (Equation (2)):
  • Equation (2) W. Jost, Diffusion, Third Edition, Academic Press, 1960. and H. Daynes, Proc. Royal Society, A (97) 286 (1920)).
  • the permeability, P, measured at steady state along with D, give the solubility of the gas, S, in the material.
  • Equation (2) is highly informative, since it indicates what the diffusion coefficient has to be regardless of the material, for transport of gases or other materials through a dielectric or metal film of thickness, x.
  • Figure 7 shows a "master curve” that gives the range of material diffusion coefficient values for transport of a gas, liquid or solid species through a material of varying thickness, x, for a time of 60 seconds based on Equation (2). These conditions are typical of single wafer process times.
  • Figure 7A shows the same "master curve” that is shown in Figure 7, however, the small gas molecule transport regime for interlayer dielectrics (ILDs) is highlighted.
  • ILDs interlayer dielectrics
  • D the diffusion coefficient
  • d the lattice spacing or pore dimension in a low k dielectric.
  • the required viscosity can also be estimated - at greater than 100 Pa-sec, for the range of diffusant diameter and pore diameter between 1-100 A, and which also assumes that the diffusant diameter is greater than the pore diameter.
  • the usable viscosity range can also be estimated, as it is known that, in a practical sense, the diameter of the diffusant must be larger than the pore diameter, and in a contemplated embodiment, there is a minimum process time at 700k of 60 sec (lagtime) with a maximum feature size of lOOA. That is, the theoretical lagtime ⁇ a 2 /6D where "a" is the feature size and the lagtime is at least 60 seconds.
  • the particle speed is based upon the model and the average particle velocity; and a critical particle concentration of 10 15 atoms/cc for leakage current (see previously cited "Copper Contamination Induced Degradation ofMOSFET Characteristics and Reliability"). So now a method is established to estimate what the effective viscosity should be if there is sufficient density within the matrix to stop migration based upon the argument of the mean free path.
  • Figure 13 shows a plot of the diffusion coefficient of a number of standard gases that can pass through polymeric materials and silica. The data indicates that there is about a 5 order of magnitude increase in diffusivity as the polymer is heated from room temperature to about 450°C. Even at 25°C, the gas diffusivity is so high that transport occurs through most solid polymeric materials in times substantially less than about 60 seconds.
  • a layered component contemplated herein has been designed that comprises: a substrate; a dielectric material having a plurality of pores, wherein the material is coupled to the substrate; and a diffusion blocking material coupled to the dielectric material, wherein the diffusion blocking material is physically attracted to the dielectric material.
  • the layered component contemplated herein may also comprise a diffusion blocking material that is not on the component in the form of a layer, but is instead being used to "block" the individual pores/voids and not to cover the entire underlying layer.
  • the diffusion blocking material will react with the underlying dielectric material or layer, and in other embodiments, the diffusion blocking material will not be reactive with the underlying dielectric material or layer.
  • the diffusion blocking material will react with the underlying low k dielectric material or layer, and in other embodiments, the diffusion blocking material will not be reactive with the underlying low k dielectric material or layer.
  • the diffusion blocking layered component contemplated may consist of a densified layer of the material or low k material or contain phase-separated elements of the material or low k material densified in such a manner as to block diffusion of species.
  • the blocking material may be self-assembled at the surface of the dielectric using surface energy (from both dielectric and blocking material), adsorption, absorption, solubility, adhesion/cohesion, and shape or molecular architecture of the blocking material and as mechanistic drivers.
  • the diffusion blocking materials are responsible for a significant decrease in migratory atoms and/or molecules when compared to a single-layer or layered component that does not comprise a diffusion blocking material coupled to a dielectric material and/or a low dielectric material.
  • a significant decrease in migratory atoms and/or molecules is understood to mean at least about a 51% decrease in migratory atoms and/or molecules when compared to a single-layer or layered component that does not comprise a diffusion blocking material coupled to a dielectric material and/or a low dielectric material.
  • the diffusion blocking materials are responsible for at least about a 60% decrease in migratory atoms and/or molecules when compared to a single-layer or layered component that does not comprise a diffusion blocking material coupled to a dielectric material and/or a low ' dielectric material. In yet other contemplated embodiments, the diffusion blocking materials are responsible for at least about a 75% decrease in migratory atoms and/or molecules when compared to a single-layer or layered component that does not comprise a diffusion blocking material coupled to a dielectric material and/or a low dielectric material.
  • the diffusion blocking materials are responsible for at least about a 90% decrease in migratory atoms and/or molecules when compared to a single-layer or layered component that does not comprise a diffusion blocking material coupled to a dielectric material and/or a low dielectric material. In even more preferred embodiments, the diffusion blocking materials are responsible for at least about a 95% decrease in migratory atoms and/or molecules when compared to a single-layer or layered component that does not comprise a diffusion blocking material coupled to a dielectric material and/or a low dielectric material.
  • the diffusion blocking materials, particles, molecules or compounds can a) react with the pore wall, b) diffuse into the pores and react at or with the walls to decrease the pore diameter, and thus does not allow further diffusion of substances smaller than the new pore diameter c) diffuse into the pore, expand in the pore to block the pore and thus cannot diffuse further themselves because of size; d) diffuse into the pore, expand inside the pore and react at or with the pore wall; e) x reduce the effective pore diameter; f) block the pore and reduce the effective diffusion constant and/org) a combination thereof.
  • rigid molecules that are attached to rings are used.
  • ring expansion expansion in size
  • Ring-opening transformations would also be useful in several embodiments.
  • rigid core molecules attached to a layer(s) of highly branched chains may be used.
  • the rigid core and branched structure are chosen so that no amount of the ⁇ nal, mechanical or other force may adequately create accessible free space for diffusion.
  • a solution or dilute solution may be useful having particles and/or diffusion blocking material that has a size greater than the surface underlying pore diameter or the surface accessible free space.
  • the diffusion blocking material or component can be designed to block the diffusion of gases, liquids, metals and additional unwanted materials from diffusing into the underlying layer or material.
  • the gases, liquids, metals and other/additional unwanted materials may be deposited by any process generally used in electronic materials development and processing, including CND/ALD (atomic layer deposition) depositions, liquid cleans and etches of dielectric materials, gaseous thermal processing and gas etching. If the diffusion blocking material is applied by a process separate to the dielectric material forming process, it may also 1 be carried by any of these same processes used in electronic fabrication.
  • Surfaces contemplated herein may comprise any desirable substantially solid material, such as a substrate, wafer or other suitable surface.
  • Particularly desirable substrate layers would comprise films, organic polymer, inorganic polymer, glass, ceramic, plastic, metal or coated metal, or composite material.
  • Surface and/or substrate layers comprise at least one layer and in some instances comprise a plurality of layers.
  • the substrate comprises a silicon or germanium arsenide die or wafer surface, a packaging surface such as found in a copper, silver, nickel or gold plated leadframe, a copper surface such as found in a circuit board or package interconnect trace, a via-wall or stiffener interface ("copper” includes considerations of bare copper and it's oxides), a polymer-based packaging or board interface such as found in a polyimide-based flex package, lead or other metal alloy solder ball surface, glass and polymers such as polyimide, BT, and FR4.
  • the substrate comprises a material common in the integrated circuit industries as well as the packaging and circuit board industries such as silicon, copper, glass, and another polymer.
  • Suitable surfaces contemplated herein may also include another previously formed layered stack, other layered component, or other component altogether.
  • An example of this may be where a dielectric material and CND barrier layer are first laid down as a layered stack - which is considered the "surface" for the subsequently spun-on layered component.
  • At least one layer is coupled to the surface or substrate.
  • the term “coupled” means that the surface and layer or two layers are physically attached to one another or there's a physical attraction between two parts of matter or components, including bond forces such as covalent and ionic bonding, and non-bond forces such as Van der Waals, electrostatic, coulombic, hydrogen bonding and/or magnetic attraction.
  • the term coupled is meant to encompass a situation where the surface and layer or two layers are directly attached to one another, but the term is also meant to encompass the situation where the surface and the layer or plurality of layers are coupled to one another indirectly - such as the case where there's an adhesion promoter layer between the surface and layer or where there's another layer altogether between the surface and layer or plurality of layers.
  • the term "low dielectric constant” means a dielectric constant measured at 1 MHz to 2 GHz, unless otherwise inconsistent with context. It is contemplated that the value of the dielectric constant of a low dielectric constant material or layer is less than about 3. In a preferred embodiment, the value of a low dielectric constant material or layer is less than about 2.5. In a more prefened embodiment, the value of a dielectric constant material or layer is less than about 2.
  • Contemplated dielectric and low dielectric materials comprise inorganic-based compounds, such as silicon-based disclosed in commonly assigned US Patent 6,143,855 and pending US Serial No. 10/078919 filed February 19, 2002; (for example Honeywell NANOGLASS® and HOSP® products), gallium-based, germanium-based, arsenic-based, boron-based compounds or combinations thereof, and organic-based compounds, such as polyethers, polyarylene ethers disclosed in commonly assigned US Patent 6,124,421 (such as Honeywell FLARETM product), polyimides, polyesters and adamantane-based or cage-based compounds disclosed in commonly assigned WO 01/78110 and WO 01/08308 (such as Honeywell GX-3TM product).
  • the dielectric and low dielectric materials may be applied by spin coating the material on to the surface, dip coating, spray coating, rolling the material on to the surface, dripping the material on to the surface, and/or spreading the material on to the surface.
  • spin-on material As used herein, the phrases “spin-on material”, “spin-on organic material”, “spin-on composition” and “spin-on inorganic composition” may be used interchangeable and refer to those solutions and compositions that can be spun-on to a substrate or surface using the spin coating application process. It is further contemplated that the phrase “spin-on-glass materials” refers to a subset of “spin-on inorganic materials", in that spin-on glass materials refer to those spin-on materials that comprise silicon-based compounds and/or polymers in whole or in part.
  • silicon-based compounds comprise siloxane compounds, such as methylsiloxane, methylsilsesquioxane, phenylsiloxane, phenylsilsesquioxane, methylphenylsiloxane, methylphenylsilsesquioxane, silazane polymers, silicate polymers and mixtures thereof.
  • siloxane compounds such as methylsiloxane, methylsilsesquioxane, phenylsiloxane, phenylsilsesquioxane, methylphenylsiloxane, methylphenylsilsesquioxane
  • silazane polymers silicate polymers and mixtures thereof.
  • a contemplated silazane polymer is perhydrosilazane, which has a "transparent" polymer backbone where chromophores can be attached.
  • spin-on-glass materials also includes siloxane polymers and blockpolymers, hydrogensiloxane polymers of the general formula (Ho_ ⁇ .oSiO ⁇ . 5 _ 2 .o) x and hydrogensilsesquioxane polymers, which have the formula (HSiO ⁇ . 5 ) x , where x is greater than about four. Also included are copolymers of hydrogensilsesquioxane and an alkoxyhydridosiloxane or hydroxyhydridosiloxane.
  • Spin-on glass materials additionally include organohydridosiloxane polymers of the general fonnula (Ho_ ⁇ .oSiO ⁇ .5 - 2 .o)n(Ro- ⁇ .oSiO ⁇ . 5 . 2.o)m, and organohydridosilsesquioxane polymers of the general formula (HSiO ⁇ . 5 ) n (RSiO ⁇ . 5 ) m , where m is greater than zero and the sum of n and m is greater than about four and R is alkyl or aryl.
  • organohydridosiloxane polymers have the sum of n and m from about four to about 5000 where R is a C 1 -C 2 0 alkyl group or a C 6 -C ⁇ 2 aryl group.
  • the organohydridosiloxane and organohydridosilsesquioxane polymers are alternatively denoted spin-on-polymers.
  • alkylhydridosiloxanes such as methylhydridosiloxanes, ethylhydridosiloxanes, propylhydridosiloxanes, t-butylhydridosiloxanes, phenylhydridosiloxanes; and alkylhydridosilsesquioxanes, such as methylhydridosilsesquioxanes, ethylhydridosilsesquioxanes, propylhydridosilsesquioxanes, t-butylhydridosilsequioxanes, phenylhydridosilsesquioxanes, and combinations thereof.
  • organohydridosiloxane and organosiloxane resins can be utilized for fo ⁇ ning caged siloxane polymer films that are useful in the fabrication of a variety of electronic devices, micro-electronic devices, particularly semiconductor integrated circuits and various layered materials for electronic and semiconductor components, including hardmask layers, dielectric layers, etch stop layers and buried etch stop layers.
  • organohydridosiloxane resin layers are quite compatible with other materials that might be used for layered materials and devices, such as adamantane-based compounds, diamantane- based compounds, silicon-core compounds, organic dielectrics, and nanoporous dielectrics.
  • Organohydridosiloxane resins utilized herein have the following general formulas:
  • R is selected from substituted and unsubstituted, no ⁇ nal and branched alkyls (methyl, ethyl, butyl, propyl, pentyl), alkenyl groups (vinyl, allyl, isopropenyl), cycloalkyls, cycloalkenyl groups, aryls (phenyl groups, benzyl groups, naphthalenyl groups, anthracenyl groups and phenanthrenyl groups), and mixtures thereof; and wherein the specific mole percent of carbon containing substituents is a function of the ratio of the amounts of starting materials.
  • LOSP embodiments particularly favorable results are obtained with the mole percent of carbon containing substituents being in the range of between about 15 mole percent to about 25 mole percent. In some HOSP embodiments, favorable results are obtained with the mole percent of carbon containing substituents are in the range of between about 55 mole percent to about 75 mole percent.
  • Nanoporous silica dielectric films with dielectric constants ranging from about 1.5 to about 4 can be also as at least one of the layers.
  • Nanoporous silica compounds contemplated herein are those compounds found in US Issued Patents: 6,022,812; 6,037,275; 6,042,994; 6,048,804; 6,090,448; 6,126,733; 6,140,254; 6,204,202; 6,208,041; 6,318,124 and 6,319,855. These types of films are laid down as a silicon-based precursor, aged or condensed in the presence of water and heated sufficiently to remove substantially all of the porogen and to form voids in the film.
  • the silicon-based precursor composition comprises monomers or prepolymers that have the formula: R x -Si-Ly, wherein R is independently selected from alkyl groups, aryl groups, hydrogen and combinations thereof, L is an electronegative moiety, such as alkoxy, carboxy, amino, amido, halide, isocyanato and combinations thereof, x is an integer ranging from 0 to about 2, and y is an integer ranging from about 2 to about 4.
  • R is independently selected from alkyl groups, aryl groups, hydrogen and combinations thereof
  • L is an electronegative moiety, such as alkoxy, carboxy, amino, amido, halide, isocyanato and combinations thereof
  • x is an integer ranging from 0 to about 2
  • y is an integer ranging from about 2 to about 4.
  • Other nanoporous compounds and methods can be found in US Issued Patents 6,156,812; 6,171,687; 6,172,128; 6,214,746; 6,313,185; 6,380,347
  • cage structure refers to a molecule having at least 10 atoms arranged such that at least one bridge covalently connects two or more atoms of a ring system.
  • a cage structure, cage molecule or cage compound comprises a plurality of rings formed by covalently bound atoms, wherein the structure, molecule or compound defines a volume', such that a point located with the volume can not leave the volume without passing through the ring.
  • the bridge and/or the ring system may comprise one or more heteroatoms, and may be aromatic, partially saturated, or unsaturated.
  • Further contemplated cage structures include fullerenes, and crown ethers having at least one bridge.
  • an adamantane or diamantane is considered a cage structure, while a naphthalene compound or an aromatic spirocompound are not considered a cage structure under the scope of this definition, because a naphthalene compound or an aromatic spirocompound do not have one, or more than one bridge.
  • Contemplated cage compounds need not necessarily be limited to being comprised solely of carbon atoms, but may also include heteroatoms such as N, S, O, P, etc. Heteroatoms may advantageously introduce non-tetragonal bond angle configurations.
  • substituents and derivatizations of contemplated cage compounds it should be recognized that many substituents and derivatizations are appropriate. For example, where the cage compounds are relatively hydrophobic, hydrophilic substituents may be introduced to increase solubility in hydrophilic solvents, or vice versa. Alternatively, in cases where polarity is desired, polar side groups may be added to the cage compound. It is further contemplated that appropriate substituents may also include thermolabile groups, nucle- ophilic and electrophilic groups.
  • Cage molecules or compounds can also be groups that are attached to a polymer backbone, and therefore, can form nanoporous materials where the cage compound forms one type of void (intramolecular) and " where the crosslinking of at least one part of the backbone with itself or another backbone can form another type of void (intermolecular). Additional cage molecules, cage compounds and variations of these molecules and compounds are described in detail in PCT/USOl/32569 filed on October 18, 2001, which is herein incorporated by reference in its entirety.
  • Contemplated polymers may also comprise a wide range of functional or structural moieties, including aromatic systems, and halogenated groups. Furthermore, appropriate polymers may have many configurations, including a homopolymer, and a heteropolymer. Moreover, alternative polymers may have various forms, such as linear, branched, super- branched, or three-dimensional. The molecular weight of contemplated polymers spans a wide range, typically between 400 Dalton and 400000 Dalton or more.
  • organic and inorganic materials described herein are similar in some respects to those which are described in U.S. Pat. No. 5,874,516 to Burgoyne et al. (Feb. 1999), incorporated herein by reference, and may be used in substantially the same manner as set forth in that patent.
  • the organic and inorganic materials described herein may be employed in fabricating electronic chips, chips, and multichip modules, interlayer dielectrics, protective coatings, and as a substrate in circuit boards or printed wiring boards.
  • films or coatings of the organic and inorganic materials described herein can be fo ⁇ ned by solution techniques such as spraying, spin coating or casting, with spin coating being prefened.
  • Preferred solvents are 2-ethoxyethyl ether, cyclohexanone, cyclopentanone, toluene, xylene, chlorobenzene, N-methyl pynolidinone, N,N-dimethylfo ⁇ namide, N,N-dimethylacetamide, methyl isobutyl ketone, 2-methoxyethyl ether, 5-methyl-2-hexanone, ⁇ -butyrolactone, and mixtures thereof.
  • the coating thickness is between about 0.1 to about 15 microns. As a dielectric interlayer, the film thickness is less than 2 microns.
  • Additives can also be used to enhance or impart particular target properties, as is conventionally known in the polymer art, including stabilizers, flame retardants, pigments, plasticizers, surfactants, and the like. Compatible or non-compatible polymers can be blended in to give a desired property. Adhesion promoters can also be used. Such promoters are typified by hexamethyidisilazane, which can be used to interact with available hydroxyl functionality that may be present on a surface, such as silicon dioxide, that was exposed to moisture or humidity. Polymers for microelectronic applications desirably contain low levels (generally less than 1 ppm, preferably less than 10 ppb) of ionic impurities, particularly for dielectric interlayers.
  • crosslinldng refers to a process in which at least two molecules, or two portions of a long molecule, are joined together by a chemical interaction. Such interactions may occur in many different ways including formation of a covalent bond, formation of hydrogen bonds, hydrophobic, hydrophilic, ionic or electrostatic interaction. Furthermore, molecular interaction may also be characterized by an at least temporary physical connection between a molecule and itself or between two or more molecules.
  • some prefened embodiments comprise a plurality of voids and/or pores in one or all of the dielectric layers or low dielectric constant layers.
  • This plurality of voids can also be expressed by using the phrase "nanoporous layer” or “ultrananoporous layer”.
  • the term “nanoporous layer” refers to any suitable low dielectric material (i.e. ⁇ 3.0) that is composed of a plurality of voids and a non- volatile component.
  • substantially means a desired component is present in a layer at a weight percent amount greater than 51%.
  • a layered material herein described also comprises: a) a dielectric material having a plurality of pores, wherein each pore has a pore diameter; and b) a layer comprising a plurality of particles, wherein the particles have a particle size that is larger than the pore diameter.
  • Incorporating or introducing porogens into the dielectric materials can form the plurality of pores and/or voids. At least one porogen may be added to the dielectric material and/or layer. The pores or voids may be formed as a result of structural rearrangement or loss of material such that a pore or void or increase in free volume is left behind.
  • pore includes voids and cells in a material and any other term meaning space.
  • the term “pore” may also include a differential in material density wherein the free volume has been increased ("porous nature" has been introduced).
  • Appropriate gases include relatively pure gases and mixtures thereof. Air, which is predominantly a mixture of N 2 and O 2 is commonly distributed in the pores, but pure gases such as nitrogen, helium, argon, CO 2 or CO are also contemplated.
  • Pores are typically spherical but may alternatively or additionally include tubular, lamellar, discoidal, voids having other shapes, or a combination of the preceding shapes and may be open or closed.
  • porogen as used herein may have a variety of mechanisms available to form the pore but in general is a material, which upon removal, leaves behind either a "pore” or a “void” or a material that can rearcange to create a "pore” or “void", h one embodiment, a porogen is a decomposable material that is radiation, thermally, chemically or moisture decomposable, degradable, depolymerizable or otherwise capable of breaking down and includes solid, liquid or gaseous material.
  • the decomposed porogen is removable from or can volatilize or diffuse through a partially or fully cross-linked matrix to create pores in a subsequently fully-cured matrix and thus, lower the matrix's dielectric constant and enhance the sacrificial properties.
  • the porogen might be a material, which does not decompose but can be dissolved out of the matrix leaving behind the "pore".
  • the porogen might be a material that does not decompose but is volatile enough to dissipate at specific elevated temperatures such as in the 250-350°C range. Supercritical materials, such as CO , may be used to remove the porogen and decomposed porogen fragments.
  • the porogen comprises a material having a decomposition temperature greater than the minimum crosslinking temperature of the material.
  • the present novel porogens have a degradation or decomposition temperature of up to about 300°C, and in some cases greater than about 300°C.
  • the degraded or decomposed porogens volatilize at a temperature greater than the minimum cross-linking temperature of the material with which the porogen is combined.
  • the degraded or decomposed porogens volatilize at a temperature between about 50° to about 450°C.
  • Suitable linear polymers are polyefhers, such as poly(ethylene oxide) and poly(propylene oxide); polyacrylates such as poly(methylmethacrylate); aliphatic polycarbonates such as poly(propylene carbonate) and poly(ethylene carbonate); polyesters; polysulfones; polystyrene (including monomer units selected from halogenated styrene and hydroxy-substituted styrene); poly( ⁇ -methylstyrene); and other vinyl-based polymers.
  • polyester porogens include polycaprolactone; polyethylene terephthalate; poly(oxyadipoyloxy-l,4-phenylene); poly(oxyterephthaloyloxy- 1,4-phenylene); poly(oxyadipoyloxy-l,6-hexamethylene); polyglycolide, polylactide (polylactic acid), polylactide-glycolide, polypyruvic acid, polycarbonate such as poly(hexamethylene carbonate) diol having a molecular weight from about 500 to about 2500; and polyether such as poly(bisphenol A-co-epichlorohydrin) having a molecular weight from about 300 to about 6500.
  • Suitable crosslinked, insoluble nanospheres are suitably comprised of polystyrene or poly(methylmethacrylate).
  • Suitable block copolymers are poly-gylcolids, polylactic acid, poly(styrene-co- ⁇ -methylstyrene, poly(styrene-ethylene oxide), poly(etherlactones), poly(estercarbonates) and poly(lactonelactide).
  • Suitable hyperbranched polymers are hyperbranched polyester, e.g. hyperbranched poly(caprolactone), and polyethers such as polyethylene oxide and polypropylene oxide. Another useful porogen is ethylene glycol-poly(caprolactone).
  • Useful polymer blocks include polyvinylpyridines, hydrogenated polyvinyl aromatics, polyacrylonitriles, polysiloxanes, polycaprolactams, polyurethanes, polydienes such as polybutadienes and polyisoprenes, polyvinyl chlorides, polyacetals and amine-capped alkylene oxides.
  • Other useful the ⁇ noplastic materials include polyisoprenes, polytetrahydrofurans and polyethyloxazolines.
  • suitable porogens suitable for use in contemplated embodiments include polymers, preferably those which contain one or more reactive groups, such as hydroxyl or amino.
  • a suitable polymer porogen for use in the compositions and methods disclosed herein is, e.g. a polyalkylene oxide, a monoether of a polyalkylene oxide, a diether of a polyalkylene oxide, bisether of a polyalkylene oxide, an aliphatic polyester, an acrylic polymer, an acetal polymer, a poly(caprolactone). a poly(valeractone), a poly(methlymethoacrylate), a poly(vinylbutyral) and/or combinations thereof.
  • porogen is a polyalkylene oxide monoether
  • one particular embodiment is a Ci to about C 6 alkyl chain between oxygen atoms and a Ci to about C 6 alkyl ether moiety, and wherein the alkyl chain is substituted or unsubstituted, e.g., polyethylene glycol monomethyl ether, polyethylene glycol dimethyl ether, or polypropylene glycol monomethyl ether.
  • Porogens comprising at least two fused aromatic rings wherem each of the fused aromatic rings has at least one alkyl substituent thereon and a bond exists between at least two of the alkyl substituents on adjacent aromatic rings may be used in contemplated embodiments.
  • Prefened porogens include unfunctionalized polyacenaphthylene homopolymer, functionalized polyacenaphthylene homopolymer, the polyacenaphthylene copolymers described below, poly(2-vinylnaphthalene) and vinyl anthracene, and blends with each other.
  • Other useful porogens include adamantane, diamantane, fullerene and polynorbo ⁇ ene.
  • porogens including those listed above, may be blended with one another or other porogen material, such as polycaprolactone, polystyrene and polyester.
  • Useful blends include unfunctionalized polyacenaphthylene homopolymer and polycaprolactone.
  • the more prefened porogens are unfunctionalized polyacenaphthylene homopolymer, functionalized polyacenaphthylene homopolymer, polyacenaphthylene copolymer and polynorbornene.
  • Useful polyacenaphthylene homopolymers may have weight average molecular weights ranging from preferably about 300 to about 20,000; more preferably about 300 to about 10,000; and most preferably about 1000 to about 7000 and may be polymerized from acenaphthylene using different initiators such as 2,2'-azobisisobutyronitrile (AIBN); di-tert- butyl azodicarboxylate; di-phenylazodicarboxylate; l,l'-azobis(cyclohezanecarbonitrile); benzoyl peroxide (BPO); t-butyl peroxide; and boron trifluoride diethyl etherate.
  • AIBN 2,2'-azobisisobutyronitrile
  • BPO benzoyl peroxide
  • BPO t-butyl peroxide
  • boron trifluoride diethyl etherate boron trifluoride diethyl etherate.
  • the polyacenaphthylene homopolymer may have functional end groups such as triple bonds or double bonds to the chain end or cationic polymerization quenched with a double or triple bond alcohol, such as allyl alcohol, propargyl alcohol, butynol, butenol or hydroxyethylmethacrylate.
  • a double or triple bond alcohol such as allyl alcohol, propargyl alcohol, butynol, butenol or hydroxyethylmethacrylate.
  • European Patent Publication 315453 teaches that silica and certain metal oxides may react with carbon to form volatile suboxides and gaseous carbon oxide to form pores and teaches that sources of carbon include any suitable organic polymer including polyacenaphthylene.
  • polyacenaphthylene is a porogen useful in non-metallic materials or in reducing the dielectric constant of a matrix or increasing the etch selectivity of a dielectric material.
  • Useful polyacenaphthylene copolymers may be linear polymers, star polymers or hyperbranched polymers.
  • the comonomer may have a bulky side group that will result in copolymer conformation that is similar to that of polyacenaphthylene homopolymer or a nonbulky side group that will result in copolymer conformation that is dissimilar to that of polyacenaphthylene homopolymer.
  • Comonomers having a bulky side group include vinyl pivalate; tert-butyl acrylate; styrene; -methylstyrene; tert-butylstyrene; 2-vinylnaphthalene; 5-vinyl-2-norbornene; vinyl cyclohexane; vinyl cyclopentant; 9-vinylanthracene; 4- vinylbiphenyl; tetraphenylbutadiene; stilbene; tert-butylstilbene; and indene; and preferably vinyl pivalate.
  • Hydridopolycarbosilane may be used as an additional co-monomer or copolymer component with acenaphthylene and at least one of the preceding comonomers.
  • An example of a useful hydridopolycarbosilane has 10% or 75% allyl groups.
  • Comonomers having a nonbulky side group include vinyl acetate, methyl acrylate, methyl methacrylate, and vinyl ether and preferably vinyl acetate.
  • the term "degrade” refers to the breaking of covalent bonds. Such breaking of bonds may occur in numerous ways including heterolytic and homolytic breakage. The breaking of bonds need not be complete, i.e., not all breakable bonds must be cleaved. Furthermore, the breaking of bonds may occur in some bonds faster than in others. Ester bonds, for example, are generally less stable than amide bonds, and therefore, are cleaved at a faster rate. Breakage of bonds may also result in the release of fragments differing from one another, depending on the chemical composition of the degraded portion.
  • thermal energy is applied to the porogen containing material to substantially degrade or decompose the porogen into its starting components or monomers.
  • substantially degrade preferably means at least about 40 weight percent of the porogen degrades or decomposes. In more preferred embodiments, at least about 50 weight percent of the porogen degrades or decomposes, and in most prefened embodiments, at least about 80 weight percent of the porogen degrades or decomposes.
  • the porogen is dissolved out in either a separate process stage or in combination with other stages of process, such as during the photolithography development or during the actual wet stripping of the porogen containing material.
  • Any suitable procedure or condition may be used to remove or at least partially remove the at least one porogen, including heat, dissolution in solvents, preferential etching, exposure to radiation, electromagnetic radiation, such as ultraviolet, x-ray, laser or infrared radiation; mechanical energy, such as sonication or physical pressure; or particle radiation, such as gamma ray, alpha particles, neutron beam or electron beam as taught by commonly assigned patent publication PCT/US96/08678 and US Patents 6,042,994; 6,080,526; 6,177,143; and 6,235,353, which are incorporated herein by reference in their entireties.
  • electromagnetic radiation such as ultraviolet, x-ray, laser or infrared radiation
  • mechanical energy such as sonication or physical pressure
  • particle radiation such as gamma ray, alpha particles, neutron beam or electron beam as taught by commonly assigned patent publication PCT/US96/08678 and US Patents 6,042,994; 6,080,526; 6,177,143; and 6,235,353,
  • the materials, precursors and layers described herein can be and in many ways are designed to be solvated or dissolved in any suitable solvent, so long as the resulting solutions can be applied to a substrate, a surface, a wafer or layered material.
  • Typical solvents are also those solvents that are able to solvate the monomers, isomeric monomer mixtures and polymers.
  • Contemplated solvents include any suitable pure or mixture of organic or inorganic molecules that are volatilized at a desired temperature, such as the critical temperature, or that can facilitate any of the above-mentioned design goals or needs.
  • the solvent may also comprise any suitable pure or mixture of polar and non-polar compounds.
  • pure means that component that has a constant composition.
  • pure water is composed solely of H 2 O.
  • mixture means that component that is not pure, including salt water.
  • polar means that characteristic of a molecule or compound that creates an unequal charge, partial charge or spontaneous charge distribution at one point of or along the molecule or compound.
  • non-polar means that characteristic of a molecule or compound that creates an equal charge, partial charge or spontaneous charge distribution at one point of or along the molecule or compound.
  • the solvent or solvent mixture (comprising at least two solvents) comprises those solvents that are considered part of the hydrocarbon family of solvents.
  • Hydrocarbon solvents are those solvents that comprise carbon and hydrogen. It should be understood that a majority of hydrocarbon solvents are non-polar; however, there are a few hydrocarbon solvents that could be considered polar. Hydrocarbon solvents are generally broken down into three classes: aliphatic, cyclic and aromatic. Aliphatic hydrocarbon solvents may comprise both straight-chain compounds and compounds that are branched and possibly crosslinked, however, aliphatic hydrocarbon solvents are not considered cyclic.
  • Cyclic hydrocarbon solvents are those solvents that comprise at least three carbon atoms oriented in a ring structure with properties similar to aliphatic hydrocarbon solvents.
  • Aromatic hydrocarbon solvents are those solvents that comprise generally three or more unsaturated bonds with a single ring or multiple rings attached by a common bond and/or multiple rings fused together.
  • Contemplated hydrocarbon solvents include toluene, xylene, p-xylene, m-xylene, mesitylene, solvent naphtha H, solvent naphtha A, alkanes, such as pentane, hexane, isohexane, heptane, nonane, octane, dodecane, 2-methylbutane, hexadecane, tridecane, pentadecane, cyclopentane, 2,2,4-trimethylpentane, petroleum ethers, halogenated hydrocarbons, such as chlorinated hydrocarbons, nitrated hydrocarbons, benzene, 1,2-dimethylbenzene, 1,2,4-trimethylbenzene, mineral spirits, kerosine, isobutylbenzene, methylnaphthalene, ethyltoluene, ligroine. Particularly contemplated solvents include, but are not limited to,
  • the solvent or solvent mixture may comprise those solvents that are not considered part of the hydrocarbon solvent family of compounds, such as ketones, such as acetone, diethyl ketone, methyl ethyl ketone and the like, alcohols, esters, ethers and amines.
  • the solvent or solvent mixture may comprise a combination of any of the solvents mentioned herein.
  • the solvent comprises water, ethanol, propanol, acetone, ethylene oxide, benzene, toluene, ethers, cyclohexanone, butyrolactone, methylethylketone, and anisole.
  • no solvent is used and at least one liquid monomer is chosen to form a solventless formulation.
  • alternative low dielectric constant material may also comprise additional components.
  • softeners or other protective agents may be added.
  • adhesion promoters may advantageously employed.
  • detergents or antifoam agents may be desirable.
  • the diffusion blocking material may also comprise at least one CVD precursor material, such as those produced by Honeywell Electronic Materials, Inc., Dow Corning and Schumacher.
  • the diffusion blocking material may also comprise at least one ligand and/or chelating agent.
  • the ligand may comprise any suitable ligand-forming group, such as those found in the porphyrin family (phthalocyanines), the cyanine family, the multiolefin family, the cyclo-olefin family, or the acetylide family.
  • the diffusion blocking material may also comprise at least one liquid crystal material.
  • the diffusion blocking material may contain any type of fused polyarylene such as corenes, perylenes, benzoperylenes, rubrenes, pyrenes, pentacenes, benzofluorenes and similar fused arylenes of sufficient size.
  • the ligand may also be coupled to a metal atom.
  • metal means those elements that are in the d-block and f-block of the Periodic Chart of the Elements, along with those elements that have metal-like properties, such as silicon and germanium.
  • d-block means those elements that have electrons filling the 3d, 4d, 5d, and 6d orbitals sunounding the nucleus of the element.
  • f-block means those elements that have electrons filling the 4f and 5f orbitals sunounding the nucleus of the element, including the lanthanides and the actinides.
  • Prefened metals include indium, silver, copper, aluminum, tin, bismuth, gallium and alloys thereof, silver coated copper, and silver coated aluminum.
  • metal also includes alloys, metal/metal composites, metal ceramic composites, metal polymer composites, as well as other metal composites.
  • compound means a substance with constant composition that can be broken down into elements by chemical processes.
  • the plurality of particles may comprise at least one ligand-metal couple.
  • the ligand- metal couple may comprise copper. It is contemplated that the plurality of particles are physically attracted to the dielectric material. It is further contemplated that the plurality of particles fo ⁇ ns a stop layer.
  • the stop layer is designed to decrease a diffusion of metal atoms into the dielectric material by at least 25%, by at least about 50% and by at least about 75%, depending on the material chosen for the stop layer.
  • Layered materials contemplated herein may also comprise a dielectric material having a plurality of pores, wherein each pore has a pore diameter; and a layer having a plurality of particles or merely a plurality of particles, wherein the plurality of particles have a particle size that is or can become larger than the pore diameter.
  • a method of minimizing the diffusion of metal or diffusible atoms and/or molecules into a material having a plurality of pores comprises: a) providing a precursor material that comprises molecules having a molecule size that is larger than a pore diameter of any one of the plurality of pores; b) providing a solvent carrier solution; c) combining the precursor material and the solvent carrier solution to form a diffusion blocking reactive solution; and d) applying the diffusion blocking reactive solution to the material having a plurality of pores.
  • Another embodiment provides a precursor material whose molecular size is smaller than a pore diameter, but able to expand to block the pore after having been applied by the reactive solution and activated after application, during process where this process may be defined as thermal or chemical treatment or a combination of both thermal and chemical treatments.
  • An additional embodiment provides a precursor material of the same characteristics described above, but capable of CVD deposition.
  • Additional layers of material may be coupled to the layered interface materials in order to continue building a layered component or printed circuit board. It is contemplated that the additional layers will comprise materials similar to those already described herein, including metals, metal alloys, composite materials, polymers, monomers, organic compounds, inorganic compounds, organometallic compounds, resins, adhesives and optical wave-guide materials.
  • a layer of laminating material or cladding material can be coupled to the layered interface materials depending on the specifications required by the component.
  • Laminates are generally considered fiber-reinforced resin dielectric materials.
  • Cladding materials are a subset of laminates that are produced when metals and other materials, such as copper, are incorporated into the laminates. (Harper, Charles A., Electronic Packaging and Interconnection Handbook, Second Edition, McGraw-Hill (New York), 1997.)
  • Spin-on layers and materials may also be added to the layered interface materials or subsequent layers.
  • Spin-on stacked films are taught by Michael E. Thomas, "Spin-On Stacked Films for Low k e ff Dielectrics", Solid State Technology (July 2001), incorporated herein in its entirety by reference.
  • additional layers of materials comprise metals (such as those which might be used to form via fills or printed circuits and also those included in US Patent No. 5,780,755; 6,113,781; 6,348,139 and 6,332,233 all of which are incorporated herein in their entirety), metal diffusion layers, mask layers, anti-reflective coatings layers, adhesion promoter layers and the like.
  • inventions described herein comprise incorporating the materials and/or components into another layered material, an electronic component or a finished electronic product.
  • Electronic components are generally thought to comprise any layered component that can be utilized in an electronic-based product.
  • Contemplated electronic components comprise circuit boards, chip packaging, separator sheets, dielectric components of circuit boards, printed-wiring boards, and other components of circuit boards, such as capacitors, inductors, and resistors.
  • An electronic component or a semiconductor component may comprise a contemplated layered component described herein.
  • Electronic-based products can be "finished” in the sense that they are ready to be used in industry or by other consumers.
  • finished consumer products are a television, a computer, a cell phone, a pager, a palm-type organizer, a portable radio, a car stereo, and a remote control.
  • intermediate products such as circuit boards, chip packaging, and keyboards that are potentially utilized in finished products.
  • Electronic products may also comprise a prototype component, at any stage of development from conceptual model to final scale-up/mock-up.
  • a prototype may or may not contain all of the actual components intended in a finished product, and a prototype may have some components that are constructed out of composite material in order to negate their initial effects on other components while being initially tested.
  • Electronic component means any device or part that can be used in a circuit to obtain some desired electrical action.
  • Electronic components contemplated herein may be classified in many different ways, including classification into active components and passive components.
  • Active components are electronic components capable of some dynamic function, such as amplification, oscillation, or signal control, which usually requires a power source for its operation. Examples are bipolar transistors, field-effect transistors, and integrated circuits.
  • Passive components are electronic components that are static in operation, i.e., are ordinarily incapable of amplification or oscillation, and usually require no power for their characteristic operation. Examples are conventional resistors, capacitors, inductors, diodes, rectifiers and fuses.
  • Electronic components contemplated herein may also be classified as conductors, semiconductors, or insulators.
  • conductors are components that allow charge carriers (such as electrons) to move with ease among atoms as in an electric cunent.
  • Examples of conductor components are circuit traces and vias comprising metals.
  • Insulators are components where the function is substantially related to the ability of a material to be extremely resistant to conduction of cunent, such as a material employed to electrically separate other components
  • semiconductors are components having a function that is substantially related to the ability of a material to conduct current with a natural resistivity between conductors and insulators. Examples of semiconductor components are transistors, diodes, some lasers, rectifiers, thyristors and photosensors.
  • Power source components are typically used to power other components, and include batteries, capacitors, coils, and fuel cells.
  • battery means a device that produces usable amounts of electrical power through chemical reactions.
  • rechargeable or secondary batteries are devices that store usable amounts of electrical energy through chemical reactions.
  • Power consuming components include resistors, transistors, ICs, sensors, and the like.
  • Discreet components are devices that offer one particular electrical property concentrated at one place in a circuit. Examples are resistors, capacitors, diodes, and transistors. Integrated components are combinations of components that that can provide multiple electrical properties at one place in a circuit. Examples are ICs, i.e., integrated circuits in which multiple components and connecting traces are combined to perform multiple or complex functions such as logic.
  • ICs i.e., integrated circuits in which multiple components and connecting traces are combined to perform multiple or complex functions such as logic.
  • EXAMPLE 1 EXPERIMENTAL SIMULATIONS OF COPPER DIFFUSION THROUGH TYPICAL MATERIALS
  • Figures 14-15 show an experimental simulation of a copper atom 10 being introduced to and diffusing through a plurality of silicon atoms 20.
  • Figure 14 shows the plurality of ordered silicon atoms 20 before diffusion of the copper atom 10 into the plurality of silicon atoms 20.
  • Figure 15 shows the plurality of silicon atoms 20 once diffusion of the copper atom 10 has begun and disorder in the plurality of silicon atoms 20 is induced.
  • the calculated E a is less than 0.5 eV in agreement with literature values (0.43 eV -p.12-101 CRC Handbook of Chemistry and Physics 77 th Edition 1996-1997, David R. Lide, Ed *, CRC Press)
  • Figures 16-17 show an experimental simulation of a copper atom 100 being introduced and diffusing through a silica matrix 120.
  • Figure 16 shows the diffusion of the copper atom 100 from the side view, wherein the copper atom 100 is approaching the silica matrix 120.
  • Figure 17 shows the top down view of the diffusion of the copper atom 100 into the silica matrix 120, wherein the copper atom 100 is already diffusing through the silica matrix 120.
  • the calculated E a is about 1.3 eV.
  • Figure 18 shows an experimental simulation of a porous organic material matrix 200 having a plurality of pores 210, wherein the porous organic material matrix 200 is coupled to a substrate 220.
  • the flexibility of the matrix and the interfacial responses of the matrix, i.e. the material design, will be very important when trying to control or eliminate copper diffusion through the porous organic material matrix 200.
  • the calculated E a in a worst case scenario is about 0.3 eV.
  • Figure 19 shows the copper diffusion activation energies that have been determined by the above-described experimental simulations. As shown, porous materials and silicon have activation energies less than about 0.4 eV. For copper atom diffusion to be minimized or eliminated, the minimum activation energy must be greater than about 1.3 eV for a diffusion constant (D) that is less than 1 x 10 "14 cm2/sec at 700K.
  • Figure 20 shows a molecular simulation of a porous material 300 having a pore 310. It is contemplated herein that a blocking molecule 320 can be introduced to the porous material 300, in order to block the pore 310 and control diffusion of diffusible atoms and/or molecules, such as copper atoms.
  • the molecule a) does not diffuse through the pores by itself (greater than about 1.7 eV estimated from modeling experiments); and b) has a high activation energy toward copper diffusion (greater than about 1.3 eV estimated from modeling experiments).
  • Figures 21A, 21B and 22 show examples of blocking agents studied. Simulation experiments of copper through blocking agents suggest that these structures have activation energies toward copper diffusion in excess of 3eV,. Compounds like this have adequate density and rigidity to block copper migration.
  • Blocker molecule "A”, shown in Figure 21A, comprises an organo-siliane based cage blocker molecule (inorganic) in which the surface functionality and the inner rigid core functionality are comprised of an organo-silane; and blocker molecule "B”, shown in Figure 21B , comprises a dendrimer amine-based blocker molecule (organic) surface structure attached to a rigid organic core structure.
  • the blocking molecule shown in Figure 22 comprises a mixed organo-silane and organic structure. These blocker molecules have adequate activation energies toward copper atom diffusion through each of them. As mentioned, two basic types of pores were investigated also: an inorganic silica pore (represented by generic silica) and organic pores (represented by GX3TM, manufactured by Honeywell Electronic Materials, Inc.). A relevant conversion factor is 23.1 kcal/eV.
  • Figure 23 shows graphically that for inorganic and organic blockers on both inorganic and organic pores, the activation energies for diffusion of the blockers themselves increase with blocker size.
  • Figure 23 indicates that the molecules can be designed to meet geometry and energy needs.
  • Figure 24 shows two graphs (A) and (B), wherein graph A shows dielectric-blocker interaction energy (kcal mol) versus activation energy (kcal mol), and graph B shows blocker/pore opening ratio versus dielectric-blocker interaction energy (kcal/mol). Generally, a lower interaction between the blocker and the pore (or substrate surface) is necessary to increase the activation energy.
  • Figure 25 shows two graphs (A) and (B), wherein graph A shows the blocker cross section/pore opening versus the activation energy, and graph B shows the blocker/pore area ratio versus relative activation energy.
  • graph A shows the blocker cross section/pore opening versus the activation energy
  • graph B shows the blocker/pore area ratio versus relative activation energy.
  • the activation energy needed for a diffusion constant of less than about 1 x 10 ⁇ 14 is about 40 kcal/mol. From these graphs, it can be seen that, in general, the better the binding, the worse the activation energy. The most stable trend is the GX3TM/organic dendrimer and SiO 2 /silica blocker. These results suggest that, in general, interaction is detrimental to the activation energy.
  • Figure 26 shows the activation energy summaries from the above-described experimental simulations.
  • Figure 27 shows two graphs (A) and (B) that indicate the differences between a rigid pore (fixed) and a flexible pore (moving or “unfixed”). From these graphs, it is understood that a) the response to diffusion involves a matrix "response"; and b) for GX3TM, a flexible matrix helps to stop diffusion of the blocker in conjunction with low interaction (binding energy or BE).
  • Figure 28 confi ⁇ ns the information shown in Figure 27 by indicating that when a GX3TM pore is used with silica blockers only, the worst case is predicted by assuming a rigid pore. Therefore, for most organics, when the matrix is generally rigid with no pendant highly branched structures, the likelihood of diffusion increases.
  • the architecture or structure of the molecule becomes apparent. Just as the dielectric matrix must have a low "effective viscosity" by containing movement of the matrix, the blocker has the same constraints to the architecture.
  • an embodiment will consist of a highly rigid core surrounded by a highly branched (dendrimer) surface.
  • the rigidity size of the core is chosen large enough to contain movement of the highly branched surface, h addition the surface is branched enough to contain movement of the surface and to increase the atom density of the blocker surface.
  • Preferably surface groups will also contain functionality that decreases the interaction with the pore, to further limit diffusion of the blocking molecule itself.
  • the surface of the blocker may contain other functionalities in order to provide reactivity or reactivtiy catalysis with the underlayer, polymerization of the blocker, or cany precursors for other processes such as CVD/ALD .
  • Figures 21A, 21B and 22 show examples of blocking structures, which can be used as described as passive blocking agents, or can be further functionalized for other processes important to the electronic materials industry.
  • the surface of the blocker structure may consist of an active chemical etchant or cleaner, such as a fluoride-based silica etchant or an amine-based hydroxy cleaning agent.
  • the termination functionality of the surface may contain an ion pair of an ammonium fluoride, ammonium hydroxide as the active etchant or cleaner.
  • the terminating functionality of the surface may contain a photosensitive superacid, which serves as the etchant or cleaner upon irradiation.
  • the superacid may also provide catalytic conditions for further breakdown of the underlayer.
  • the blocking nature of the size and shape of the molecule limits penetration of the blocking molecule into the dielectric material, but has reactive functionality to etch or clean only the immediate surface providing a controlled etching or controlled cleaning molecule.
  • the surface functionality of the blocking molecule can also contain a complexed copper or metal that can be further reduced or directly serve as a seed layer for metal deposition.
  • a complex may contain ligands such as in the porphyrin family (phthalocyanines), the cyanine family, the multiolefin family, the cyclo- olefin family, the cyclopentadienide family, or the acetylide family.
  • the surface functionality can contain a crosslinkable group (thermal, chemical or photochemical), which serves to densify the immediate surface of the dielectric.
  • Crosslinkable functionalities may be olefins or multiolef ⁇ ns, cyclo-olefins, acrylate, methacrylates, cyano-acrylates, cyanates, epoxies, acetylides, silanes, alkoxy organosilanes, acetoxy organosilanes, cyanoacrylates, isocyanates, isocyanurates, ⁇ , ⁇ unsaturated aldehydes or ketones, , ⁇ unsaturated esters, ⁇ , ⁇ unsaturated amides, , ⁇ unsaturated imides.
  • the crosslinkable functionality may be comprised of a reactant designed to specifically react to a substrate surface functionality.
  • reactant combinations include an alcohol or amine for a surface epoxy or isocyanate; an ester or anhydride for a surface alcohol, amine or epoxy; an epoxy or isocyanate for a surface alcohol or amine etc.
  • This permanent barrier can be used before other processes such as etching (dry/wet), cleaning, CVD application or CMP (chemical mechanical polishing) procedure in order to provide enhanced resistance of a surface to the process.
  • CMP chemical mechanical polishing
  • the terminating functionality of the surface may contain a photosensitive catalyst such as a free radical or superacid generator, which serves as a crosslink catalyst for the immediate surface of the dielectric or the immediate interface of the blocker molecule/dielectric or serve as the catalyst for curing of the next process layer.
  • a photosensitive catalyst such as a free radical or superacid generator
  • Such catalysts include the onium salts (for example, diaryl iodonium salts e.g. diphenyliodonium, triaryl sulfonium salts, phenacylsulfonium salts, fe ⁇ ocenium salts, triphenylpyrylium dialkylphenacylsulfonium salts etc.), peroxides and peracids.
  • the surface functionality is adjusted to be chemically compatible with the subsequent layer in a process.
  • the blocker molecule can be used to enhance adhesion of a dielectric, photoresist, BARC layer or metal layer depending upon when it is applied in the IC process. Enhanced adhesion may be obtained by functionalizing the surface with groups that are attracted to or react with the next contact layer.
  • the blocker molecule can also be used to enhance wetting (a surface wetting agent) of a subsequent layer, without disruption of the underlayer. Surface wetting enhancement may be done using attachment of surfactant functionalities. Typical functionalities used in surfactants include long chain fatty acids, polyoxyethylenes, polyoxypropylenes, carbohydrates and long chain organic acids or sulfonic acids.
  • the blocker can also be used to enhance CVD/ALD deposition at the specific blocker site, depending upon the CVD/ALD precursor. For instance if the surface functionality of the blocker molecule has better binding ligands to a metal CVDALD precursor, preferential deposition should occur at these sites without wony of further penetration of the CVD/ALD precursor to the interior of the underlayer. If the blocker molecule surface functionality consists of ligand molecules specific to copper, or other metals, it may be preferentially deposited on those surfaces as a banier layer or a precursor layer.
  • Such ligands include: the porphyrin family (phthalocyanines), the cyanine family, the multiolefin family, the cyclo- olefin family, the cyclopentadienide family, or the acetylide family
  • the functionality of the blocking molecule can be adjusted to allow differential diffusion of the molecule in order to cany specific species into the interior of a structure.
  • the differential diffusion may be controlled by either control of the blocking molecule size or attachment of long side single strand chains from which the activity is defined by the chain functionality or at the end of the chains.
  • This allows partial penetration of other species such as metal precursors, which can be subsequently reacted to metals, metal oxides and mixed inorganic compounds or intermetallics. If the buried precursors are reacted to metals, the new composite material can serve as a resistor structure, which is fabricated without additional photolithography, and where subsequent metallization of the surface will provide the electrodes.
  • capacitors can be fabricated from the initial dielectric structure. If the buried precursors are fenOelectric inorganics, a fe ⁇ oelectric switch structure can be fabricated. If the buried precursors are CVD graphite/diamond catalysts, an enhanced thermal layer can be fabricated.
  • a differential dielectric constant may be fabricated in the underlying dielectric layer by attachment of long chain reactant species to the blocking molecule.
  • the amount of dielectric constant change will be defined by the length of the long chain species and the functionality attached.
  • the buried reactant such as a catalyst, a superacid, an amine salt or a solvating or co-solvating functional group.
  • the superacid or the catalyst may function to either further crosslink (increase dielectric constant and increase etch resistance) or catalytically degrade (decrease dielectric constant) the underlayer.
  • the amine salt may serve to degrade the immediate surrounding to the long chain functionality.
  • the solvating or co- solvating functional group such as polyethylene oxide, polypropylene oxide, polylactic acid, polyethylactate, polyvinylsulfonic acid, may serve to co-solvate interior material when processed with a final clean step, or may serve to initiate and enhance etch rate (decrease etch resistance).

Abstract

A layered component is described that includes: a substrate; a dielectric material having a plurality of pores, wherein the material is coupled to the substrate; and a diffusion blocking material coupled to the low k dielectric material, wherein the diffusion blocking material is attracted to the low k dielectric material. A layered material is also described that includes: a dielectric material having a plurality of pores, wherein each pore has a pore diameter; and a layer comprising a plurality of diffusion blocking particles, wherein the particles have a article size that is larger than the pore diameter. Methods of minimizing the diffusion of metal atoms into a material having a plurality of pores are further disclosed that include: providing a precursor material that comprises molecules having a molecule size that is larger than a pore diameter of any of the plurality of pores; providing a solvent carrier solution; combining the precursor material and the solvent carrier solution to form a diffusion blocking reactive solution; and applying the diffusion blocking reactive solution to a layer of porous material.

Description

LAYERED COMPONENTS, MATERIALS, METHODS OF PRODUCTION AND USES THEREOF
FIELD OF THE SUBJECT MATTER
The field of the subject matter described herein is layered components for electronic and semiconductor applications, the materials and methods of production thereof, including controlling and minimizing diffusion of conductive or performance-degrading atoms and/or molecules into underlying and adjacent layers of materials.
BACKGROUND OF THE SUBJECT MATTER h the past 20 years, integrated circuit feature sizes have dropped about 100 fold from 10,000 nm to about 100 nm. Integrated circuit technology's push for greater density/smaller size, greater cost efficiency and higher performance has generally ignored the effect of feature size on material transport. When feature sizes approach and drop down below about 100 nm, atomic scale issues begin to influence performance. For example, recently developed copper conductors, which are utilized in high performance devices, are exhibiting a resistivity dependence on feature size. Table 1 shows how feature size and process complexity are expected to evolve into the next 20 years and how material selection is and will continue to be an important consideration in the performance of layered materials and components.
Another example of this performance initiative has been to develop dielectric constant materials having a dielectric constant (k) less than about 3 to meet all of the properties required for current and future dual damascene integration. In order to decrease the dielectric constant of these materials below about 3 (which are materials considered as "low k dielectric materials" or "low dielectric constant materials"), controlled porosity must be introduced into the dielectric material. Porosity is required because the available synthesized high temperature materials have hit the fundamental material limits of their dielectric properties. Atomic scale pores (about 2 nm in size) must have tight size control that is retained at elevated temperatures. Gaseous and atomic transport in these porous systems must be investigated and improved, since these materials experience a wide range of temperature and gaseous processing during interconnect integration, h addition, pore sizes in low k di electrics will need to be on the order of 5% of the mi mum interconnect feature size to provide minimal via/trench sidewall roughness for subsequent barrier/seed depositions. Another consideration is that even without extrinsically generated porosity, free volume exists in any material matrix that is available for transport of materials. This "open space" is present in all materials - whether pores are intentionally added/generated in the material.
Prior Art Figure 1 shows a simulation of how the atomic arrangement of amorphous SiO - a commonly used semiconductor intermetal dielectric - may look after formation by a thermal or CVD growth process. Prior Art Figure 2 shows a log-normal model of the interstitial pore size distribution of amorphous silica, as compared to several gases. (G. S. Nakayama and J. F. Shackelford, J. Non-Crystalline Solids (126), 249-254 (1990)). Although practitioners in the semiconductor industry have routinely used this and similar materials for decades, it is relatively unappreciated that some gases can readily move through the matrix of this material, especially for thin films at elevated temperatures. Barrer examined the diffusion of gases through fused silica, and showed that transport can be substantial even at room temperature. (R. M. Barrer, Diffusion In and Through Solids, Cambridge University Press, 1941). These results are collected and considered before one begins to consider materials with porosity. Prior Art Figure 3 shows the computationally generated spatial arrangement of atoms in a polymethylmethacrylate (PMMA) polymer, providing a perspective of the free space that can be present in polymeric materials. The free space within a material can be accessible to diffusion depending upon variables such as temperature, lattice energy, chain rigidity, and other bond forces that would determine rearrangement of the atomic structure.
In addition to the conditions and processes mentioned above, chemical vapor deposition (hereinafter referred to as "CVD") processes can be especially detrimental to materials and layered materials, since CVD processes are performed in a gaseous state at high temperatures - encouraging penetration and migration of conductive atoms and molecules into nearby and/or adjacent materials.
Diffusion of species into adjacent layers, such as dielectric layers, will influence many performance characteristics and attributes, including a) dielectric constant, b) etch behavior, c) chemical mechanical processing (CMP) survivability, d) clean behavior and e) electrical reliability. Therefore, the analysis of the likelihood of diffusibility and the determination of the materials and conditions that can reliably control the likelihood of diffusibility would be a beneficial analysis to undertalce. To this end, one of the methods used to determine if a material has a likelihood of diffusibility - the effective viscosity of the material and the pore diameter should be investigated, calculated, documented and combined with one another to determine the diffusion constant - which is an indicator of the diffusibility of a material into an underlying material. Related concepts are described in "Transport Considerations in Porous Low K and Metal Interconnect Systems Approaching Atomic Dimensions''' by Michael E. Thomas, Douglas M. Smith, Stephen Wallace and Nancy Iwamoto (IITC June 3-5, 2001, San Francisco, CA). Once the analysis is complete, recognition and assembly of the proper/suitable layered materials, single-layer materials and components would be ideal to increasing the performance of integrated circuits and related components, while increasing the cost efficiency during design and production of the material and/or component. In addition, once the effective viscosity of the material and the pore diameter of the material are determined, the material should be evaluated to determine if an additional layer of material, reactive component or integrated component should be or could be added or coupled between the material in question and the potentially high diffusible layer or material.
SUMMARY OF THE SUBJECT MATTER
A layered component is described herein that includes: a substrate; a dielectric material having a plurality of pores, wherein the material is coupled to the substrate; and a diffusion blocking material coupled to the dielectric material, wherein the diffusion blocking material is attracted to the dielectric material. h additional embodiments, a layered material is described herein that includes: a dielectric material having a plurality of pores, wherein each pore has a pore diameter; and a layer comprising a plurality of diffusion blocking particles, wherein the particles have a particle size that is larger than the pore diameter. hi yet other embodiments, methods of minimizing the diffusion of metal atoms into a material having a plurality of pores are disclosed herein that include: providing a precursor material that comprises molecules having a molecule size that is larger than a pore diameter of any of the plurality of pores; providing a solvent carrier solution; combining the precursor material and the solvent carrier solution to form a diffusion blocking reactive solution; and applying the diffusion blocking reactive solution to a layer of porous material.
BRIEF DESCRIPTION OF THE FIGURES
Table 1 shows how feature size and process complexity will impact materials selection.
Prior Art Fig. 1 shows a molecular simulation of how the atomic arrangement of amorphous SiO2 may look after formation by a thermal or CVD growth process.
Prior Art Fig. 2 shows a log-normal model of the interstitial pore size distribution of amorphous silica.
Prior Art Fig. 3 shows the computationally generated special arrangement of atoms in a polymehtyln ethacrylate (PMMA) polymer.
Fig. 4 shows a simulation of the Pore Size versus Rate of Copper Migration for a contemplated layered material.
Fig. 5 shows the Dielectric Layer Density versus the Rate of Copper Migration for a contemplated layered material. Fig. 6 shows the Interfacial C Density versus the Rate of Copper Migration for a contemplated layered material.
Fig. 7 shows a "master curve" that gives the range of material diffusion coefficient values for transport of a gas, liquid or solid species through a material of varying thickness, x.
Fig. 7A shows the "master curve" from Figure 7 with the small gas molecule transport regime highlighted.
Fig. 8 shows the relationship between diffusant size and pore size.
Fig. 9 shows an example of viscosity range estimates using a minimum lagtime of 60 seconds.
Fig. 10 shows a graph of the log (particle diameter in m) versus log (particles/m3).
Fig. 11 shows a graph of the log (particle radius in cm) versus log (diffusion coefficient).
Fig. 12 shows two graphs of the log (particle radius in cm) versus log (diffusion coefficient).
Fig. 13 shows a plot of the diffusion coefficient of several standard gases.
Fig. 14 shows a plurality of ordered silicon atoms.
Fig. 15 shows the plurality of silicon atoms once diffusion of the copper atom has begun.
Fig. 16 shows the diffusion of a copper atom from a side view.
Fig. 17 shows the diffusion of a copper atom from a top down view.
Fig. 18 shows an experimental simulation of a porous organic matrix.
Fig. 19 shows copper diffusion activation energies.
Fig. 20 shows a molecular simulation of a porous material.
Fig. 21 A shows several contemplated silica-based blocking agents where the rigid core and surface branched structure are organosilane-based.
Fig. 2 IB shows several contemplated organic blocking agents where the rigid core and surface branched structure are all organic based.
Fig. 22 shows several contemplated mixed organic/inorganic blocking agents where the rigid core and surface branched structure are a mixed silane and organic
Fig. 23 shows the blocker/pore area ratio versus relative activation energy. Fig. 24 A shows dielectric blocker interaction energy (kcal/mol) verses activation energy . (kcal/mol).
Fig. 24B shows blocker/pore opening ratio versus dielectric-blocker interaction energy (kcal/mol).
Fig. 25 A shows the blocker cross section/pore opening versus the activation energy.
Fig. 25B shows the blocker/pore area ratio versus relative activation energy.
Fig. 26 shows the activation energy summaries from contemplated experimental simulations.
Fig. 27 shows two graphs (A) and (B) that indicate the differences between a rigid pore (fixed) and a flexible pore (moving or "unfixed").
Fig. 28 shows that when a GX3™ pore is used with silica blockers only, the worst case is predicted by assuming a rigid pore.
Fig. 29 shows that for silicon-based pores, the matrix effect difference is less pronounced
Fig. 30 shows several contemplated embodiments using dendrimer T8 silsesquioxane cores.
Fig. 31 shows several contemplated embodiments using dendrimer T8 silsesquioxane cores.
Fig. 32 shows several contemplated embodiments using dendrimer T8 silsesquioxane cores.
Fig. 33 shows several contemplated embodiments using GX3™ pores.
Fig. 34 shows several contemplated embodiments using silica pores.
DETAILED DESCRIPTION
Layered components, single layer components and materials are described herein that comprise at least one layer or material that can effectively reduce the diffusion of conductive and/or metal atoms and/or molecules into the underlying material (such as a porous dielectric layer). Essentially, as previously described, all materials comprise a plurality of pores or voids that allow for the diffusion and subsequent contamination of metal atoms, conductive materials or other undesirable materials and atoms into the underlying/porous layer, (see "Copper Contamination Induced Degradation of MOSFET Characteristics and Reliability''' by M. Inohara, H. Sakurai, T. Yamaguchi, H. Tomita, T. Iijima, H. Oyamatus, T. Nakayama, H. Yoshimura and Y. Toyoshima, 2000 Symposium on VLSI Technology Digest of Technical Papers).
As mentioned earlier, once a material is selected to be used as a single-layer material or component or to be incorporated into a layered material, the likelihood of diffusibility should be calculated. In order to adequately .determine the likelihood of diffusibility, migration and critical concentration of the diffusing atoms and/or molecules should be reviewed and investigated. The issue of migration and critical concentration can be investigated from several different perspectives, including modeling and simulation or application of diffusion theory.
One way to gain a perspective on the likelihood of diffusibility is to simulate a typical migration scenario, such as a layered material that comprises copper coupled to an organic dielectric When molecular modeling is utilized to examine migration stability of a copper layer in contact next to an organic dielectric, where the layered material is allowed to equilibrate at the target temperature of 700K, it is found that copper migration can be blocked depending upon pore size of the dielectric material, density of the interfacial layer formed by the dielectric material or the density of the dielectric. Figure 4 shows a simulation of the Pore Size versus rate of copper migration for a contemplated layered material. As shown, the pore size should be less than 25 Angstroms to reduce or stop migration, according to the simulation. Figure 5 shows the dielectric layer density versus the rate of copper migration for a contemplated layered material. As shown, the dielectric layer density should be around 0.3 to 0.4 g/ml to reduce or stop migration (this includes the interfacial region described in Figure 6). Figure 6 shows the density of the dielectric material immediately next (the top 2- 5A of dielectric surface) to the copper layer (Interfacial C Density) versus the rate of copper migration for a contemplated layered material. As shown, the Interfacial C density should be around 1.5 g/ml to reduce or stop migration. As suggested, the immediate surface next to the copper interface is important at reducing or stopping migration tendencies. Similar results have been found for inorganic dielectric materials. Modeling experiments have further shown that by changing the choice of interfacial substituents, the interfacial density can be increased to prevent migration on both inorganic and organic materials. The interfacial density could be changed by choice of pendant groups attached to the basic dielectric structure or by reactive components from either formulation or from process after dielectric formation such as CND, ALD, plasma etch, or liquid and solvent process such as wet etch, clean, CMP (chemical mechanical polishing) which have a solvent involved and can potentially cany reactive groups to the dielectric.
Another method to gain perspective on the likelihood of diffusibility is by using diffusion theory, as alluded to earlier. Diffusion theory involves investigating the rate of gas transport through a material - which is the material's permeability. Specifically, the term "permeability" is defined as the measure of material flux through a unit cross section of material of thickness, x, under a pressure differential. Permeability is related to the diffusion coefficient in Equation (1) by the solubility of the diffusing species in the material:
P = D x S (1) where: P = Permeability of the material (atoms-cm/cm2-sec-torr)
D = Diffusion Coefficient (cm2/sec) S = Solubility (atoms/cm3 of solid-torr)
Typical activation energies associated with the diffusion coefficient D and gas solubility S can be obtained for a membrane through which gas diffusion has reached steady state, using the following expression (Equation (2)):
= x 2 /6D (2) where: x = thickness of the membrane (cm) Lag = Diffusion time (sec) required to establish steady state diffusion D = Diffusion coefficient (cm /sec)
D can be calculated from the time required to attain steady state permeation using Equation (2). (W. Jost, Diffusion, Third Edition, Academic Press, 1960. and H. Daynes, Proc. Royal Society, A (97) 286 (1920)). The permeability, P, measured at steady state along with D, give the solubility of the gas, S, in the material. Equation (2) is highly informative, since it indicates what the diffusion coefficient has to be regardless of the material, for transport of gases or other materials through a dielectric or metal film of thickness, x.
Figure 7 shows a "master curve" that gives the range of material diffusion coefficient values for transport of a gas, liquid or solid species through a material of varying thickness, x, for a time of 60 seconds based on Equation (2). These conditions are typical of single wafer process times. Figure 7A shows the same "master curve" that is shown in Figure 7, however, the small gas molecule transport regime for interlayer dielectrics (ILDs) is highlighted. Based on the information in Figures 7 and 7A, it is clear that interconnect materials will need to possess very small diffusion coefficients to resist appreciable gaseous, liquid or solid penetration in the material during processing. It is also evident that a material should have a diffusion coefficient less than about 10"12 cm2/sec to avoid complete diffusional transport of a foreign species through it, if the lateral or vertical dimension of the material is only 100 nm thick.
If we have an estimation of the activation energy for diffusion (or the lattice movement activation energy, Ea) we can make an estimate of the diffusion coefficient:
D=(kT/h)d2exp[-Ea RT]
where D is the diffusion coefficient, d is the lattice spacing or pore dimension in a low k dielectric. By rearranging this equation to look at the pore dimensions, d2=Dh/[kTexp(-E/RT)]
and then comparing it to a combination of Fick's first law and Stokes law to look at the diffusant dimensions: r=kT/[6(pi)nD]
(where r is the diffusant radius, n is the viscosity and D is the diffusion coefficient.), we can use these relationships to look at the effects of the dielectric matrix through the viscosity. This analysis gives a maximum D of ~ 1 x 10 ~9 m2/sec using a minimum pore size of 20 A.
Using these same equations that show the quantitative relationship between the pore diameter and the diffusant radius, the required viscosity can also be estimated - at greater than 100 Pa-sec, for the range of diffusant diameter and pore diameter between 1-100 A, and which also assumes that the diffusant diameter is greater than the pore diameter.
The usable viscosity range can also be estimated, as it is known that, in a practical sense, the diameter of the diffusant must be larger than the pore diameter, and in a contemplated embodiment, there is a minimum process time at 700k of 60 sec (lagtime) with a maximum feature size of lOOA. That is, the theoretical lagtime ~ a2/6D where "a" is the feature size and the lagtime is at least 60 seconds. By comparing the diffusant and pore diameters it becomes apparent that the viscosity is probably greater than 100 Pa-sec (Figures 8 and 9).
A more realistic coefficient range has also been developed. According to modeling experiments, pores can be blocked against migration of adjacent atoms and/or molecules. So the change in diffusion coefficients and the matrix properties can be accounted for once a material configuration is established that is able to block migration by analyzing the theoretical relationships for an effective viscosity, which can be defined by an examination of conditions.
Combining the relationship between diffusion coefficient and viscosity with the definitions of defining the relationships between viscosity and mean-free path: D=RT/N6πnr n=pcL/2 and L=l/[(Y2)π(2r)2x]
where L= mean free path r=particle radius c=particle speed n=viscosity p=density x=particle concentration
Formulae n=pc/[2(^2)π(2r)2x] and D=[2RT]/[N6πpc2rL] are generated, which result in theoretical relationships back to the simulation and describes the relationship between the diffusion coefficient, the viscosity, the particle speed and mean path and the particle concentration. The particle speed is based upon the model and the average particle velocity; and a critical particle concentration of 1015 atoms/cc for leakage current (see previously cited "Copper Contamination Induced Degradation ofMOSFET Characteristics and Reliability"). So now a method is established to estimate what the effective viscosity should be if there is sufficient density within the matrix to stop migration based upon the argument of the mean free path. Examination of these relationships suggest that the diffusion coefficient must be less than 1 x 10 ~13 m2/sec for the particle diameter to be greater than or equal to the pore diameter and that there must be a corresponding "effective viscosity" of the dielectric. It also suggests that to get below critical concentration we must have a particle diameter range of 1-1 OOA, and the effective viscosity might range anywhere greater than 0.5 Pa-sec, as shown in Figure 10. Furthermore, modeling of copper migration through a 55 A layer shows that copper atoms migrate at about 524 m/sec.
However, if reasonable process times and temperatures as discussed in the Background Section are to be maintained, then the diffusion coefficients must be even lower than 1 x 10"13 m2/sec and the effective viscosity really probably needs to be greater than 50 Pa-sec. This information is in rough agreement with the initial analysis, however for a much lower effective diffusion coefficient than estimated originally without consideration of particle concentration. These ranges are in a reasonable range for the diffusion coefficient as well as for the expected viscosity and target diffusant radii, as shown in Figure 11. (See Figures 7 and 10-12)
Figure 13 shows a plot of the diffusion coefficient of a number of standard gases that can pass through polymeric materials and silica. The data indicates that there is about a 5 order of magnitude increase in diffusivity as the polymer is heated from room temperature to about 450°C. Even at 25°C, the gas diffusivity is so high that transport occurs through most solid polymeric materials in times substantially less than about 60 seconds.
For high temperature low k materials, such as organic polymers (polyimides), inorganic polymers (polysiloxanes, PDMS), or even amorphous silica, it is evident that small gas molecules can easily move through the materials during typical process times. Ironically, if this situation did not exist, it would be difficult to form porous low k dielectric materials utilizing a volatile porogen, used for pore formation, where the reaction product must rapidly leave the material. It is also possible that gases and other atomic species will flow through very thin (about 3 nm) barrier metals proposed for future use in semiconductor applications.
Using the information, equations and modeling experiments previously described herein, a layered component contemplated herein has been designed that comprises: a substrate; a dielectric material having a plurality of pores, wherein the material is coupled to the substrate; and a diffusion blocking material coupled to the dielectric material, wherein the diffusion blocking material is physically attracted to the dielectric material. The layered component contemplated herein may also comprise a diffusion blocking material that is not on the component in the form of a layer, but is instead being used to "block" the individual pores/voids and not to cover the entire underlying layer. In some embodiments, the diffusion blocking material will react with the underlying dielectric material or layer, and in other embodiments, the diffusion blocking material will not be reactive with the underlying dielectric material or layer. In other embodiments, the diffusion blocking material will react with the underlying low k dielectric material or layer, and in other embodiments, the diffusion blocking material will not be reactive with the underlying low k dielectric material or layer.
In yet other embodiments the diffusion blocking layered component contemplated may consist of a densified layer of the material or low k material or contain phase-separated elements of the material or low k material densified in such a manner as to block diffusion of species. In still other embodiments, whether reactive or non reactive, the blocking material may be self-assembled at the surface of the dielectric using surface energy (from both dielectric and blocking material), adsorption, absorption, solubility, adhesion/cohesion, and shape or molecular architecture of the blocking material and as mechanistic drivers.
In contemplated embodiments, the diffusion blocking materials are responsible for a significant decrease in migratory atoms and/or molecules when compared to a single-layer or layered component that does not comprise a diffusion blocking material coupled to a dielectric material and/or a low dielectric material. A significant decrease in migratory atoms and/or molecules is understood to mean at least about a 51% decrease in migratory atoms and/or molecules when compared to a single-layer or layered component that does not comprise a diffusion blocking material coupled to a dielectric material and/or a low dielectric material. h other contemplated embodiments, the diffusion blocking materials are responsible for at least about a 60% decrease in migratory atoms and/or molecules when compared to a single-layer or layered component that does not comprise a diffusion blocking material coupled to a dielectric material and/or a low' dielectric material. In yet other contemplated embodiments, the diffusion blocking materials are responsible for at least about a 75% decrease in migratory atoms and/or molecules when compared to a single-layer or layered component that does not comprise a diffusion blocking material coupled to a dielectric material and/or a low dielectric material. In preferred embodiments, the diffusion blocking materials are responsible for at least about a 90% decrease in migratory atoms and/or molecules when compared to a single-layer or layered component that does not comprise a diffusion blocking material coupled to a dielectric material and/or a low dielectric material. In even more preferred embodiments, the diffusion blocking materials are responsible for at least about a 95% decrease in migratory atoms and/or molecules when compared to a single-layer or layered component that does not comprise a diffusion blocking material coupled to a dielectric material and/or a low dielectric material.
One of the more important concepts herein, however, is that the diffusion blocking materials, particles, molecules or compounds can a) react with the pore wall, b) diffuse into the pores and react at or with the walls to decrease the pore diameter, and thus does not allow further diffusion of substances smaller than the new pore diameter c) diffuse into the pore, expand in the pore to block the pore and thus cannot diffuse further themselves because of size; d) diffuse into the pore, expand inside the pore and react at or with the pore wall; e) x reduce the effective pore diameter; f) block the pore and reduce the effective diffusion constant and/org) a combination thereof. In some preferced embodiments, rigid molecules that are attached to rings are used. These molecules are thermally activated to obtain ring expansion (expansion in size). Ring-opening transformations would also be useful in several embodiments. In other prefened embodiments rigid core molecules attached to a layer(s) of highly branched chains may be used. The rigid core and branched structure are chosen so that no amount of theπnal, mechanical or other force may adequately create accessible free space for diffusion. In other embodiments, a solution or dilute solution may be useful having particles and/or diffusion blocking material that has a size greater than the surface underlying pore diameter or the surface accessible free space.
It should also be appreciated that the diffusion blocking material or component can be designed to block the diffusion of gases, liquids, metals and additional unwanted materials from diffusing into the underlying layer or material. The gases, liquids, metals and other/additional unwanted materials may be deposited by any process generally used in electronic materials development and processing, including CND/ALD (atomic layer deposition) depositions, liquid cleans and etches of dielectric materials, gaseous thermal processing and gas etching. If the diffusion blocking material is applied by a process separate to the dielectric material forming process, it may also1 be carried by any of these same processes used in electronic fabrication.
Surfaces contemplated herein may comprise any desirable substantially solid material, such as a substrate, wafer or other suitable surface. Particularly desirable substrate layers would comprise films, organic polymer, inorganic polymer, glass, ceramic, plastic, metal or coated metal, or composite material. Surface and/or substrate layers comprise at least one layer and in some instances comprise a plurality of layers. In preferred embodiments, the substrate comprises a silicon or germanium arsenide die or wafer surface, a packaging surface such as found in a copper, silver, nickel or gold plated leadframe, a copper surface such as found in a circuit board or package interconnect trace, a via-wall or stiffener interface ("copper" includes considerations of bare copper and it's oxides), a polymer-based packaging or board interface such as found in a polyimide-based flex package, lead or other metal alloy solder ball surface, glass and polymers such as polyimide, BT, and FR4. In more prefened embodiments, the substrate comprises a material common in the integrated circuit industries as well as the packaging and circuit board industries such as silicon, copper, glass, and another polymer. Suitable surfaces contemplated herein may also include another previously formed layered stack, other layered component, or other component altogether. An example of this may be where a dielectric material and CND barrier layer are first laid down as a layered stack - which is considered the "surface" for the subsequently spun-on layered component.
At least one layer is coupled to the surface or substrate. As used herein, the term "coupled" means that the surface and layer or two layers are physically attached to one another or there's a physical attraction between two parts of matter or components, including bond forces such as covalent and ionic bonding, and non-bond forces such as Van der Waals, electrostatic, coulombic, hydrogen bonding and/or magnetic attraction. Also, as used herein, the term coupled is meant to encompass a situation where the surface and layer or two layers are directly attached to one another, but the term is also meant to encompass the situation where the surface and the layer or plurality of layers are coupled to one another indirectly - such as the case where there's an adhesion promoter layer between the surface and layer or where there's another layer altogether between the surface and layer or plurality of layers.
As used herein, the term "low dielectric constant" means a dielectric constant measured at 1 MHz to 2 GHz, unless otherwise inconsistent with context. It is contemplated that the value of the dielectric constant of a low dielectric constant material or layer is less than about 3. In a preferred embodiment, the value of a low dielectric constant material or layer is less than about 2.5. In a more prefened embodiment, the value of a dielectric constant material or layer is less than about 2.
Contemplated dielectric and low dielectric materials comprise inorganic-based compounds, such as silicon-based disclosed in commonly assigned US Patent 6,143,855 and pending US Serial No. 10/078919 filed February 19, 2002; (for example Honeywell NANOGLASS® and HOSP® products), gallium-based, germanium-based, arsenic-based, boron-based compounds or combinations thereof, and organic-based compounds, such as polyethers, polyarylene ethers disclosed in commonly assigned US Patent 6,124,421 (such as Honeywell FLARE™ product), polyimides, polyesters and adamantane-based or cage-based compounds disclosed in commonly assigned WO 01/78110 and WO 01/08308 (such as Honeywell GX-3™ product). The dielectric and low dielectric materials may be applied by spin coating the material on to the surface, dip coating, spray coating, rolling the material on to the surface, dripping the material on to the surface, and/or spreading the material on to the surface.
As used herein, the phrases "spin-on material", "spin-on organic material", "spin-on composition" and "spin-on inorganic composition" may be used interchangeable and refer to those solutions and compositions that can be spun-on to a substrate or surface using the spin coating application process. It is further contemplated that the phrase "spin-on-glass materials" refers to a subset of "spin-on inorganic materials", in that spin-on glass materials refer to those spin-on materials that comprise silicon-based compounds and/or polymers in whole or in part. Examples of silicon-based compounds comprise siloxane compounds, such as methylsiloxane, methylsilsesquioxane, phenylsiloxane, phenylsilsesquioxane, methylphenylsiloxane, methylphenylsilsesquioxane, silazane polymers, silicate polymers and mixtures thereof. A contemplated silazane polymer is perhydrosilazane, which has a "transparent" polymer backbone where chromophores can be attached.
As used herein, the phrase "spin-on-glass materials" also includes siloxane polymers and blockpolymers, hydrogensiloxane polymers of the general formula (Ho_ι.oSiOι.5_2.o)x and hydrogensilsesquioxane polymers, which have the formula (HSiOι.5)x, where x is greater than about four. Also included are copolymers of hydrogensilsesquioxane and an alkoxyhydridosiloxane or hydroxyhydridosiloxane. Spin-on glass materials additionally include organohydridosiloxane polymers of the general fonnula (Ho_ι.oSiOι.5-2.o)n(Ro-ι.oSiOι.5. 2.o)m, and organohydridosilsesquioxane polymers of the general formula (HSiOι.5)n(RSiOι.5)m, where m is greater than zero and the sum of n and m is greater than about four and R is alkyl or aryl. Some useful organohydridosiloxane polymers have the sum of n and m from about four to about 5000 where R is a C1-C20 alkyl group or a C6-Cι2 aryl group. The organohydridosiloxane and organohydridosilsesquioxane polymers are alternatively denoted spin-on-polymers. Some specific examples include alkylhydridosiloxanes, such as methylhydridosiloxanes, ethylhydridosiloxanes, propylhydridosiloxanes, t-butylhydridosiloxanes, phenylhydridosiloxanes; and alkylhydridosilsesquioxanes, such as methylhydridosilsesquioxanes, ethylhydridosilsesquioxanes, propylhydridosilsesquioxanes, t-butylhydridosilsequioxanes, phenylhydridosilsesquioxanes, and combinations thereof. Several of the contemplated spin-on materials are described in the following issued patents and pending applications, which are herein incorporated by reference in their entirety: (PCT/USOO/15772 filed June 8, 2000; US Application Serial No. 09/330248 filed June 10, 1999; US Application Serial No. 09/491166 filed June 10, 1999; US 6,365,765 issued on April 2, 2002; US 6,268,457 issued on July 31, 2001; US Application Serial No. 10/001143 filed November 10, 2001; US Application Serial No. 09/491166 filed January 26, 2000; PCT/US00/00523 filed January 7, 1999; US 6,177,199 issued January 23, 2001; US 6,358,559 issued March 19, 2002; US 6,218,020 issued April 17, 2001; US 6,361,820 issued March 26, 2002; US 6,218,497 issued April 17, 2001; US 6,359,099 issued March 19, 2002; US 6,143,855 issued November 7, 2000; and US Application Serial No. 09/611528 filed March 20, 1998).
Solutions of organohydridosiloxane and organosiloxane resins can be utilized for foπning caged siloxane polymer films that are useful in the fabrication of a variety of electronic devices, micro-electronic devices, particularly semiconductor integrated circuits and various layered materials for electronic and semiconductor components, including hardmask layers, dielectric layers, etch stop layers and buried etch stop layers. These organohydridosiloxane resin layers are quite compatible with other materials that might be used for layered materials and devices, such as adamantane-based compounds, diamantane- based compounds, silicon-core compounds, organic dielectrics, and nanoporous dielectrics. Compounds that are considerably compatible with the organohydridosiloxane resin layers contemplated herein are disclosed in PCT Application PCT/US01/32569 filed October 17, 2001; PCT Application PCT/US01/50812 filed December 31, 2001; US Application Serial No. 09/538276; US Application Serial No. 09/544504; US Application Serial No. 09/587851; US Patent 6,214,746; US Patent 6,171,687; US Patent 6,172,128; US Patent 6,156,812, US Application Serial No. 60/350187 filed January 15, 2002; and US 60/347195 filed January 8, 2002, which are all incorporated herein by reference in their entirety.
Organohydridosiloxane resins utilized herein have the following general formulas:
[H-SiLsM -SiOLsk Formula (1)
[Ho.5-Sii.5. i.s]n[Ro.5-i.o-SiOi.5 - ι.8]m Formula (2)
[Ho.ι.o-Siι.5]n[R-SiOι.5]m Formula (3)
[H-Si1.5]x[R-SiO 5]y[SiO2]z Formula (4) wherein:
the sum of n and m, or the sum or x, y and z is from about 8 to about 5000, and m or y is selected such that carbon containing constituents are present in either an amount of less than about 40 percent (Low Organic Content = LOSP) or in an amount greater than about 40 percent (High Organic Content = HOSP); R is selected from substituted and unsubstituted, noπnal and branched alkyls (methyl, ethyl, butyl, propyl, pentyl), alkenyl groups (vinyl, allyl, isopropenyl), cycloalkyls, cycloalkenyl groups, aryls (phenyl groups, benzyl groups, naphthalenyl groups, anthracenyl groups and phenanthrenyl groups), and mixtures thereof; and wherein the specific mole percent of carbon containing substituents is a function of the ratio of the amounts of starting materials. In some LOSP embodiments, particularly favorable results are obtained with the mole percent of carbon containing substituents being in the range of between about 15 mole percent to about 25 mole percent. In some HOSP embodiments, favorable results are obtained with the mole percent of carbon containing substituents are in the range of between about 55 mole percent to about 75 mole percent.
Nanoporous silica dielectric films with dielectric constants ranging from about 1.5 to about 4 can be also as at least one of the layers. Nanoporous silica compounds contemplated herein are those compounds found in US Issued Patents: 6,022,812; 6,037,275; 6,042,994; 6,048,804; 6,090,448; 6,126,733; 6,140,254; 6,204,202; 6,208,041; 6,318,124 and 6,319,855. These types of films are laid down as a silicon-based precursor, aged or condensed in the presence of water and heated sufficiently to remove substantially all of the porogen and to form voids in the film. The silicon-based precursor composition comprises monomers or prepolymers that have the formula: Rx-Si-Ly, wherein R is independently selected from alkyl groups, aryl groups, hydrogen and combinations thereof, L is an electronegative moiety, such as alkoxy, carboxy, amino, amido, halide, isocyanato and combinations thereof, x is an integer ranging from 0 to about 2, and y is an integer ranging from about 2 to about 4. Other nanoporous compounds and methods can be found in US Issued Patents 6,156,812; 6,171,687; 6,172,128; 6,214,746; 6,313,185; 6,380,347; and 6,380,270, which are incorporated herein in their entirety. The phrases "cage structure", "cage molecule", and "cage compound" are intended to be used interchangeably and refer to a molecule having at least 10 atoms arranged such that at least one bridge covalently connects two or more atoms of a ring system. In other words, a cage structure, cage molecule or cage compound comprises a plurality of rings formed by covalently bound atoms, wherein the structure, molecule or compound defines a volume', such that a point located with the volume can not leave the volume without passing through the ring. The bridge and/or the ring system may comprise one or more heteroatoms, and may be aromatic, partially saturated, or unsaturated. Further contemplated cage structures include fullerenes, and crown ethers having at least one bridge. For example, an adamantane or diamantane is considered a cage structure, while a naphthalene compound or an aromatic spirocompound are not considered a cage structure under the scope of this definition, because a naphthalene compound or an aromatic spirocompound do not have one, or more than one bridge.
Contemplated cage compounds need not necessarily be limited to being comprised solely of carbon atoms, but may also include heteroatoms such as N, S, O, P, etc. Heteroatoms may advantageously introduce non-tetragonal bond angle configurations. With respect to substituents and derivatizations of contemplated cage compounds, it should be recognized that many substituents and derivatizations are appropriate. For example, where the cage compounds are relatively hydrophobic, hydrophilic substituents may be introduced to increase solubility in hydrophilic solvents, or vice versa. Alternatively, in cases where polarity is desired, polar side groups may be added to the cage compound. It is further contemplated that appropriate substituents may also include thermolabile groups, nucle- ophilic and electrophilic groups. It should also be appreciated that functional groups may be employed in the cage compound (e.g., to facilitate crosslinking reactions, derivatization reactions, etc.) Where the cage compounds are derivatized, it is especially contemplated that derivatizations include halogenation of the cage compound, and a particularly preferred halogen is fluorine.
Cage molecules or compounds, as described in detail herein, can also be groups that are attached to a polymer backbone, and therefore, can form nanoporous materials where the cage compound forms one type of void (intramolecular) and" where the crosslinking of at least one part of the backbone with itself or another backbone can form another type of void (intermolecular). Additional cage molecules, cage compounds and variations of these molecules and compounds are described in detail in PCT/USOl/32569 filed on October 18, 2001, which is herein incorporated by reference in its entirety.
Contemplated polymers may also comprise a wide range of functional or structural moieties, including aromatic systems, and halogenated groups. Furthermore, appropriate polymers may have many configurations, including a homopolymer, and a heteropolymer. Moreover, alternative polymers may have various forms, such as linear, branched, super- branched, or three-dimensional. The molecular weight of contemplated polymers spans a wide range, typically between 400 Dalton and 400000 Dalton or more.
The organic and inorganic materials described herein are similar in some respects to those which are described in U.S. Pat. No. 5,874,516 to Burgoyne et al. (Feb. 1999), incorporated herein by reference, and may be used in substantially the same manner as set forth in that patent. For example, it is contemplated that the organic and inorganic materials described herein may be employed in fabricating electronic chips, chips, and multichip modules, interlayer dielectrics, protective coatings, and as a substrate in circuit boards or printed wiring boards. Moreover, films or coatings of the organic and inorganic materials described herein can be foπned by solution techniques such as spraying, spin coating or casting, with spin coating being prefened. Preferred solvents are 2-ethoxyethyl ether, cyclohexanone, cyclopentanone, toluene, xylene, chlorobenzene, N-methyl pynolidinone, N,N-dimethylfoπnamide, N,N-dimethylacetamide, methyl isobutyl ketone, 2-methoxyethyl ether, 5-methyl-2-hexanone, γ -butyrolactone, and mixtures thereof. Typically, the coating thickness is between about 0.1 to about 15 microns. As a dielectric interlayer, the film thickness is less than 2 microns.
Additives can also be used to enhance or impart particular target properties, as is conventionally known in the polymer art, including stabilizers, flame retardants, pigments, plasticizers, surfactants, and the like. Compatible or non-compatible polymers can be blended in to give a desired property. Adhesion promoters can also be used. Such promoters are typified by hexamethyidisilazane, which can be used to interact with available hydroxyl functionality that may be present on a surface, such as silicon dioxide, that was exposed to moisture or humidity. Polymers for microelectronic applications desirably contain low levels (generally less than 1 ppm, preferably less than 10 ppb) of ionic impurities, particularly for dielectric interlayers. As used herein, the term "crosslinldng" refers to a process in which at least two molecules, or two portions of a long molecule, are joined together by a chemical interaction. Such interactions may occur in many different ways including formation of a covalent bond, formation of hydrogen bonds, hydrophobic, hydrophilic, ionic or electrostatic interaction. Furthermore, molecular interaction may also be characterized by an at least temporary physical connection between a molecule and itself or between two or more molecules.
As mentioned earlier, some prefened embodiments comprise a plurality of voids and/or pores in one or all of the dielectric layers or low dielectric constant layers. This plurality of voids can also be expressed by using the phrase "nanoporous layer" or "ultrananoporous layer". As used herein, the term "nanoporous layer" refers to any suitable low dielectric material (i.e. < 3.0) that is composed of a plurality of voids and a non- volatile component. As used herein, the term "substantially" means a desired component is present in a layer at a weight percent amount greater than 51%. A layered material herein described also comprises: a) a dielectric material having a plurality of pores, wherein each pore has a pore diameter; and b) a layer comprising a plurality of particles, wherein the particles have a particle size that is larger than the pore diameter.
Incorporating or introducing porogens into the dielectric materials can form the plurality of pores and/or voids. At least one porogen may be added to the dielectric material and/or layer. The pores or voids may be formed as a result of structural rearrangement or loss of material such that a pore or void or increase in free volume is left behind.
As used herein, the term "pore" includes voids and cells in a material and any other term meaning space. The term "pore" may also include a differential in material density wherein the free volume has been increased ("porous nature" has been introduced). Appropriate gases include relatively pure gases and mixtures thereof. Air, which is predominantly a mixture of N2 and O2 is commonly distributed in the pores, but pure gases such as nitrogen, helium, argon, CO2 or CO are also contemplated. Pores are typically spherical but may alternatively or additionally include tubular, lamellar, discoidal, voids having other shapes, or a combination of the preceding shapes and may be open or closed. The term "porogen" as used herein may have a variety of mechanisms available to form the pore but in general is a material, which upon removal, leaves behind either a "pore" or a "void" or a material that can rearcange to create a "pore" or "void", h one embodiment, a porogen is a decomposable material that is radiation, thermally, chemically or moisture decomposable, degradable, depolymerizable or otherwise capable of breaking down and includes solid, liquid or gaseous material.
The decomposed porogen is removable from or can volatilize or diffuse through a partially or fully cross-linked matrix to create pores in a subsequently fully-cured matrix and thus, lower the matrix's dielectric constant and enhance the sacrificial properties. In another embodiment, the porogen might be a material, which does not decompose but can be dissolved out of the matrix leaving behind the "pore". In a third embodiment the porogen might be a material that does not decompose but is volatile enough to dissipate at specific elevated temperatures such as in the 250-350°C range. Supercritical materials, such as CO , may be used to remove the porogen and decomposed porogen fragments. Preferably, for a theπnally decomposable porogen, the porogen comprises a material having a decomposition temperature greater than the minimum crosslinking temperature of the material. Preferably, the present novel porogens have a degradation or decomposition temperature of up to about 300°C, and in some cases greater than about 300°C. Preferably, the degraded or decomposed porogens volatilize at a temperature greater than the minimum cross-linking temperature of the material with which the porogen is combined. Preferably, the degraded or decomposed porogens volatilize at a temperature between about 50° to about 450°C.
Although International Patent Publication WO 00/31183 teaches that a porogen may be added to thermosettable benzocyclobutene, polyarylene or thermosettable perfluoroethylene monomer to increase porosity thereof and thus, lower the dielectric constant of that resin, the reference teaches that a porogen that is known to function well with a first matrix system will not necessarily function well with another matrix system.
Known porogens, such as linear polymer, star polymers, cross-linked polymeric nanospheres, block copolymers, and hyperbranched polymers may be used in contemplated embodiments with the inorganic-based compounds and materials. Suitable linear polymers are polyefhers, such as poly(ethylene oxide) and poly(propylene oxide); polyacrylates such as poly(methylmethacrylate); aliphatic polycarbonates such as poly(propylene carbonate) and poly(ethylene carbonate); polyesters; polysulfones; polystyrene (including monomer units selected from halogenated styrene and hydroxy-substituted styrene); poly(α-methylstyrene); and other vinyl-based polymers. Useful polyester porogens include polycaprolactone; polyethylene terephthalate; poly(oxyadipoyloxy-l,4-phenylene); poly(oxyterephthaloyloxy- 1,4-phenylene); poly(oxyadipoyloxy-l,6-hexamethylene); polyglycolide, polylactide (polylactic acid), polylactide-glycolide, polypyruvic acid, polycarbonate such as poly(hexamethylene carbonate) diol having a molecular weight from about 500 to about 2500; and polyether such as poly(bisphenol A-co-epichlorohydrin) having a molecular weight from about 300 to about 6500. Suitable crosslinked, insoluble nanospheres (prepared as nanoemulsions) are suitably comprised of polystyrene or poly(methylmethacrylate). Suitable block copolymers are poly-gylcolids, polylactic acid, poly(styrene-co-α-methylstyrene, poly(styrene-ethylene oxide), poly(etherlactones), poly(estercarbonates) and poly(lactonelactide). Suitable hyperbranched polymers are hyperbranched polyester, e.g. hyperbranched poly(caprolactone), and polyethers such as polyethylene oxide and polypropylene oxide. Another useful porogen is ethylene glycol-poly(caprolactone). Useful polymer blocks include polyvinylpyridines, hydrogenated polyvinyl aromatics, polyacrylonitriles, polysiloxanes, polycaprolactams, polyurethanes, polydienes such as polybutadienes and polyisoprenes, polyvinyl chlorides, polyacetals and amine-capped alkylene oxides. Other useful theπnoplastic materials include polyisoprenes, polytetrahydrofurans and polyethyloxazolines.
Other suitable porogens suitable for use in contemplated embodiments include polymers, preferably those which contain one or more reactive groups, such as hydroxyl or amino. Within these general parameters, a suitable polymer porogen for use in the compositions and methods disclosed herein is, e.g. a polyalkylene oxide, a monoether of a polyalkylene oxide, a diether of a polyalkylene oxide, bisether of a polyalkylene oxide, an aliphatic polyester, an acrylic polymer, an acetal polymer, a poly(caprolactone). a poly(valeractone), a poly(methlymethoacrylate), a poly(vinylbutyral) and/or combinations thereof. When the porogen is a polyalkylene oxide monoether, one particular embodiment is a Ci to about C6 alkyl chain between oxygen atoms and a Ci to about C6 alkyl ether moiety, and wherein the alkyl chain is substituted or unsubstituted, e.g., polyethylene glycol monomethyl ether, polyethylene glycol dimethyl ether, or polypropylene glycol monomethyl ether.
Porogens comprising at least two fused aromatic rings wherem each of the fused aromatic rings has at least one alkyl substituent thereon and a bond exists between at least two of the alkyl substituents on adjacent aromatic rings may be used in contemplated embodiments. Prefened porogens include unfunctionalized polyacenaphthylene homopolymer, functionalized polyacenaphthylene homopolymer, the polyacenaphthylene copolymers described below, poly(2-vinylnaphthalene) and vinyl anthracene, and blends with each other. Other useful porogens include adamantane, diamantane, fullerene and polynorboπ ene. Each of these porogens, including those listed above, may be blended with one another or other porogen material, such as polycaprolactone, polystyrene and polyester. Useful blends include unfunctionalized polyacenaphthylene homopolymer and polycaprolactone. The more prefened porogens are unfunctionalized polyacenaphthylene homopolymer, functionalized polyacenaphthylene homopolymer, polyacenaphthylene copolymer and polynorbornene.
Useful polyacenaphthylene homopolymers may have weight average molecular weights ranging from preferably about 300 to about 20,000; more preferably about 300 to about 10,000; and most preferably about 1000 to about 7000 and may be polymerized from acenaphthylene using different initiators such as 2,2'-azobisisobutyronitrile (AIBN); di-tert- butyl azodicarboxylate; di-phenylazodicarboxylate; l,l'-azobis(cyclohezanecarbonitrile); benzoyl peroxide (BPO); t-butyl peroxide; and boron trifluoride diethyl etherate. The polyacenaphthylene homopolymer may have functional end groups such as triple bonds or double bonds to the chain end or cationic polymerization quenched with a double or triple bond alcohol, such as allyl alcohol, propargyl alcohol, butynol, butenol or hydroxyethylmethacrylate.
European Patent Publication 315453 teaches that silica and certain metal oxides may react with carbon to form volatile suboxides and gaseous carbon oxide to form pores and teaches that sources of carbon include any suitable organic polymer including polyacenaphthylene. However, the reference does not teach or suggest that polyacenaphthylene is a porogen useful in non-metallic materials or in reducing the dielectric constant of a matrix or increasing the etch selectivity of a dielectric material.
Useful polyacenaphthylene copolymers may be linear polymers, star polymers or hyperbranched polymers. The comonomer may have a bulky side group that will result in copolymer conformation that is similar to that of polyacenaphthylene homopolymer or a nonbulky side group that will result in copolymer conformation that is dissimilar to that of polyacenaphthylene homopolymer. Comonomers having a bulky side group include vinyl pivalate; tert-butyl acrylate; styrene; -methylstyrene; tert-butylstyrene; 2-vinylnaphthalene; 5-vinyl-2-norbornene; vinyl cyclohexane; vinyl cyclopentant; 9-vinylanthracene; 4- vinylbiphenyl; tetraphenylbutadiene; stilbene; tert-butylstilbene; and indene; and preferably vinyl pivalate. Hydridopolycarbosilane may be used as an additional co-monomer or copolymer component with acenaphthylene and at least one of the preceding comonomers. An example of a useful hydridopolycarbosilane has 10% or 75% allyl groups. Comonomers having a nonbulky side group include vinyl acetate, methyl acrylate, methyl methacrylate, and vinyl ether and preferably vinyl acetate.
When discussing ' pore generation, the term "degrade" refers to the breaking of covalent bonds. Such breaking of bonds may occur in numerous ways including heterolytic and homolytic breakage. The breaking of bonds need not be complete, i.e., not all breakable bonds must be cleaved. Furthermore, the breaking of bonds may occur in some bonds faster than in others. Ester bonds, for example, are generally less stable than amide bonds, and therefore, are cleaved at a faster rate. Breakage of bonds may also result in the release of fragments differing from one another, depending on the chemical composition of the degraded portion.
In a preferred embodiment of the pore generation process, for thermally degradable porogens, thermal energy is applied to the porogen containing material to substantially degrade or decompose the porogen into its starting components or monomers. As used herein, "substantially degrade" preferably means at least about 40 weight percent of the porogen degrades or decomposes. In more preferred embodiments, at least about 50 weight percent of the porogen degrades or decomposes, and in most prefened embodiments, at least about 80 weight percent of the porogen degrades or decomposes. In another embodiment, the porogen is dissolved out in either a separate process stage or in combination with other stages of process, such as during the photolithography development or during the actual wet stripping of the porogen containing material.
Any suitable procedure or condition may be used to remove or at least partially remove the at least one porogen, including heat, dissolution in solvents, preferential etching, exposure to radiation, electromagnetic radiation, such as ultraviolet, x-ray, laser or infrared radiation; mechanical energy, such as sonication or physical pressure; or particle radiation, such as gamma ray, alpha particles, neutron beam or electron beam as taught by commonly assigned patent publication PCT/US96/08678 and US Patents 6,042,994; 6,080,526; 6,177,143; and 6,235,353, which are incorporated herein by reference in their entireties.
The materials, precursors and layers described herein can be and in many ways are designed to be solvated or dissolved in any suitable solvent, so long as the resulting solutions can be applied to a substrate, a surface, a wafer or layered material. Typical solvents are also those solvents that are able to solvate the monomers, isomeric monomer mixtures and polymers. Contemplated solvents include any suitable pure or mixture of organic or inorganic molecules that are volatilized at a desired temperature, such as the critical temperature, or that can facilitate any of the above-mentioned design goals or needs. The solvent may also comprise any suitable pure or mixture of polar and non-polar compounds. As used herein, the term "pure" means that component that has a constant composition. For example, pure water is composed solely of H2O. As used herein, the term "mixture" means that component that is not pure, including salt water. As used herein, the term "polar" means that characteristic of a molecule or compound that creates an unequal charge, partial charge or spontaneous charge distribution at one point of or along the molecule or compound. As. used herein, the term "non-polar" means that characteristic of a molecule or compound that creates an equal charge, partial charge or spontaneous charge distribution at one point of or along the molecule or compound.
In some contemplated embodiments, the solvent or solvent mixture (comprising at least two solvents) comprises those solvents that are considered part of the hydrocarbon family of solvents. Hydrocarbon solvents are those solvents that comprise carbon and hydrogen. It should be understood that a majority of hydrocarbon solvents are non-polar; however, there are a few hydrocarbon solvents that could be considered polar. Hydrocarbon solvents are generally broken down into three classes: aliphatic, cyclic and aromatic. Aliphatic hydrocarbon solvents may comprise both straight-chain compounds and compounds that are branched and possibly crosslinked, however, aliphatic hydrocarbon solvents are not considered cyclic. Cyclic hydrocarbon solvents are those solvents that comprise at least three carbon atoms oriented in a ring structure with properties similar to aliphatic hydrocarbon solvents. Aromatic hydrocarbon solvents are those solvents that comprise generally three or more unsaturated bonds with a single ring or multiple rings attached by a common bond and/or multiple rings fused together. Contemplated hydrocarbon solvents include toluene, xylene, p-xylene, m-xylene, mesitylene, solvent naphtha H, solvent naphtha A, alkanes, such as pentane, hexane, isohexane, heptane, nonane, octane, dodecane, 2-methylbutane, hexadecane, tridecane, pentadecane, cyclopentane, 2,2,4-trimethylpentane, petroleum ethers, halogenated hydrocarbons, such as chlorinated hydrocarbons, nitrated hydrocarbons, benzene, 1,2-dimethylbenzene, 1,2,4-trimethylbenzene, mineral spirits, kerosine, isobutylbenzene, methylnaphthalene, ethyltoluene, ligroine. Particularly contemplated solvents include, but are not limited to, pentane, hexane, heptane, cyclohexane, benzene, toluene, xylene and mixtures or combinations thereof.
In other contemplated embodiments, the solvent or solvent mixture may comprise those solvents that are not considered part of the hydrocarbon solvent family of compounds, such as ketones, such as acetone, diethyl ketone, methyl ethyl ketone and the like, alcohols, esters, ethers and amines. In yet other contemplated embodiments, the solvent or solvent mixture may comprise a combination of any of the solvents mentioned herein.
In prefened embodiments, the solvent comprises water, ethanol, propanol, acetone, ethylene oxide, benzene, toluene, ethers, cyclohexanone, butyrolactone, methylethylketone, and anisole. In the preferred embodiments, no solvent is used and at least one liquid monomer is chosen to form a solventless formulation.
It is still further contemplated that alternative low dielectric constant material may also comprise additional components. For example, where the low dielectric constant material is exposed to mechanical stress, softeners or other protective agents may be added. In other cases where the dielectric material is placed on a smooth surface, adhesion promoters may advantageously employed. In still other cases, the addition of detergents or antifoam agents may be desirable.
The diffusion blocking material may also comprise at least one CVD precursor material, such as those produced by Honeywell Electronic Materials, Inc., Dow Corning and Schumacher. The diffusion blocking material may also comprise at least one ligand and/or chelating agent. The ligand may comprise any suitable ligand-forming group, such as those found in the porphyrin family (phthalocyanines), the cyanine family, the multiolefin family, the cyclo-olefin family, or the acetylide family. The diffusion blocking material may also comprise at least one liquid crystal material. In addition the diffusion blocking material may contain any type of fused polyarylene such as corenes, perylenes, benzoperylenes, rubrenes, pyrenes, pentacenes, benzofluorenes and similar fused arylenes of sufficient size.
The ligand may also be coupled to a metal atom. As used herein, the term "metal" means those elements that are in the d-block and f-block of the Periodic Chart of the Elements, along with those elements that have metal-like properties, such as silicon and germanium. As used herein, the phrase "d-block" means those elements that have electrons filling the 3d, 4d, 5d, and 6d orbitals sunounding the nucleus of the element. As used herein, the phrase "f-block" means those elements that have electrons filling the 4f and 5f orbitals sunounding the nucleus of the element, including the lanthanides and the actinides. Prefened metals include indium, silver, copper, aluminum, tin, bismuth, gallium and alloys thereof, silver coated copper, and silver coated aluminum. The term "metal" also includes alloys, metal/metal composites, metal ceramic composites, metal polymer composites, as well as other metal composites. As used herein, the term "compound" means a substance with constant composition that can be broken down into elements by chemical processes.
The plurality of particles may comprise at least one ligand-metal couple. The ligand- metal couple may comprise copper. It is contemplated that the plurality of particles are physically attracted to the dielectric material. It is further contemplated that the plurality of particles foπns a stop layer. The stop layer is designed to decrease a diffusion of metal atoms into the dielectric material by at least 25%, by at least about 50% and by at least about 75%, depending on the material chosen for the stop layer.
Layered materials contemplated herein may also comprise a dielectric material having a plurality of pores, wherein each pore has a pore diameter; and a layer having a plurality of particles or merely a plurality of particles, wherein the plurality of particles have a particle size that is or can become larger than the pore diameter.
A method of minimizing the diffusion of metal or diffusible atoms and/or molecules into a material having a plurality of pores is also described herein, wherein the method comprises: a) providing a precursor material that comprises molecules having a molecule size that is larger than a pore diameter of any one of the plurality of pores; b) providing a solvent carrier solution; c) combining the precursor material and the solvent carrier solution to form a diffusion blocking reactive solution; and d) applying the diffusion blocking reactive solution to the material having a plurality of pores. Another embodiment provides a precursor material whose molecular size is smaller than a pore diameter, but able to expand to block the pore after having been applied by the reactive solution and activated after application, during process where this process may be defined as thermal or chemical treatment or a combination of both thermal and chemical treatments. An additional embodiment provides a precursor material of the same characteristics described above, but capable of CVD deposition. Still "additional embodiments of minimizing the diffusion of metal or diffusible atoms and/or molecules into a material comprise those methods found in US Issued Patent No.: 6,544,650 issued on April 8, 2003 and US Patent Application Serial Nos.: 10/326233 filed on December 19, 2002; 09/543628 filed on April 5, 2000; and 10/113461 filed on March 28, 2002 - all by Nancy Iwamoto, which are commonly assigned to Honeywell International, Inc. and which are incorporated herein in their entirety.
Additional layers of material may be coupled to the layered interface materials in order to continue building a layered component or printed circuit board. It is contemplated that the additional layers will comprise materials similar to those already described herein, including metals, metal alloys, composite materials, polymers, monomers, organic compounds, inorganic compounds, organometallic compounds, resins, adhesives and optical wave-guide materials.
A layer of laminating material or cladding material can be coupled to the layered interface materials depending on the specifications required by the component. Laminates are generally considered fiber-reinforced resin dielectric materials. Cladding materials are a subset of laminates that are produced when metals and other materials, such as copper, are incorporated into the laminates. (Harper, Charles A., Electronic Packaging and Interconnection Handbook, Second Edition, McGraw-Hill (New York), 1997.)
Spin-on layers and materials may also be added to the layered interface materials or subsequent layers. Spin-on stacked films are taught by Michael E. Thomas, "Spin-On Stacked Films for Low keff Dielectrics", Solid State Technology (July 2001), incorporated herein in its entirety by reference. Examples of other additional layers of materials comprise metals (such as those which might be used to form via fills or printed circuits and also those included in US Patent No. 5,780,755; 6,113,781; 6,348,139 and 6,332,233 all of which are incorporated herein in their entirety), metal diffusion layers, mask layers, anti-reflective coatings layers, adhesion promoter layers and the like.
Applications of the contemplated layered materials or components described herein comprise incorporating the materials and/or components into another layered material, an electronic component or a finished electronic product. Electronic components, as contemplated herein, are generally thought to comprise any layered component that can be utilized in an electronic-based product. Contemplated electronic components comprise circuit boards, chip packaging, separator sheets, dielectric components of circuit boards, printed-wiring boards, and other components of circuit boards, such as capacitors, inductors, and resistors. An electronic component or a semiconductor component may comprise a contemplated layered component described herein.
Electronic-based products can be "finished" in the sense that they are ready to be used in industry or by other consumers. Examples of finished consumer products are a television, a computer, a cell phone, a pager, a palm-type organizer, a portable radio, a car stereo, and a remote control. Also contemplated are "intermediate" products such as circuit boards, chip packaging, and keyboards that are potentially utilized in finished products.
Electronic products may also comprise a prototype component, at any stage of development from conceptual model to final scale-up/mock-up. A prototype may or may not contain all of the actual components intended in a finished product, and a prototype may have some components that are constructed out of composite material in order to negate their initial effects on other components while being initially tested.
As used herein, the term "electronic component" means any device or part that can be used in a circuit to obtain some desired electrical action. Electronic components contemplated herein may be classified in many different ways, including classification into active components and passive components. Active components are electronic components capable of some dynamic function, such as amplification, oscillation, or signal control, which usually requires a power source for its operation. Examples are bipolar transistors, field-effect transistors, and integrated circuits. Passive components are electronic components that are static in operation, i.e., are ordinarily incapable of amplification or oscillation, and usually require no power for their characteristic operation. Examples are conventional resistors, capacitors, inductors, diodes, rectifiers and fuses.
Electronic components contemplated herein may also be classified as conductors, semiconductors, or insulators. Here, conductors are components that allow charge carriers (such as electrons) to move with ease among atoms as in an electric cunent. Examples of conductor components are circuit traces and vias comprising metals. Insulators are components where the function is substantially related to the ability of a material to be extremely resistant to conduction of cunent, such as a material employed to electrically separate other components, while semiconductors are components having a function that is substantially related to the ability of a material to conduct current with a natural resistivity between conductors and insulators. Examples of semiconductor components are transistors, diodes, some lasers, rectifiers, thyristors and photosensors.
Electronic components contemplated herein may also be classified as power sources or power consumers. Power source components are typically used to power other components, and include batteries, capacitors, coils, and fuel cells. As used herein, the term "battery" means a device that produces usable amounts of electrical power through chemical reactions. Similarly, rechargeable or secondary batteries are devices that store usable amounts of electrical energy through chemical reactions. Power consuming components include resistors, transistors, ICs, sensors, and the like.
Still further, electronic components contemplated herein may also be classified as discreet or integrated. Discreet components are devices that offer one particular electrical property concentrated at one place in a circuit. Examples are resistors, capacitors, diodes, and transistors. Integrated components are combinations of components that that can provide multiple electrical properties at one place in a circuit. Examples are ICs, i.e., integrated circuits in which multiple components and connecting traces are combined to perform multiple or complex functions such as logic. EXAMPLES
EXAMPLE 1: EXPERIMENTAL SIMULATIONS OF COPPER DIFFUSION THROUGH TYPICAL MATERIALS
Figures 14-15 show an experimental simulation of a copper atom 10 being introduced to and diffusing through a plurality of silicon atoms 20. Figure 14 shows the plurality of ordered silicon atoms 20 before diffusion of the copper atom 10 into the plurality of silicon atoms 20. Figure 15 shows the plurality of silicon atoms 20 once diffusion of the copper atom 10 has begun and disorder in the plurality of silicon atoms 20 is induced. The calculated Ea is less than 0.5 eV in agreement with literature values (0.43 eV -p.12-101 CRC Handbook of Chemistry and Physics 77th Edition 1996-1997, David R. Lide, Ed *, CRC Press)
Figures 16-17 show an experimental simulation of a copper atom 100 being introduced and diffusing through a silica matrix 120. Figure 16 shows the diffusion of the copper atom 100 from the side view, wherein the copper atom 100 is approaching the silica matrix 120. Figure 17 shows the top down view of the diffusion of the copper atom 100 into the silica matrix 120, wherein the copper atom 100 is already diffusing through the silica matrix 120. The calculated Ea is about 1.3 eV.
Figure 18 shows an experimental simulation of a porous organic material matrix 200 having a plurality of pores 210, wherein the porous organic material matrix 200 is coupled to a substrate 220. The flexibility of the matrix and the interfacial responses of the matrix, i.e. the material design, will be very important when trying to control or eliminate copper diffusion through the porous organic material matrix 200. The calculated Ea in a worst case scenario is about 0.3 eV.
Figure 19 shows the copper diffusion activation energies that have been determined by the above-described experimental simulations. As shown, porous materials and silicon have activation energies less than about 0.4 eV. For copper atom diffusion to be minimized or eliminated, the minimum activation energy must be greater than about 1.3 eV for a diffusion constant (D) that is less than 1 x 10"14 cm2/sec at 700K. Figure 20 shows a molecular simulation of a porous material 300 having a pore 310. It is contemplated herein that a blocking molecule 320 can be introduced to the porous material 300, in order to block the pore 310 and control diffusion of diffusible atoms and/or molecules, such as copper atoms. Established blocking requirements suggest that in order to maximize the blocking ability of a blocking molecule, the molecule a) does not diffuse through the pores by itself (greater than about 1.7 eV estimated from modeling experiments); and b) has a high activation energy toward copper diffusion (greater than about 1.3 eV estimated from modeling experiments).
Figures 21A, 21B and 22 show examples of blocking agents studied. Simulation experiments of copper through blocking agents suggest that these structures have activation energies toward copper diffusion in excess of 3eV,. Compounds like this have adequate density and rigidity to block copper migration.
EXAMPLE 2; DIFFUSION EXPERIMENTS OF THE BLOCKING AGENT hi this set of experiments, several types of blockers were utilized and two basic types of pores were investigated. The blockers were comprised of two basic architectural features, using a rigid core structure covered with a desired functionality. Blocker molecule "A", shown in Figure 21A, comprises an organo-siliane based cage blocker molecule (inorganic) in which the surface functionality and the inner rigid core functionality are comprised of an organo-silane; and blocker molecule "B", shown in Figure 21B , comprises a dendrimer amine-based blocker molecule (organic) surface structure attached to a rigid organic core structure. The blocking molecule shown in Figure 22 comprises a mixed organo-silane and organic structure. These blocker molecules have adequate activation energies toward copper atom diffusion through each of them. As mentioned, two basic types of pores were investigated also: an inorganic silica pore (represented by generic silica) and organic pores (represented by GX3™, manufactured by Honeywell Electronic Materials, Inc.). A relevant conversion factor is 23.1 kcal/eV.
Figure 23 shows graphically that for inorganic and organic blockers on both inorganic and organic pores, the activation energies for diffusion of the blockers themselves increase with blocker size. Figure 23 indicates that the molecules can be designed to meet geometry and energy needs. Figure 24 shows two graphs (A) and (B), wherein graph A shows dielectric-blocker interaction energy (kcal mol) versus activation energy (kcal mol), and graph B shows blocker/pore opening ratio versus dielectric-blocker interaction energy (kcal/mol). Generally, a lower interaction between the blocker and the pore (or substrate surface) is necessary to increase the activation energy.
Figure 25 shows two graphs (A) and (B), wherein graph A shows the blocker cross section/pore opening versus the activation energy, and graph B shows the blocker/pore area ratio versus relative activation energy. It should be noted that the activation energy needed for a diffusion constant of less than about 1 x 10~14 is about 40 kcal/mol. From these graphs, it can be seen that, in general, the better the binding, the worse the activation energy. The most stable trend is the GX3™/organic dendrimer and SiO2/silica blocker. These results suggest that, in general, interaction is detrimental to the activation energy. Figure 26 shows the activation energy summaries from the above-described experimental simulations.
Figure 27 shows two graphs (A) and (B) that indicate the differences between a rigid pore (fixed) and a flexible pore (moving or "unfixed"). From these graphs, it is understood that a) the response to diffusion involves a matrix "response"; and b) for GX3™, a flexible matrix helps to stop diffusion of the blocker in conjunction with low interaction (binding energy or BE). Figure 28 confiπns the information shown in Figure 27 by indicating that when a GX3™ pore is used with silica blockers only, the worst case is predicted by assuming a rigid pore. Therefore, for most organics, when the matrix is generally rigid with no pendant highly branched structures, the likelihood of diffusion increases. According to the models, as compared to silica-based matrices, this is rigidity link to diffusion is due to the lower density of the organic matrix. Figure 29 also confirms that for silicon-based pores, the matrix effect difference is less pronounced - meaning that either matrix type (rigid/fixed and flexible/unfixed) should work with both a dendrimer blocker and a silica cage blocker, as long as the blocker/opening cross-section ratio is large enough. That is, in general a matrix effect is noted that is directly related to the dielectric matrix structure and response to force (thermal, mechanical or otherwise), and is indirectly related to the "effective viscosity" described earlier which suggests that the higher the effective viscosity, the lower the diffusion tendency and the higher the activation energy toward diffusion. The effective viscosity is reflected in the rigidity of the matrix as well as its' density. The dielectric density and interfacial density has been previously discussed in terms of copper migration and can be applied here also for other molecules. Figures 30-34 show additional experiments and embodiments of the above-described blocker/pore simulations that continue to confirm the results discussed herein. The simulation experiments indicate that in general a lower interaction energy (binding energy BE) between the blocking material and the pore of the dielectric, decreases it's tendency to diffuse through an open pore by increasing the activation energy required for diffusion.
Returning to the description of the blocker molecule, the architecture or structure of the molecule becomes apparent. Just as the dielectric matrix must have a low "effective viscosity" by containing movement of the matrix, the blocker has the same constraints to the architecture.
Besides the need for the blocker to be larger than the pore, to contain movement internal to the blocker structure, an embodiment will consist of a highly rigid core surrounded by a highly branched (dendrimer) surface. The rigidity size of the core is chosen large enough to contain movement of the highly branched surface, h addition the surface is branched enough to contain movement of the surface and to increase the atom density of the blocker surface. Preferably surface groups will also contain functionality that decreases the interaction with the pore, to further limit diffusion of the blocking molecule itself. However in other embodiments, the surface of the blocker may contain other functionalities in order to provide reactivity or reactivtiy catalysis with the underlayer, polymerization of the blocker, or cany precursors for other processes such as CVD/ALD .
EXAMPLE 3: PROCESS APPLICATIONS
As mentioned earlier, Figures 21A, 21B and 22 show examples of blocking structures, which can be used as described as passive blocking agents, or can be further functionalized for other processes important to the electronic materials industry.
For example, the surface of the blocker structure may consist of an active chemical etchant or cleaner, such as a fluoride-based silica etchant or an amine-based hydroxy cleaning agent. For instance the termination functionality of the surface may contain an ion pair of an ammonium fluoride, ammonium hydroxide as the active etchant or cleaner. The terminating functionality of the surface may contain a photosensitive superacid, which serves as the etchant or cleaner upon irradiation. The superacid may also provide catalytic conditions for further breakdown of the underlayer. The blocking nature of the size and shape of the molecule limits penetration of the blocking molecule into the dielectric material, but has reactive functionality to etch or clean only the immediate surface providing a controlled etching or controlled cleaning molecule.
In another contemplated embodiment, the surface functionality of the blocking molecule can also contain a complexed copper or metal that can be further reduced or directly serve as a seed layer for metal deposition. Such a complex may contain ligands such as in the porphyrin family (phthalocyanines), the cyanine family, the multiolefin family, the cyclo- olefin family, the cyclopentadienide family, or the acetylide family.
In yet another contemplated embodiment, the surface functionality can contain a crosslinkable group (thermal, chemical or photochemical), which serves to densify the immediate surface of the dielectric. Crosslinkable functionalities may be olefins or multiolefϊns, cyclo-olefins, acrylate, methacrylates, cyano-acrylates, cyanates, epoxies, acetylides, silanes, alkoxy organosilanes, acetoxy organosilanes, cyanoacrylates, isocyanates, isocyanurates, α,β unsaturated aldehydes or ketones, ,β unsaturated esters, α,β unsaturated amides, ,β unsaturated imides. In addition the crosslinkable functionality may be comprised of a reactant designed to specifically react to a substrate surface functionality. Examples of reactant combinations include an alcohol or amine for a surface epoxy or isocyanate; an ester or anhydride for a surface alcohol, amine or epoxy; an epoxy or isocyanate for a surface alcohol or amine etc. In this way, the dielectric constant of the bulk of the dielectric layer is not compromised, but a permanent, more mechanically resistant barrier that is covalently bonded results. This permanent barrier can be used before other processes such as etching (dry/wet), cleaning, CVD application or CMP (chemical mechanical polishing) procedure in order to provide enhanced resistance of a surface to the process. For example in CMP, the barrier provides more resistance to solvent penetration that degrades mechanical performance and survivability to the process.
In a similar role as containing a crosslinkable group, the terminating functionality of the surface may contain a photosensitive catalyst such as a free radical or superacid generator, which serves as a crosslink catalyst for the immediate surface of the dielectric or the immediate interface of the blocker molecule/dielectric or serve as the catalyst for curing of the next process layer. Such catalysts include the onium salts (for example, diaryl iodonium salts e.g. diphenyliodonium, triaryl sulfonium salts, phenacylsulfonium salts, feπocenium salts, triphenylpyrylium dialkylphenacylsulfonium salts etc.), peroxides and peracids.
In another contemplated embodiment, the surface functionality is adjusted to be chemically compatible with the subsequent layer in a process. The blocker molecule can be used to enhance adhesion of a dielectric, photoresist, BARC layer or metal layer depending upon when it is applied in the IC process. Enhanced adhesion may be obtained by functionalizing the surface with groups that are attracted to or react with the next contact layer. The blocker molecule can also be used to enhance wetting (a surface wetting agent) of a subsequent layer, without disruption of the underlayer. Surface wetting enhancement may be done using attachment of surfactant functionalities. Typical functionalities used in surfactants include long chain fatty acids, polyoxyethylenes, polyoxypropylenes, carbohydrates and long chain organic acids or sulfonic acids.
The blocker can also be used to enhance CVD/ALD deposition at the specific blocker site, depending upon the CVD/ALD precursor. For instance if the surface functionality of the blocker molecule has better binding ligands to a metal CVDALD precursor, preferential deposition should occur at these sites without wony of further penetration of the CVD/ALD precursor to the interior of the underlayer. If the blocker molecule surface functionality consists of ligand molecules specific to copper, or other metals, it may be preferentially deposited on those surfaces as a banier layer or a precursor layer. Such ligands include: the porphyrin family (phthalocyanines), the cyanine family, the multiolefin family, the cyclo- olefin family, the cyclopentadienide family, or the acetylide family
In still another contemplated embodiment, the functionality of the blocking molecule can be adjusted to allow differential diffusion of the molecule in order to cany specific species into the interior of a structure. The differential diffusion may be controlled by either control of the blocking molecule size or attachment of long side single strand chains from which the activity is defined by the chain functionality or at the end of the chains. This allows partial penetration of other species such as metal precursors, which can be subsequently reacted to metals, metal oxides and mixed inorganic compounds or intermetallics. If the buried precursors are reacted to metals, the new composite material can serve as a resistor structure, which is fabricated without additional photolithography, and where subsequent metallization of the surface will provide the electrodes. Using the same rationale, if the buried precursors are inorganic oxides or inorganic composites, capacitors can be fabricated from the initial dielectric structure. If the buried precursors are fenOelectric inorganics, a feπoelectric switch structure can be fabricated. If the buried precursors are CVD graphite/diamond catalysts, an enhanced thermal layer can be fabricated.
A differential dielectric constant may be fabricated in the underlying dielectric layer by attachment of long chain reactant species to the blocking molecule. The amount of dielectric constant change will be defined by the length of the long chain species and the functionality attached. The buried reactant, such as a catalyst, a superacid, an amine salt or a solvating or co-solvating functional group. The superacid or the catalyst may function to either further crosslink (increase dielectric constant and increase etch resistance) or catalytically degrade (decrease dielectric constant) the underlayer. The amine salt may serve to degrade the immediate surrounding to the long chain functionality. The solvating or co- solvating functional group such as polyethylene oxide, polypropylene oxide, polylactic acid, polyethylactate, polyvinylsulfonic acid, may serve to co-solvate interior material when processed with a final clean step, or may serve to initiate and enhance etch rate (decrease etch resistance).
Thus, specific embodiments, methods of formation and applications of layered materials and components have been disclosed. It should be apparent, however, to those skilled in the art that many more modifications besides those already described are possible without departing from the inventive concepts herein. The inventive subject matter, therefore, is not to be restricted except in the spirit of the appended claims. Moreover, in interpreting both the specification and the claims, all terms should be interpreted in the broadest possible manner consistent with the context. In particular, the terms "comprises" and "comprising" should be interpreted as referring to elements, components, or steps in a non-exclusive manner, indicating that the referenced elements, components, or steps may be present, or utilized, or combined with other elements, components, or steps that are not expressly referenced.

Claims

CLAIMSWe claim:
1. A layered component comprising: a substrate; a dielectric material having a plurality of pores, wherein the material is coupled to the substrate; and a diffusion blocking material coupled to the dielectric material, wherein the diffusion blocking material is attracted to the dielectric material.
2. The layered component of claim 1, wherein the substrate comprises a plurality of layers.
3. The layered component of claim 1, wherein the plurality of layers comprises at least one silicon wafer.
4. The layered component of claim 1, wherein the dielectric material comprises at least one organic compound.
5. The layered component of claim A, wherein the at least one organic compound comprises at least one cage compound.
6. The layered component of claim 5, wherein the at least one cage compound comprises adamantane.
7. The layered component of claim 1, wherein the dielectric material comprises a low k dielectric material.
8. The layered component of claim 1, wherein the dielectric material comprises at least one inorganic compound.
9. The layered material of claim 1, wherein the diffusion blocking material comprises at least one CVD or ALD precursor material.
10. The layered material of claim 1, wherein the diffusion blocking material comprises at least one ligand.
11. The layered material of claim 10, wherein the at least one ligand is coupled to a metal atom.
12. The layered material of claim 10, wherein the ligand comprises a cyanine group, aporphyrin group, an acetylide group, a cyclop entadienyl group, a cyclo-olefinic group or multiolefinic group
13. The layered material of claim 11, wherein the metal atom is copper, iron, cobalt, titanium, tantalum, silver, magnesium, aluminum, gold, zinc, tungsten or chromium.
14. A layered material comprising: a dielectric material coupled to a surface having a plurality of pores, wherein each pore has a pore diameter; and a layer comprising a plurality of diffusion blocking particles, wherein the particles have a particle size that is larger than the pore diameter.
15. The layered material of claim 14, wherein the dielectric material comprises a low k material.
16. The layered material of claim 14, wherein the plurality of particles comprises at least one CVD or ALD precursor.
17. The layered material of claim 14, wherein the plurality of particles comprises at least one ligand-metal couple.
18. The layered material of claim 17, wherein the at least one ligand-metal couple comprises copper.
19. The layered material of claim 14, wherein the plurality of particles are physically attracted to the dielectric material.
20. The layered material of claim 14, wherein the plurality of particles form a stop layer.
21. The layered material of claim 20, wherein the stop layer decreases a diffusion of metal atoms into the dielectric material by at least about 50%.
22. The layered material of claim 21, wherein the stop layer decreases the diffusion of metal atoms into the dielectric material by at least about 75%.
23. The layered material of claim 14, wherein the plurality of particles comprise at least one crosslinkable substituent.
24. The layered material of claim 14, wherein the plurality of particles comprise at least one polymerizable group.
25. The layered material of claim 14, wherein the plurality of particles comprise differential diffusion properties into the substrate, including control of size, rigidity of structure, or side chain length or from which reactive species can be obtained through subsequent processes.
26. The layered material of claim 14, wherein the plurality of particles comprise at least one functionality having a catalytic potential.
27. The layered material of claim 14, wherein the plurality of particles comprise at least one adhesion promotion group.
28. The layered material of claim 14, wherein the plurality of particles comprise at least one surface wetting agent.
29. The layered material of claim 14, wherein the plurality of particles comprises at least one solubilizing agent to an adjacent layer of material.
30. The layered material of claim 14, wherein the plurality of particles comprise at least one fluoride-based silica etchant.
31. The layered material of claim 14, wherein the plurality of particles comprise at least one amine-based hydroxy cleaning agent.
32. The layered material of claim 14, wherein the plurality of particles are self assembled at the surface or comprise surface functionality that controls self-assembly.
33. The layered material of claim 14, wherein the diffusion blocking particle comprises a three dimensional rigid core structure sunounded by a surface layer.
34. The layered material of claim 33, wherein the rigid core structure comprises a silsequioxane cage or cubic caged structure, wherein the cubic caged structure comprises the general architectural shape of a silsequioxane cage, or a rigid inorganic ceramic structure such as a zeolite.
35. The layered material of claim 33, wherein the rigid core structure comprises an adamantyl, a buckyball or fullerenyl, a norbornenyl, a paracyclophane, or rigid metallo-organic (such as a ferrocenyl, a metal cyclopentadienide).
36. The layered material of claim 33, wherein the surface layer sunounding the rigid core comprises a highly branched structure.
37. The layered material of claim 36, wherein the highly branched structure comprises a dendrimer structure.
38. The layered material of claim 37, wherein the dendrimer structure comprises at least one of a polypropylenimine, a polyethylenimine, a poly(propyleneoxide- propylenimine), poly(ethyleneoxide-propyleneimine), poly(ethyleneoxide- ethylenimine), poly (propyleneoxide-ethylenimine), poly(triallylamine), poly(triallylmethane), poly(tetrallyhnethane), poly((tetrakis methylacryl) methane).
39. The layered material of claim 33, wherein the surface layer comprises a highly branched organosilane.
40. A method of minimizing the diffusion of metal atoms into a material having a plurality of pores, comprising: providing a precursor material that comprises molecules having a molecule size that is larger than a pore diameter of any of the plurality of pores; providing a solvent carrier solution; combining the precursor material and the solvent carrier solution to form a diffusion blocking reactive solution; and applying the diffusion blocking reactive solution to a layer of porous material.
41. The method of claim 40, wherein the material comprising a plurality of pores is further coupled to a substrate.
42. The method of claim 41, wherein the substrate comprises a plurality of layers.
43. The method of claim 42, wherein the plurality of layers comprises at least one silicon wafer.
44. The method of claim 40, wherein the material having a plurality of pores comprises a dielectric material having a dielectric constant less than about 4.
45. The method of claim 44, wherein the dielectric material comprises at least one organic compound.
46. The method of claim 45, wherein the at least one organic compound comprises at least one cage compound.
47. The method of claim 46, wherein the at least one cage compound comprises adamantane.
48. The method of claim 44, wherein the dielectric material comprises at least one inorganic compound.
49. The method of claim 40, wherein the diffusion blocking reactive material comprises at least one CVD or ALD precursor material.
50. The method of claim 40, wherein the diffusion blocking reactive material comprises at least one ligand.
51. The method of claim 50, wherein the at least one ligand is coupled to a metal atom.
52. The method of claim 50, wherein the ligand comprises a cyanine group, aporphyrin group, an acetylide group, a cyclopentadienyl group, a cyclo-olefinic group or multiolefinic group
53. The method of claim 51, wherein the metal atom is copper, iron, cobalt, titanium, tantalum, silver, magnesium, aluminum, gold, zinc, tungsten or chromium.
54. The method of claim 40, wherein diffusion blocking reactive solution is physically attracted to the dielectric material.
55. The method of claim 54, wherein the diffusion blocking reactive solution forms a stop layer.
56. The method of claim 55, wherein the stop layer decreases a diffusion of metal atoms into the material by at least about 50%.
57. The method of claim 56, wherein the stop layer decreases the diffusion of metal atoms into the material by at least about 75%.
58. An electronic component comprising the layered material of claim 1.
59. An electronic component comprising the layered material of claim 14.
60. A semiconductor component comprising the layered material of claim 1.
61. A semiconductor component comprising the layered material of claim 14.
PCT/US2003/017656 2002-06-03 2003-06-03 Layered components, materials, methods of production and uses thereof WO2003103020A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW092114914A TW200416131A (en) 2002-06-03 2003-06-02 Layered components, materials, methods of production and uses thereof
AU2003273546A AU2003273546A1 (en) 2002-06-03 2003-06-03 Layered components, materials, methods of production and uses thereof

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US38548202P 2002-06-03 2002-06-03
US60/385,482 2002-06-03

Publications (2)

Publication Number Publication Date
WO2003103020A2 true WO2003103020A2 (en) 2003-12-11
WO2003103020A3 WO2003103020A3 (en) 2004-06-03

Family

ID=29712173

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/017656 WO2003103020A2 (en) 2002-06-03 2003-06-03 Layered components, materials, methods of production and uses thereof

Country Status (3)

Country Link
AU (1) AU2003273546A1 (en)
TW (1) TW200416131A (en)
WO (1) WO2003103020A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011067329A1 (en) * 2009-12-03 2011-06-09 Basf Se Corrosion protection pigments having a positive zeta potential

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5965202A (en) * 1996-05-02 1999-10-12 Lucent Technologies, Inc. Hybrid inorganic-organic composite for use as an interlayer dielectric
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6423811B1 (en) * 2000-07-19 2002-07-23 Honeywell International Inc. Low dielectric constant materials with polymeric networks
US6472705B1 (en) * 1998-11-18 2002-10-29 International Business Machines Corporation Molecular memory & logic

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5965202A (en) * 1996-05-02 1999-10-12 Lucent Technologies, Inc. Hybrid inorganic-organic composite for use as an interlayer dielectric
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6472705B1 (en) * 1998-11-18 2002-10-29 International Business Machines Corporation Molecular memory & logic
US6423811B1 (en) * 2000-07-19 2002-07-23 Honeywell International Inc. Low dielectric constant materials with polymeric networks

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011067329A1 (en) * 2009-12-03 2011-06-09 Basf Se Corrosion protection pigments having a positive zeta potential

Also Published As

Publication number Publication date
AU2003273546A1 (en) 2003-12-19
AU2003273546A8 (en) 2003-12-19
WO2003103020A3 (en) 2004-06-03
TW200416131A (en) 2004-09-01

Similar Documents

Publication Publication Date Title
US20050238889A1 (en) Layered components, materials, methods of production and uses thereof
US8475666B2 (en) Method for making toughening agent materials
US7678712B2 (en) Vapor phase treatment of dielectric materials
US8629561B2 (en) Air gap-containing interconnect structure having photo-patternable low k material
US8952539B2 (en) Methods for fabrication of an air gap-containing interconnect structure
US8373271B2 (en) Interconnect structure with an oxygen-doped SiC antireflective coating and method of fabrication
US9059249B2 (en) Interconnect structures containing a photo-patternable low-k dielectric with a curved sidewall surface
Michalak et al. Porosity scaling strategies for low-k films
CN1839468B (en) Repairing damage to low-K dielectric materials using silylating agents
TW200845212A (en) Activated chemical process for enhancing material properties of dielectric films
US8896120B2 (en) Structures and methods for air gap integration
US9431295B2 (en) Interconnect structure including a modified photoresist as a permanent interconnect dielectric and method of fabricating same
US7915181B2 (en) Repair and restoration of damaged dielectric materials and films
US20200219765A1 (en) Interconnect structures containing patternable low-k dielectrics and anti-reflective coatings and method of fabricating the same
KR20070060117A (en) Treating agent materials
WO2003103020A2 (en) Layered components, materials, methods of production and uses thereof
CA2442030A1 (en) Layered stacks and methods of production thereof
US7910223B2 (en) Planarization films for advanced microelectronic applications and devices and methods of production thereof
Chen et al. Interconnect Processing: Integration, Dielectrics, Metals
Tsui et al. A novel wafer reclaim method for amorphous SiC and carbon doped oxide films
TW200528273A (en) Layered components, materials, methods of production and uses thereof
EP1676303A2 (en) Repairing damage to low-k dielectric materials using silylating agents

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase in:

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP