WO2003036767A2 - Parallel, individually addressable probes for nanolithography - Google Patents

Parallel, individually addressable probes for nanolithography Download PDF

Info

Publication number
WO2003036767A2
WO2003036767A2 PCT/US2002/023626 US0223626W WO03036767A2 WO 2003036767 A2 WO2003036767 A2 WO 2003036767A2 US 0223626 W US0223626 W US 0223626W WO 03036767 A2 WO03036767 A2 WO 03036767A2
Authority
WO
WIPO (PCT)
Prior art keywords
cantilever
substrate
probe
probes
tip
Prior art date
Application number
PCT/US2002/023626
Other languages
French (fr)
Other versions
WO2003036767A3 (en
Inventor
Chang Liu
Ming Zhang
David Andrew Bullen
Original Assignee
The Board Of Trustees Of The University Of Illinois
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by The Board Of Trustees Of The University Of Illinois filed Critical The Board Of Trustees Of The University Of Illinois
Priority to CNB028177150A priority Critical patent/CN1315169C/en
Priority to AU2002360242A priority patent/AU2002360242B2/en
Priority to EP02795486A priority patent/EP1410436B1/en
Priority to JP2003539142A priority patent/JP2005507175A/en
Priority to DE60229527T priority patent/DE60229527D1/en
Priority to KR1020047001158A priority patent/KR100961448B1/en
Priority to CA002454963A priority patent/CA2454963C/en
Publication of WO2003036767A2 publication Critical patent/WO2003036767A2/en
Publication of WO2003036767A3 publication Critical patent/WO2003036767A3/en

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R1/00Details of instruments or arrangements of the types included in groups G01R5/00 - G01R13/00 and G01R31/00
    • G01R1/02General constructional details
    • G01R1/06Measuring leads; Measuring probes
    • G01R1/067Measuring probes
    • G01R1/073Multiple probes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y35/00Methods or apparatus for measurement or analysis of nanostructures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N35/00Automatic analysis not limited to methods or materials provided for in any single one of groups G01N1/00 - G01N33/00; Handling materials therefor
    • G01N35/10Devices for transferring samples or any liquids to, in, or from, the analysis apparatus, e.g. suction devices, injection devices
    • G01N2035/1027General features of the devices
    • G01N2035/1034Transferring microquantities of liquid
    • G01N2035/1037Using surface tension, e.g. pins or wires
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01QSCANNING-PROBE TECHNIQUES OR APPARATUS; APPLICATIONS OF SCANNING-PROBE TECHNIQUES, e.g. SCANNING PROBE MICROSCOPY [SPM]
    • G01Q80/00Applications, other than SPM, of scanning-probe techniques
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/849Manufacture, treatment, or detection of nanostructure with scanning probe
    • Y10S977/855Manufacture, treatment, or detection of nanostructure with scanning probe for manufacture of nanostructure
    • Y10S977/857Manufacture, treatment, or detection of nanostructure with scanning probe for manufacture of nanostructure including coating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/849Manufacture, treatment, or detection of nanostructure with scanning probe
    • Y10S977/86Scanning probe structure
    • Y10S977/874Probe tip array

Definitions

  • the resolution can be improved by using beam-based
  • DPN Dip-pen nanolithography
  • DPN functions by depositing nanoscale patterns on surfaces using the diffusion
  • DPN offers a number of unique benefits, including direct writing
  • DPN technology can be implemented using a low-cost
  • the DPN probe chip is mounted on an SPM scanner tube in a manner similar to
  • the probes is attained by using the internal laser signal feedback control system
  • the present invention provides nanolithography, such as Dip-pen
  • nanolithography as well as nanoscale imaging, with individually addressable
  • a probe array having a plurality of active probes is
  • a plurality of independently addressable probes produces a plurality of traces of same or
  • An apparatus for applying at least one patterning
  • the apparatus includes an array
  • each probe including a cantilever, a tip at a distal end of the
  • the actuator is designed to be
  • Methods are also provided for fabricating active probe arrays.
  • the actuator deflects
  • the cantilever in response to applied electrical current to move the tip relative
  • the actuator may be thermally operated.
  • a thermal actuator includes
  • resistive heater connected to the cantilever and a wire connecting the resistive
  • the cantilever is bent selectively in response to the applied current.
  • patch of thin metal film may be connected to the cantilever for enhancing the
  • the actuator may be any suitable actuator that deflects the cantilever in response to applied voltage.
  • the actuator may be any suitable actuator that deflects the cantilever in response to applied voltage.
  • the actuator may be any suitable actuator that deflects the cantilever in response to applied voltage.
  • the actuator may be any suitable actuator that deflects the cantilever in response to applied voltage.
  • the actuator may be any suitable actuator that deflects the cantilever in response to applied voltage.
  • paddle electrode formed at an inner end of the cantilever opposite to the tip
  • the paddle electrode faces the counter electrode with a
  • electrostatic attraction force bends the cantilever beam and therefore moves the
  • a preferred type of method of the current invention provides a
  • the method includes the steps of:
  • each probe having a tip
  • the selected probe does not apply patterning
  • the probes may be configured for nanolithography.
  • the probes can be configured for nanolithography.
  • molecular state of the surface may be used for imaging.
  • the step of selectively actuating an individual probe includes applying a
  • Electrodes are moved towards one another, preferably to deflect the cantilever
  • FIG. 1 is a schematic representation of the DPN process, showing
  • FIG. 2 is a schematic diagram of a parallel nanolithography
  • FIGs. 3A-3B are schematics of an array of bimetallic thermally
  • FIGs. 4A-4B are schematics of a bimetallic thermally actuated
  • FIGs. 5A-5E are schematic drawings showing major steps in the
  • FIGs. 6A-6D are schematic drawings showing a top view of the
  • FIG. 7 is a schematic drawing of an electrostatically actuated
  • FIG. 8 is a schematic drawing of an array of electrostatically
  • FIG. 9 is a schematic showing a top view of an electrostatic
  • FIGs. 10A-10F are schematics taken along a section of FIG. 9
  • FIG. 11 is a schematic drawing of a two-dimensional array DPN
  • the present invention provides active probes
  • Nanolithography such as DPN.
  • Devices according to the present invention can be any type of nanolithography, such as DPN.
  • Devices according to the present invention can be any type of nanolithography, such as DPN.
  • Devices according to the present invention can be any type of nanolithography, such as DPN.
  • Devices according to the present invention can be any type of nanolithography, such as DPN.
  • the active probe arrays offer greater functionality by allowing actuation of
  • the present invention is primarily directed to methods and devices for
  • the active probe array can also be used for other existing or
  • SPM microscope
  • AFM atomic force microscope
  • DPN employs a tip on a distal end of a cantilever of an AFM
  • the tip 20 applies a patterning
  • compound 26 may be a hydrophobic patterning compound with a chemical
  • ODT octadecanethiol
  • MHA mercaptohexadecanoic acid
  • DPN employs molecular
  • probes 22 with an inter-probe spacing of 1.4 mm to write a plurality of patterns
  • This technique also allows application of multiple patterns 28, where each pattern contains a different patterning
  • invention provides a nanoplotter with an array of independently active
  • microfabricated, and preferably closely-spaced DPN probes are preferably closely-spaced DPN probes.
  • FIG. 2 shows a schematic view of an active multi-pen, parallel
  • a DPN probe chip 34 having a probe array including a plurality of
  • active probes 38 is mounted on an AFM scanner tube 40 in a manner similar to
  • AFM feedback electronics 42 typically piezo
  • a connected auxiliary control circuit 48 directs individual movement of the tips
  • probe chip 34 is raster-scanned along the substrate 24 for
  • the actuator moves a cantilever 50 of the active probe 38
  • the active probe 38 can
  • FIGs. 3A and 3B show an array 56 of thermally actuated probes
  • the array 56 is
  • thermally actuated probes (indicated by arrows) are flexed upwardly (in FIGs.
  • patterns 28 may be controlled by programming the control circuit 48.
  • probes 54 preferably is silicon nitride thin film formed by low-pressure
  • LPCVD chemical vapor deposition methods
  • the thermally actuated probes 54 are
  • At least a resistive heater 66 At least a resistive heater 66.
  • FIGs. 4A and 4B show one of the thermally actuated probes 54
  • probe 54 is coupled to a bonding wire 70 for carrying current to the resistive
  • the bonding wire 70 is in turn coupled to the control circuit 48 for
  • thermally actuated probes 54 Preferably, a metal film patch 68 is connected to
  • the cantilever 50 to increase the deflection of the probe 54.
  • FIGs. 5A-5E and 6A-6D show formation steps for the thermally
  • actuated probe array 56 forming a single thermally actuated probe 54 and a
  • the oxide layer 60 is patterned photolithographically to
  • FIG. 5B also in FIG. 6A, a portion
  • a layer of LPCVD silicon nitride 64 is
  • thermally active probe 54 including the cantilever 50. As shown in
  • FIGs. 5D FIG. 6C
  • the resistive (ohmic) heater 66 the resistive (ohmic) heater 66 and the (optional) metal
  • the thermally actuated probes 54 are
  • portion of the silicon substrate 62 provides a handle for the thermally actuated
  • the thermally actuated probes 54 in response to an
  • control circuit 48 sends a current through
  • the silicon nitride cantilever 50 and the gold patch 68 are identical to the silicon nitride cantilever 50 and the gold patch 68.
  • the parameters w, t, ⁇ and ⁇ , respectively, are the width, thickness, Young's
  • the temperature of the thermal actuator is dictated by
  • wires 70 causes the cantilever 50 of the thermally actuated probes 54 connected
  • the throughput of probe-based nanolithography can be made
  • the thermally actuated probe array 56 manufactured
  • an electrostatically actuated probe 72 shown in a preferred type
  • the probe 72 is formed as a unit
  • the electrostatically actuated probe 72 is shown in combination with the probe chip 34. As shown in FIGs. 7 and 8, the electrostatically actuated probe 72
  • an electrostatic actuator 76 which may include a paddle-shaped plate
  • the paddle-shaped plate 78 is preferably integrally formed with the tip 20.
  • electrostatically actuated probe 72 The electrostatic actuator 76 furthermore
  • a counter electrode 81 which is preferably stationary, and may be
  • the counter electrode 81 may be formed as part of a parallel
  • the bonding pads 85 are preferably electrically connected to the bonding pads 85 .
  • control circuit 48 for selectively applying a voltage to one or more of the
  • electrostatically actuated probe 72 is also provided.
  • each of the array 74 of electrostatically actuated probes 72 is preferably a section of a unitary piece (such as a twist beam) laterally extending through
  • each individual probe It is further preferred that each section of the spring 80
  • the spring 80 such as the cross-sectional area, and its location relative to the tip
  • FIG. 9 is a top view of a preferred embodiment of the
  • electrostatically actuated probe 72 It is preferred, though not required, that the
  • cantilever 50, paddle-shaped plate 78, and soft spring 80 be formed integrally
  • FIGs. 10A-10F Referring first to FIG. 10A, a silicon
  • dioxide layer 82 is grown on a front side of a three-layered wafer containing a
  • the silicon layer 84 may be doped by phosphorous.
  • dioxide layer 82 defines boundaries of a mask for forming the tip 20.
  • the silicon dioxide layer 82 can define boundaries for forming a
  • the silicon tip 20 and the spacer 90 are formed from the epitaxial silicon wafer 88 by EDP etching.
  • FIG. IOC a
  • thermal oxide layer 92 is grown over the epitaxial silicon wafer 88, including
  • the thermal oxide layer 92 is
  • boron-doped silicon layer 84 including, preferably integrally, the cantilever 50,
  • paddle-shaped plate 78 and the soft spring 80 is wider in cross-sectional area
  • the tip 20 is greater because the bending torque is fully transferred to the
  • connected counter electrode 81 are formed or placed over the spacer 90.
  • the preferred fabrication method results in electrostatically
  • actuated probes 72 having a sharp tip 20 (preferably, ⁇ 100 nm radius of
  • electrostatically actuated probes 72 according to a preferred embodiment of the
  • Bonding wires (not shown) preferably connect the paddle-shaped
  • the counter electrode 81 is preferably
  • the paddle-shaped plate may be coupled to a
  • the counter electrode may be grounded.
  • paddle-shaped plate 78 to apply potential to the paddle-shaped plate 78, while
  • the conductive counter electrode 81 is grounded.
  • the tip 20 can thus be selectively lifted to suspend the writing (or
  • FIG. 11 shows a two-dimensional array 100 according to
  • array 100 shown in FIG. 11 includes a chip 102 having six rows and five
  • 104 may be produced by, for example, modifying the formation process for the
  • thermally actuated probe array 56 to extend cantilevers of individual, thermally
  • actuated probes 54 from cavities (replicated cells) that are preferably evenly
  • cantilever 50 The methods for modifying steps of fabrication and operation

Abstract

A microfabricated probe array (32, 100) for nanolithography and process for designing and fabricating the probe array. The probe array (32, 100) consists of individual probes (35, 54, 72, 104) that can be moved independently using thermal bimetallic actuation or electrostatic actuation methods. The probe array (32, 100) can be used to produce traces of diffusively transferred chemicals (26) on a substrate (24) with sub-1 micrometer resolution, and can function as an arrayed scanning probe microscope for subsequent reading and variation of transferred patterns.

Description

PARALLEL, INDIVIDUALLY ADDRESSABLE PROBES FOR NANOLITHOGRAPHY
DESCRIPTION
The present invention relates generally to the fields of
nanolithography and nanoscale imaging.
BACKGROUND ART
High-throughput lithography and surface patterning with
extremely fine linewidths (e.g., on the order of 10-100 nm) is important for the
future growth of the microelectronics industry and nanotechnology. Next-
generation integrated circuit technology will inevitably call for efficient and
low-cost generation of features with a sub-100-nm linewidth. The emerging
field of nanotechnology also requires patterning and functionalization of
surfaces with a spatial resolution that is comparable with the scale of the
molecules and cells that need to be manipulated and modified.
The resolution of conventional projection optical lithographic
systems, still the most widely used in the microelectronics industry, is limited
by optical diffraction. The resolution can be improved by using beam-based
direct-writing tools with high energy and short wavelengths. High-energy
beam lines, including ones that rely on electron beams and X-rays, are being
used. However, such direct-write lithography systems suffer from several drawbacks. First, such systems are invariably complex and expensive.
Second, these lithographic tools operate with a single beam and produce
patterns in a serial manner, resulting in low throughput. Third, conventional
high resolution lithography systems are not capable of depositing patterns made
of biological molecules or chemical compounds. Only special chemical resists
may be used.
Dip-pen nanolithography (DPN) is a recently introduced method
of scanning probe nanolithography. A description of DPN is contained in
PCT/US00/00319, the entirety of which is incorporated herein by reference.
DPN functions by depositing nanoscale patterns on surfaces using the diffusion
of a chemical species from a scanning probe tip to the surface, sometimes via a
water meniscus that naturally forms between tip and sample under ambient
conditions. As the tip is moved across the surface of a substrate, molecules on
the surface of the tip are transported through the water meniscus that forms
between the tip and the substrate surface. Once on the surface, the molecules
chemically anchor themselves to the substrate, forming robust patterns.
Features in the ten nanometer to several micrometer range can be fabricated
with commercially available silicon nitride tips. One factor that influences the
linewidth of DPN writing is the linear speed of the tip. Smaller linewidths are
achieved with faster tip speeds. Other factors that influence the linewidth
include the sharpness of the DPN tip and the diffusion constants of the
molecules used as inks. DPN offers a number of unique benefits, including direct writing
capability, high resolution (~ lOnm linewidth resolution, ultimate ~ 5 nm
spatial resolution), ultrahigh nanostructure registration capabilities, the
flexibility to employ a variety of molecules for writing compounds (including
biomolecules) and writing substrates (such as Au, Si02, and GaAs), the ability
to integrate multiple chemical or biochemical functionalities on a single "nano-
chip", a one-layer process for patterning, and the ability to automate patterning
using customized software.
DPN technology can be implemented using a low-cost
commercial scanning probe microscope (SPM) instrument. In a typical setup,
the DPN probe chip is mounted on an SPM scanner tube in a manner similar to
commercially available SPM tips. Precise horizontal and vertical movement of
the probes is attained by using the internal laser signal feedback control system
of the SPM machine.
DISCLOSURE OF THE INVENTION
The present invention provides nanolithography, such as Dip-pen
nanolithography, as well as nanoscale imaging, with individually addressable
probes in dip-pen arrays. A probe array having a plurality of active probes is
provided, which allows greater functionality than in conventional, single-pen
DPN by allowing independent actuation of individual probes through supplying
current or voltage to an actuator coupled with the probe. A plurality of independently addressable probes produces a plurality of traces of same or
different chemicals.
An apparatus is provided for applying at least one patterning
compound to a substrate for nanolithography. The apparatus includes an array
of parallel probes, each probe including a cantilever, a tip at a distal end of the
cantilever for applying one of the at least one patterning compound to the
substrate, and an actuator operatively coupled to the cantilever. The probes
may be configured for nanolithography. The actuator is designed to be
responsive to an applied current or voltage to move the cantilever and thus
move the tip away from the substrate. The contact state between individual
probe tips and the writing substrate can thus be independently controlled. In
the case of DPN writing, the patterning process is suspended when the probe
tip leaves the substrate. A number of preferred types of embodiments are
disclosed. Methods are also provided for fabricating active probe arrays.
In one type of embodiment of the invention, the actuator deflects
the cantilever in response to applied electrical current to move the tip relative
to the substrate. The actuator may be thermally operated.
According to a preferred embodiment, a thermal actuator includes
a resistive heater connected to the cantilever and a wire connecting the resistive
heater to a current source. When a current is applied through the resistive
heater, heat is generated due to ohmic heating, thus raising the temperature of
the resistor as well as the cantilever. Due to difference in the thermal
expansion coefficient of the materials for the cantilever and for the metal resistor, the cantilever is bent selectively in response to the applied current. A
patch of thin metal film may be connected to the cantilever for enhancing the
extent of thermal bending.
In a second type of embodiment of the invention, the actuator
deflects the cantilever in response to applied voltage. The actuator may be
electrostatically operated. Preferred displacement is created by applying a
voltage differential between two electrodes, at least one of them being not
stationary.
A preferred embodiment of an electrostatic actuator includes a
paddle electrode formed at an inner end of the cantilever opposite to the tip and
a counter electrode. The paddle electrode faces the counter electrode with a
gap having a predefined gap spacing. When a differential electrical voltage is
applied across the top electrode and the counter electrode, the resultant
electrostatic attraction force bends the cantilever beam and therefore moves the
tip positions.
A preferred type of method of the current invention provides a
method for applying at least one patterning compound to a substrate for high¬
speed probe-based nanolithography. The method includes the steps of:
providing an array of individually addressable probes, each probe having a tip
on a distal end; coating tips with same or different chemical substances;
positioning the tips of the array of individually addressable probes over the
substrate so that the tips are in contact with the substrate; raster-scanning the
probes over the substrate surface; and selectively actuating at least one selected probe from the array of probes to move the tip of the selected probe away from
the substrate. Accordingly, the selected probe does not apply patterning
compound to the substrate when selected, while the non-selected probes apply
at least one patterning compound to the substrate. Arbitrary two-dimensional
patterns can be produced by raster-scanning the chip that contains the arrayed
probes while controlling the position of individual probes during the scanning
process. The probes may be configured for nanolithography. The probes can
also be generally applied to other nanolithography techniques where the
interaction between a tip and a substrate alters the electrical, chemical, or
molecular state of the surface, and may be used for imaging.
According to a preferred method of the present invention, the step
of selectively actuating at least one selected probe includes the step of applying
a current to a resistive heater connected to the cantilever, so that the cantilever
beam is flexed. The deflection of the cantilever moves the tip away from the
substrate to suspend writing on the substrate.
According to another preferred method of the present invention,
the step of selectively actuating an individual probe includes applying a
differential electrical voltage across a counter electrode and a moving electrode
connected to an end of the selected probe. In this way, the moving and counter
electrodes are moved towards one another, preferably to deflect the cantilever
of the probe and move the tip away from the substrate. BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a schematic representation of the DPN process, showing
a single tip coated with chemical compounds passing over a substrate (writing
surface);
FIG. 2 is a schematic diagram of a parallel nanolithography
writing system having a probe array according to one type of embodiment of
the present invention, interfaced with an auxiliary control unit;
FIGs. 3A-3B are schematics of an array of bimetallic thermally
actuated probes before and after deflection of selected probes, respectively,
according to a preferred type of embodiment of the present invention;
FIGs. 4A-4B are schematics of a bimetallic thermally actuated
probe before and after deflection of the probe, respectively;
FIGs. 5A-5E are schematic drawings showing major steps in the
fabrication process of a thermally actuated probe according to a preferred
aspect of the invention;
FIGs. 6A-6D are schematic drawings showing a top view of the
fabrication steps shown in FIGs. 5B-5E, respectively;
FIG. 7 is a schematic drawing of an electrostatically actuated
probe according to a preferred type of embodiment of the invention;
FIG. 8 is a schematic drawing of an array of electrostatically
actuated probes according to a preferred type of embodiment of the invention; FIG. 9 is a schematic showing a top view of an electrostatic
actuator probe;
FIGs. 10A-10F are schematics taken along a section of FIG. 9
and in the direction indicated, showing fabrication steps for an electrostatically
actuated probe according to a preferred method of the invention; and
FIG. 11 is a schematic drawing of a two-dimensional array DPN
nanoplotter according to another preferred embodiment of the invention.
BEST MODE OF CARRYING OUT THE INVENTION
Generally speaking, the present invention provides active probes
and active probe arrays, which are designed to achieve direct-write
nanolithography, such as DPN. Devices according to the present invention can
generate sub-lOOnm patterns in a high speed, parallel, and controllable fashion.
The active probe arrays offer greater functionality by allowing actuation of
individual probes through supplying current or voltage to an actuator of the
probe. The present invention is primarily directed to methods and devices for
parallel DPN using active probe arrays, and methods for fabricating active
probes and active probe arrays.
The active probe array can also be used for other existing or
future surface patterning and lithography methods based on the scanning probe
microscope (SPM) instrument family. An atomic force microscope (AFM) is
considered a member of the SPM instrument family. Examples of such lithography systems include local thermal oxidation and displacement
lithography.
Referring now to FIG. 1, an example of a conventional DPN
process is shown. DPN employs a tip on a distal end of a cantilever of an AFM
probe 22 (or other SPM probe) to deposit, or "write", nanoscale patterns onto a
solid writing substrate 24, such as gold. The tip 20 applies a patterning
compound 26 coated on the tip to the writing substrate 24. The patterning
compound 26 may be a hydrophobic patterning compound with a chemical
affinity for the writing substrate 24, such as, but not limited to, 1-
octadecanethiol (ODT) or mercaptohexadecanoic acid (MHA).
Similar to traditional macroscopic "dip pens" (e.g., quill,
fountain, or ball-point pens, or multi-pen plotters), DPN employs molecular
(capillary) transport to transfer the patterning compound 26 from the tip 20 to
the writing substrate 24, forming a pattern 28 of the patterning compound. A
water meniscus 30 forms between the tip 20 and the writing substrate 24 due to
relative humidity in a work area, and the meniscus carries the patterning
compound 26 from the tip to the writing substrate as the tip is moved relatively
to the writing substrate in the direction of the writing W, as indicated on FIG.
1.
Initial DPN processes involved a single probe 22 (pen). Parallel
patterns also have been realized using an array of up to eight commercial
probes 22 with an inter-probe spacing of 1.4 mm to write a plurality of patterns
28 on the writing substrate 24. This technique also allows application of multiple patterns 28, where each pattern contains a different patterning
compound, such as a biocompound. Parallel writing is also useful, for
example, to form patterns 28 during integrated circuit formation. Examples of
parallel probe structures can be found in R. Piner et al, "Dip-Pen"
Nanolithography, Science, 1999, v. 283, pp. 661-663; S. Hong et al, Multiple
Ink Nanolithography: Toward a Multiple-Pen Nano-Plotter, 1999, v. 286, pp.
523-525; S. Hong et al, A Nanoplotter with Both Parallel and Serial Writing
Capabilities, Science, v. 288, pp. 1808-1811.
Conventional parallel probe DPN processes are performed using
commercially available AFM probes 22. Individual probes 22 cannot be
moved independently from one another. Hence, all probes 22 must move
simultaneously. Also, the inter-probe spacing of current parallel DPN arrays is
too large for certain DPN applications and cannot fully satisfy the needs for a
high-throughput and high-density arrayed DPN writing system. The present
invention provides a nanoplotter with an array of independently active,
microfabricated, and preferably closely-spaced DPN probes.
FIG. 2 shows a schematic view of an active multi-pen, parallel
DPN writing system 32 according to one type of embodiment of the current
invention. A DPN probe chip 34 having a probe array including a plurality of
active probes 38 is mounted on an AFM scanner tube 40 in a manner similar to
standard single-tip AFM probes. AFM feedback electronics 42, typically piezo
tube electronics, control horizontal and vertical movement of the probe chip 34. As the tips 20 of the active probes 38 are in contact with the
writing substrate 24, an integrated actuator (not shown in FIG. 2) controlled by
a connected auxiliary control circuit 48 directs individual movement of the tips,
preferably while the probe chip 34 is raster-scanned along the substrate 24 for
patterning. The term "in contact" is intended to refer to a sufficient proximity
between the tips 20 and the substrate 24 to allow patterning of the patterning
compound 26. When supplied with current or voltage from the control unit 48
via the probe chip 34, the actuator moves a cantilever 50 of the active probe 38
to lift the tip 20 at an end of the cantilever off the writing substrate 24. This
suspends the chemical deposition process. In this way, the active probe 38 can
be individually controlled through selective application of current or voltage to
create arbitrary patterns with high throughput.
FIGs. 3A and 3B show an array 56 of thermally actuated probes
54 according to a preferred type of embodiment of the present invention, before
and after actuation of selected probes, respectively. In FIG. 3A, the array 56 is
shown having five thermally actuated probes 54, none of which is actuated. In
response to an applied current, and as shown in FIG. 3B, the second and fourth
thermally actuated probes (indicated by arrows) are flexed upwardly (in FIGs.
3A and 3B, into the paper), thus moving their tips 20 away from the writing
substrate 24, and suspending chemical deposition. It will be appreciated by
those skilled in the art that the selective distribution of current to form the
patterns 28 may be controlled by programming the control circuit 48. The material of the cantilever beam 50 in the thermally actuated
probes 54 preferably is silicon nitride thin film formed by low-pressure
chemical vapor deposition methods (LPCVD). According to a preferred type
of method of the present invention, the thermally actuated probes 54 are
formed by creating silicon nitride probes that include a thermal actuator having
at least a resistive heater 66.
FIGs. 4A and 4B show one of the thermally actuated probes 54
in non-flexed and flexed (actuated) positions, respectively. The resistive heater
66, patterned onto the silicon nitride cantilever 50 of the thermally actuated
probe 54, is coupled to a bonding wire 70 for carrying current to the resistive
heater. The bonding wire 70 is in turn coupled to the control circuit 48 for
selectively distributing current to the bonding wire 70 and thus actuating the
thermally actuated probes 54. Preferably, a metal film patch 68 is connected to
the cantilever 50 to increase the deflection of the probe 54.
FIGs. 5A-5E and 6A-6D show formation steps for the thermally
actuated probe array 56, forming a single thermally actuated probe 54 and a
pair of thermally actuated probes, respectively. Referring to FIG. 5A, a silicon
dioxide thin film 60 is grown on a front side of a silicon substrate 62,
preferably a <100>-oriented silicon wafer, to form a protective mask for
creating the tip 20. The oxide layer 60 is patterned photolithographically to
realize the mask for forming the tip 20. In FIG. 5B (also in FIG. 6A), a portion
of the silicon substrate 62 defining the pyramidal shape of the tip 20 is formed
by using anisotropic wet etching in ethylene diamine pyrocatechol (EDP). Next, as shown in FIG. 5C (FIG. 6B), a layer of LPCVD silicon nitride 64 is
deposited and patterned onto the etched silicon substrate 62 to define the shape
of the thermally active probe 54, including the cantilever 50. As shown in
FIGs. 5D (FIG. 6C), the resistive (ohmic) heater 66 and the (optional) metal
patch 68 are formed on the thermally active probe 54 by depositing and
patterning, for example, Cr/Au onto the layer of silicon nitride 64, creating an
integrated bimetallic thermal actuator. The thermally actuated probes 54 are
then released by using EDP etching to undercut the support substrate 62. A
portion of the silicon substrate 62 provides a handle for the thermally actuated
probes 54, as shown in FIGs. 4A and 4B.
In operation, the thermally actuated probes 54, in response to an
applied current, bend along their length to move the tip 20 as shown in FIG.
4B, due to differential thermal expansion of the metal for the resistive heater 66
and optional patch 68 and the cantilever 50 of the thermally actuated probe. In
a preferred method of operation, the control circuit 48 sends a current through
the bonding wire 70 to the resistive heater 66 to bend the thermally actuated
probe 54 into a circular arc of radius R due to differential thermal expansion of
the silicon nitride cantilever 50 and the gold patch 68.
The expression for R under a given temperature change of NT is
approximately R = (w^)Z + W ')' + 2w1w2E1E2t1t2(2t1 2 + t2 + 2t2 z) _
6w1w2E1E2t1t2(t1 +t2)( 1 -a2)_ T
The parameters w, t, Ε and α, respectively, are the width, thickness, Young's
modulus of elasticity, and the coefficient of thermal expansion of two
constituent materials, denoted as materials 1 and 2. The subscripts correspond to these two materials. The temperature of the thermal actuator is dictated by
the heat balance of the beam. Heat is generated by ohmic heating and lost
through conduction and convection.
In the thermally actuated probe 54, the bending of the cantilever
beam 50 results in a deflection of the tip 20 of δ:
Figure imgf000016_0001
Accordingly, application of current through selected bonding
wires 70 causes the cantilever 50 of the thermally actuated probes 54 connected
to the bonding wires to deflect upwardly and move the tip 20, as shown in FIG.
4B.
The throughput of probe-based nanolithography can be made
very high when a large number of active probes 38 in parallel are integrated on
the probe chip 34. The thermally actuated probe array 56, manufactured
according to the preferred type of embodiment of the present invention
described above, results in a compact nanoplotter with high probe densities
(spaced 100 μm on center) and integrated sharp tips, and may be used for
nanolithography and AFM imaging.
According to another preferred type of embodiment of the present
invention, an electrostatically actuated probe 72, shown in a preferred type
embodiment in FIG. 7, is provided. Preferably, the probe 72 is formed as a unit
of an electrostatic probe array 74, shown in a preferred embodiment in FIG. 8
in combination with the probe chip 34. As shown in FIGs. 7 and 8, the electrostatically actuated probe 72
includes an electrostatic actuator 76, which may include a paddle-shaped plate
78 at the inner longitudinal end of the cantilever 50, longitudinally opposite to
the tip 20. The paddle-shaped plate 78 is preferably integrally formed with the
electrostatically actuated probe 72. The electrostatic actuator 76 further
includes a counter electrode 81, which is preferably stationary, and may be
formed on the probe chip 34, for electrostatically interacting with the paddle-
shaped plate 78. The counter electrode 81 may be formed as part of a parallel
array of electrodes electrically connected to a number of bonding pads 85
longitudinally opposed to the counter electrodes, and both are patterned,
adhered, or otherwise formed or attached to a glass substrate 94 which, in the
completed embodiment, covers the array of counter electrodes and connecting
bonding pads. The bonding pads 85 are preferably electrically connected to the
control circuit 48 for selectively applying a voltage to one or more of the
bonding pads. Methods for manufacturing the glass layer 94 including the
counter electrodes 81 and the bonding pads 85 will be apparent to those in the
art.
It is preferred that the electrostatically actuated probe 72 is also
supported along the cantilever 50, preferably at or near a midpoint of the
cantilever, by a compact, soft spring 80, for providing torsion support to the
electrostatically actuated probe, allowing deflection and thus angular motion of
the probe, for moving the tip 20 of the probe. As shown in FIG. 8, the spring
80 for each of the array 74 of electrostatically actuated probes 72 is preferably a section of a unitary piece (such as a twist beam) laterally extending through
each individual probe. It is further preferred that each section of the spring 80
have a relatively small cross section in a direction parallel to the longitudinal
direction of the cantilever 50. As one in the art will appreciate, dimensions of
the spring 80 such as the cross-sectional area, and its location relative to the tip
20, can be varied depending on boundary conditions to control the angular
flexibility of the cantilever 50.
FIG. 9 is a top view of a preferred embodiment of the
electrostatically actuated probe 72. It is preferred, though not required, that the
cantilever 50, paddle-shaped plate 78, and soft spring 80 be formed integrally
from boron-doped silicon. This material is preferred both for its low etch rate
in EDP solutions and for its relatively high electrical conductivity.
A preferred method of fabrication of the electrostatically actuated
probe 72 is shown in FIGs. 10A-10F. Referring first to FIG. 10A, a silicon
dioxide layer 82 is grown on a front side of a three-layered wafer containing a
heavily boron-doped silicon layer 84 sandwiched between a <100>-oriented
silicon wafer 86 and an epitaxial <100>-oriented silicon layer 88.
Alternatively, the silicon layer 84 may be doped by phosphorous. The silicon
dioxide layer 82 defines boundaries of a mask for forming the tip 20.
Furthermore, the silicon dioxide layer 82 can define boundaries for forming a
spacer 90, which vertically separates the electrostatically actuated probe 72
from the counter electrode 81, which is patterned on a separate glass substrate
94. In FIG. 10B, the silicon tip 20 and the spacer 90 are formed from the epitaxial silicon wafer 88 by EDP etching. Next, as shown in FIG. IOC, a
thermal oxide layer 92 is grown over the epitaxial silicon wafer 88, including
the tip 20, the spacer 90, and the boron-doped silicon layer 84 to protect the
front side during the final release. As shown in FIG. 10D, the silicon wafer 86
is then etched by EDP to remove material underneath the boron-doped silicon
layer 84, and release the boron-doped silicon cantilever 50.
Next, as shown in FIG. 10E, the thermal oxide layer 92 is
removed, and the electrostatically actuated probes 84 are formed from the
boron-doped silicon layer 84, including, preferably integrally, the cantilever 50,
the soft spring 80, and the paddle-shaped plate 78, for each probe in the array.
Preferably, the portion of the cantilever 50 longitudinally disposed between the
paddle-shaped plate 78 and the soft spring 80 is wider in cross-sectional area
along the lateral direction, i.e. in the direction parallel to the length of the soft
spring, than the distal portion of the cantilever. In this way, the deflection of
the tip 20 is greater because the bending torque is fully transferred to the
support spring 80. The electrostatically actuated probe 72 is released.
Finally, as shown in FIG. 10F, the layer of glass 94 and the
connected counter electrode 81 are formed or placed over the spacer 90.
The preferred fabrication method results in electrostatically
actuated probes 72 having a sharp tip 20 (preferably, <100 nm radius of
curvature) and spaced approximately 620 μm on center. Accordingly,
electrostatically actuated probes 72 according to a preferred embodiment of the
present invention can be used for both DPN writing and AFM imaging. Bonding wires (not shown) preferably connect the paddle-shaped
plate 78 to ground potential, while the counter electrode 81 is preferably
electrically coupled to the control circuit 48 via the bonding pads 85 for
applying voltage to the counter electrode. It will be appreciated that the
electric potentials of the paddle-shaped plate 78 and the counter electrode 81
may alternatively be reversed; i.e. the paddle-shaped plate may be coupled to a
voltage source, while the counter electrode may be grounded. The
modifications necessary for such an alternative embodiment will be understood
by those in the art.
In a preferred method of operation, voltage is applied to the
paddle-shaped plate 78 to apply potential to the paddle-shaped plate 78, while
the conductive counter electrode 81 is grounded. Again, alternatively, the
voltage application and grounding functions could be reversed between the
counter electrode 81 and the paddle-shaped plate 78. Either operation applies a
differential electrical voltage across the counter electrode 81 and the paddle-
shaped plate 78, which are preferably separated by the spacer 90. An attractive
force develops between the plates of the counter electrode 81 and the paddle-
shaped plate 78 that pulls them toward each other, thus tilting the cantilever 50,
and preferably angularly deflecting the cantilever 50 about the soft spring 80,
to move the tip 20 away from the substrate 24. As in the thermally actuated
probes 54, the tip 20 can thus be selectively lifted to suspend the writing (or
imaging) process. A number of preferred embodiments have been described for
active, one-dimensional arrays. However, arrays are possible in two
dimensions as well. FIG. 11 shows a two-dimensional array 100 according to
another preferred embodiment of the present invention. The two-dimensional
array 100 shown in FIG. 11 includes a chip 102 having six rows and five
columns of downwardly-angled probes 104. The downwardly-angled probes
104 may be produced by, for example, modifying the formation process for the
thermally actuated probe array 56 to extend cantilevers of individual, thermally
actuated probes 54 from cavities (replicated cells) that are preferably evenly
disposed along the two-dimensional array 100. The thermally actuated probes
54 are preferably integrated into the two-dimensional array 100 as the
downwardly-angled probes 104 due to a shorter required length for each
cantilever 50. The methods for modifying steps of fabrication and operation
for the thermally actuated probes 54 in the two-dimensional array 100 will be
understood by those in the art.
One skilled in the art can appreciate that several inventive
devices and methods for DPN arrays have been shown and described, which
have various attributes and advantages. By configuring each probe to be
individually addressed and actuated by application of current or voltage, either
thermally or electrostatically, the active probe arrays according to embodiments
of the present invention allow the formation of arbitrary patterns with added
resolution, at throughput comparable to conventional methods. While various embodiments of the present invention have been
shown and described, it should be understood that other modifications,
substitutions and alternatives are apparent to one of ordinary skill in the art.
Such modifications, substitutions and alternatives can be made without
departing from the spirit and scope of the invention, which should be
determined from the appended claims.
Various features of the invention are set forth in the appended
claims.

Claims

CLAIMS:
1. An apparatus (32, 100) for applying at least one patterning
compound (26) to a substrate (24) for nanolithography, the apparatus comprising:
an array (56, 74) of actuated probes (38, 54, 72, 104), said array of
actuated probes being arranged in parallel, wherein each of said actuated probes
comprises:
a cantilever (50);
a tip (20) at an end of said cantilever for applying one of said at least
one patterning compound to said substrate; and
an actuator (66, 68, 76) operatively coupled to said cantilever, said
actuator being responsive to an applied current or voltage to move said cantilever
so as to move said tip relative to said substrate.
2. The apparatus of claim 1 wherein each of said array of
actuated probes is configured for Dip-pen nanolithography.
3. The apparatus of claim 1 wherein
said cantilever deflects in response to said current or voltage from a
current or voltage source to move said tip .
4. The apparatus of claim 3 wherein said actuator is a thermal
actuator (66, 68).
5. The apparatus of claim 3 wherein said actuator is an
electrostatic actuator (76).
6. The apparatus of claim 4 wherein said thermal actuator
further comprises:
a resistive heater (66) connected to said cantilever, said resistive
heater being selectively operable in response to said current; and
a wire (70) electrically connecting said resistive heater to a current
source (48), whereby application of current from said current source to said
resistive heater results in a deflection of said cantilever.
7. The apparatus of claim 6 wherein said thermal actuator further
comprises:
a metal patch (68) connected to said cantilever, with said metal patch
having a coefficient of thermal expansion different from a coefficient of thermal
expansion of said cantilever.
8. The apparatus of claim 5 wherein said electrostatic actuator
further comprises:
a first electrode (78) formed at a second end of said cantilever
opposite to said tip;
a second electrode (81) in electrostatic communication with said first
electrode;
at least one of said first electrode and said second electrode being
coupled to a voltage source (48); whereby selective distribution of said voltage from said voltage
source causes a differential electrical voltage across said first electrode and said
second electrode, causing at least a portion of said cantilever to tilt.
9. The apparatus of claim 8 further comprising:
a torsion support (80) connected along said cantilever, whereby said
cantilever is angularly deflected about said torsion support during operation of
said electrostatic actuator.
10. The apparatus of claim 4 wherein said cantilever is comprised
of a silicon nitride thin film (60) grown by a low pressure chemical vapor
deposition method.
11. The apparatus of claim 5 wherein said cantilever is comprised
of silicon (84) doped by boron or phosphorous.
12. The apparatus of claim 1 wherein said array is two-
dimensional.
13. A method of applying at least one patterning compound (26)
to a substrate (24) with arbitrary patterns (28) for nanolithography, the method
comprising the steps of:
providing a plurality of selectively actuated probes (38, 54, 72, 104),
each probe having a tip (20) on a distal end;
coating said tips with said at least one patterning compound; moving said tips of said plurality of selectively actuated probes over
the substrate so that said tips are near or in contact with said substrate to allow
application of said at least one patterning compound;
raster-scanning said tips over said substrate; and,
during said raster-scanning step, selectively actuating at least one
selected probe from said array of selectively actuated probes so as to move said tip
of said selected probe away from said substrate, whereby said at least one selected probe does not apply said at least
one patterning compound to said substrate, and whereby non-selected probes
apply said at least one patterning compound to said substrate.
14. The method according to claim 13 wherein said step of
selectively actuating at least one selected probe from said array of selectively
actuated probes comprises the step of:
applying a current to a resistive heater (66) coupled to said selected
probe to flex a portion of said selected probe.
15. The method according to claim 13 wherein said step of
selectively actuating said selected probe comprises the step of:
applying a differential electrical voltage between a first electrode
(78) and a second electrode (81), said first electrode being at an end of said
selected probe,
whereby said first and second electrodes are moved towards one
another to tilt said selected probe.
PCT/US2002/023626 2001-07-26 2002-07-26 Parallel, individually addressable probes for nanolithography WO2003036767A2 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
CNB028177150A CN1315169C (en) 2001-07-26 2002-07-26 Parallel, individually addressable probes for nanolithography
AU2002360242A AU2002360242B2 (en) 2001-07-26 2002-07-26 Parallel, individually addressable probes for nanolithography
EP02795486A EP1410436B1 (en) 2001-07-26 2002-07-26 Parallel, individually addressable probes for nanolithography
JP2003539142A JP2005507175A (en) 2001-07-26 2002-07-26 Parallel individually addressable probes for nanolithography
DE60229527T DE60229527D1 (en) 2001-07-26 2002-07-26 PARALLELS, INDIVIDUALLY ADDRESSABLE PROBES FOR NANOLITHOGRAPHY
KR1020047001158A KR100961448B1 (en) 2001-07-26 2002-07-26 Parallel, individually addressable probes for nanolithography
CA002454963A CA2454963C (en) 2001-07-26 2002-07-26 Parallel, individually addressable probes for nanolithography

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US30797601P 2001-07-26 2001-07-26
US60/307,976 2001-07-26
US10/008,719 2001-12-07
US10/008,719 US6642129B2 (en) 2001-07-26 2001-12-07 Parallel, individually addressable probes for nanolithography

Publications (2)

Publication Number Publication Date
WO2003036767A2 true WO2003036767A2 (en) 2003-05-01
WO2003036767A3 WO2003036767A3 (en) 2003-10-09

Family

ID=26678522

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2002/023626 WO2003036767A2 (en) 2001-07-26 2002-07-26 Parallel, individually addressable probes for nanolithography

Country Status (11)

Country Link
US (3) US6642129B2 (en)
EP (1) EP1410436B1 (en)
JP (2) JP2005507175A (en)
KR (1) KR100961448B1 (en)
CN (1) CN1315169C (en)
AT (1) ATE412252T1 (en)
AU (1) AU2002360242B2 (en)
CA (1) CA2454963C (en)
DE (1) DE60229527D1 (en)
ES (1) ES2318060T3 (en)
WO (1) WO2003036767A2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005006082A1 (en) * 2003-07-15 2005-01-20 Natalia Viktorovna Ivanova Image producing methods and image producing devices
US7690325B2 (en) 2004-04-30 2010-04-06 Bioforce Nanosciences, Inc. Method and apparatus for depositing material onto a surface
US9986924B2 (en) 2010-03-17 2018-06-05 The Board Of Trustees Of The University Of Illinois Implantable biomedical devices on bioresorbable substrates
DE102017202455A1 (en) 2017-02-15 2018-08-16 Nano Analytik Gmbh MEMS or NEMS based sensor and method of operating such

Families Citing this family (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6642129B2 (en) * 2001-07-26 2003-11-04 The Board Of Trustees Of The University Of Illinois Parallel, individually addressable probes for nanolithography
US7073938B2 (en) * 2001-10-31 2006-07-11 The Regents Of The University Of Michigan Micromachined arrayed thermal probe apparatus, system for thermal scanning a sample in a contact mode and cantilevered reference probe for use therein
US7361310B1 (en) 2001-11-30 2008-04-22 Northwestern University Direct write nanolithographic deposition of nucleic acids from nanoscopic tips
US7279046B2 (en) * 2002-03-27 2007-10-09 Nanoink, Inc. Method and apparatus for aligning patterns on a substrate
AU2003300257A1 (en) * 2002-05-21 2004-05-04 Northwestern University Peptide and protein arrays and direct-write lithographic printing of peptides and proteins
AU2003228259A1 (en) * 2002-08-08 2004-02-25 Nanoink, Inc. Protosubstrates
US7098056B2 (en) * 2002-08-09 2006-08-29 Nanoink, Inc. Apparatus, materials, and methods for fabrication and catalysis
US8071168B2 (en) * 2002-08-26 2011-12-06 Nanoink, Inc. Micrometric direct-write methods for patterning conductive material and applications to flat panel display repair
US7250139B2 (en) * 2003-03-19 2007-07-31 Northwestern University Nanotipped device and method
US7217396B2 (en) * 2003-05-05 2007-05-15 The Board Of Trustees Of The University Of Illinois Microfabricated micro fluid channels
US20040228962A1 (en) * 2003-05-16 2004-11-18 Chang Liu Scanning probe microscopy probe and method for scanning probe contact printing
US7326380B2 (en) * 2003-07-18 2008-02-05 Northwestern University Surface and site-specific polymerization by direct-write lithography
US7329361B2 (en) * 2003-10-29 2008-02-12 International Business Machines Corporation Method and apparatus for fabricating or altering microstructures using local chemical alterations
US8235302B2 (en) * 2004-04-20 2012-08-07 Nanolnk, Inc. Identification features
JP4444734B2 (en) * 2004-06-07 2010-03-31 キヤノン株式会社 Fine pattern forming device
US7253409B2 (en) * 2004-07-20 2007-08-07 The Board Of Trustees Of The Leland Stanford Junior University Electrochemical nano-patterning using ionic conductors
KR100595523B1 (en) * 2004-07-20 2006-07-03 엘지전자 주식회사 Nano data writing and reading apparatus using cantilever transfer and manufacturing method thereof
US20060242740A1 (en) * 2004-08-11 2006-10-26 California Institute Of Technology Method and device for surfactant activated Dip-Pen Nanolithography
US7541062B2 (en) * 2004-08-18 2009-06-02 The United States Of America As Represented By The Secretary Of The Navy Thermal control of deposition in dip pen nanolithography
US20060076487A1 (en) * 2004-10-08 2006-04-13 Samsung Electronics Co., Ltd. Semiconductor probe, method of manufacturing the same, and method and apparatus for analyzing semiconductor surface using semiconductor probe
US7211789B2 (en) * 2004-10-14 2007-05-01 International Business Machines Corporation Programmable molecular manipulating processes
US20070240757A1 (en) * 2004-10-15 2007-10-18 The Trustees Of Boston College Solar cells using arrays of optical rectennas
US8261662B1 (en) 2004-11-08 2012-09-11 Nanolnk, Inc. Active pen nanolithography
EP1812714B1 (en) * 2004-11-19 2008-03-26 ebm-papst St. Georgen GmbH & Co. KG Arrangement with a ventilator and a pump
US7286149B2 (en) * 2004-12-14 2007-10-23 Palo Alto Research Center Incorporated Direct xerography system
US7325903B2 (en) * 2004-12-14 2008-02-05 Palo Alto Research Center Incorporated Quill-jet printer
US7342596B2 (en) * 2004-12-14 2008-03-11 Palo Alto Research Center Incorporated Method for direct xerography
US7325987B2 (en) * 2004-12-14 2008-02-05 Palo Alto Research Center Incorporated Printing method using quill-jet
US20100294147A1 (en) * 2004-12-20 2010-11-25 Nanoink, Inc. Apparatus and methods for preparing identification features including pharmaceutical applications
US20100297027A1 (en) * 2004-12-20 2010-11-25 Nanolnk, Inc. Overt authentication features for compositions and objects and methods of fabrication and verification thereof
US8069782B2 (en) 2004-12-20 2011-12-06 Nanoink, Inc. Stamps with micrometer- and nanometer-scale features and methods of fabrication thereof
JP4979229B2 (en) * 2005-01-13 2012-07-18 インターナショナル・ビジネス・マシーンズ・コーポレーション Probe that scans across the substrate
US7598723B2 (en) * 2005-02-14 2009-10-06 Clemson University Method and apparatus for detecting resonance in electrostatically driven elements
US8384372B1 (en) 2005-02-14 2013-02-26 Clemson University Non-linear electrical actuation and detection
TWI264542B (en) * 2005-03-22 2006-10-21 Nat Applied Res Laboratories Front-wing probe cantilever of electrical scanning probe microscopy
US7442029B2 (en) * 2005-05-16 2008-10-28 Asml Netherlands B.V. Imprint lithography
DE602005009285D1 (en) * 2005-06-14 2008-10-09 Nat Applied Res Laboratories Bend beam with front wings for the conductive probe of an electric scanning probe microscope.
US8057857B2 (en) * 2005-07-06 2011-11-15 Northwestern University Phase separation in patterned structures
CN100545951C (en) * 2005-07-14 2009-09-30 中国科学院微电子研究所 Microtip end-face array device
EP1755137A1 (en) 2005-08-18 2007-02-21 University of Teheran A method of forming a carbon nanotube emitter, carbon nanotube emitter with applications in nano-printing and use thereof
US7340944B2 (en) * 2005-08-19 2008-03-11 Arthur Beyder Oscillator and method of making for atomic force microscope and other applications
US7623746B2 (en) 2005-08-24 2009-11-24 The Trustees Of Boston College Nanoscale optical microscope
US7649665B2 (en) 2005-08-24 2010-01-19 The Trustees Of Boston College Apparatus and methods for optical switching using nanoscale optics
US7589880B2 (en) 2005-08-24 2009-09-15 The Trustees Of Boston College Apparatus and methods for manipulating light using nanoscale cometal structures
EP1917557A4 (en) 2005-08-24 2015-07-22 Trustees Boston College Apparatus and methods for solar energy conversion using nanoscale cometal structures
US7754964B2 (en) 2005-08-24 2010-07-13 The Trustees Of Boston College Apparatus and methods for solar energy conversion using nanocoax structures
US20100294927A1 (en) * 2005-09-12 2010-11-25 Nanolnk, Inc. High throughput inspecting
US7281419B2 (en) * 2005-09-21 2007-10-16 The Board Of Trustees Of The University Of Illinois Multifunctional probe array system
DE602005025132D1 (en) * 2005-10-27 2011-01-13 Lg Electronics Inc Nanostatenschreib- and reading device with cantilever structure and manufacturing method thereof
US7959974B2 (en) 2005-12-02 2011-06-14 Northwestern University Nanotube assembly
US7883839B2 (en) * 2005-12-08 2011-02-08 University Of Houston Method and apparatus for nano-pantography
US7473593B2 (en) * 2006-01-11 2009-01-06 International Business Machines Corporation Semiconductor transistors with expanded top portions of gates
US8373431B2 (en) * 2006-01-13 2013-02-12 International Business Machines Corporation Probe for scanning over a substrate and data storage device
US7685869B2 (en) * 2006-03-13 2010-03-30 Asylum Research Corporation Nanoindenter
US8192794B2 (en) * 2006-04-19 2012-06-05 Northwestern University Massively parallel lithography with two-dimensional pen arrays
US8220317B2 (en) * 2006-04-19 2012-07-17 Northwestern University Massively parallel lithography with two-dimensional pen arrays
EP2044485B1 (en) * 2006-06-28 2013-06-05 Northwestern University Etching hole arrays
US7748260B2 (en) * 2006-07-12 2010-07-06 Veeco Instruments Inc. Thermal mechanical drive actuator, thermal probe and method of thermally driving a probe
US7875958B2 (en) * 2006-09-27 2011-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
GB0621560D0 (en) * 2006-10-31 2006-12-06 Infinitesima Ltd Probe assembly for a scanning probe microscope
JP4825697B2 (en) * 2007-01-25 2011-11-30 株式会社ミツトヨ Digital displacement measuring instrument
CN101627479B (en) * 2007-01-30 2011-06-15 索拉斯特公司 Photovoltaic cell and method of making thereof
JP2010518623A (en) * 2007-02-12 2010-05-27 ソーラスタ インコーポレイテッド Photocell with reduced hot carrier cooling
US7680553B2 (en) * 2007-03-08 2010-03-16 Smp Logic Systems Llc Methods of interfacing nanomaterials for the monitoring and execution of pharmaceutical manufacturing processes
WO2008112713A1 (en) * 2007-03-13 2008-09-18 Nanoink, Inc. Nanolithography with use of viewports
US20080242559A1 (en) * 2007-03-28 2008-10-02 Northwestern University Protein and peptide arrays
CA2681443A1 (en) * 2007-05-09 2008-11-20 Nanoink, Inc. Compact nanofabrication apparatus
KR20100047844A (en) * 2007-06-20 2010-05-10 노쓰웨스턴유니버시티 Patterning with compositions containing nanomaterials and polymers
US7597717B1 (en) * 2007-06-25 2009-10-06 The United States Of America As Represented By The Secretary Of The Navy Rotatable multi-cantilever scanning probe microscopy head
US20090004231A1 (en) 2007-06-30 2009-01-01 Popp Shane M Pharmaceutical dosage forms fabricated with nanomaterials for quality monitoring
KR20100039371A (en) * 2007-07-03 2010-04-15 솔라스타, 인코포레이티드 Distributed coax photovoltaic device
KR20100056453A (en) * 2007-08-08 2010-05-27 노쓰웨스턴유니버시티 Independently-addressable, self-correcting inking for cantilever arrays
US8505110B2 (en) * 2007-10-10 2013-08-06 Eloret Corporation Apparatus and process for controlled nanomanufacturing using catalyst retaining structures
US20100297228A1 (en) * 2007-10-29 2010-11-25 Nanolnk, Inc. Universal coating for imprinting identification features
WO2009066968A2 (en) * 2007-11-23 2009-05-28 Seoul National University Industry Foundation Method for arranging nanostructures and manufacturing nano devices using the same
KR101027517B1 (en) 2007-11-23 2011-04-06 재단법인서울대학교산학협력재단 Method for arranging nanostructures and method for manufacturing nano devices using the same
KR20100101573A (en) * 2007-11-26 2010-09-17 나노잉크, 인크. Cantilever with pivoting actuation
WO2009099619A2 (en) * 2008-02-05 2009-08-13 Nanoink, Inc. Array and cantilever array leveling
US8068328B2 (en) * 2008-03-12 2011-11-29 Intel Corporation Nanolithographic method of manufacturing an embedded passive device for a microelectronic application, and microelectronic device containing same
JP2011523047A (en) * 2008-05-13 2011-08-04 ナノインク インコーポレーティッド Piezoresistor height detection cantilever
JP5187839B2 (en) * 2008-06-10 2013-04-24 株式会社日立ハイテクサイエンス Cantilever system and scanning probe microscope
WO2010059985A2 (en) 2008-11-20 2010-05-27 Northwestern University Redox-activated patterning
SG172852A1 (en) 2009-01-26 2011-08-29 Nanoink Inc Large area, homogeneous array fabrication including homogeneous substrates
WO2010085768A1 (en) 2009-01-26 2010-07-29 Nanoink,Inc. Large area, homogeneous array fabbrication including leveling with use of bright spots
KR20110119666A (en) * 2009-01-26 2011-11-02 나노잉크, 인크. Large area, homogeneous array fabrication including substrate temperature control
CA2750425A1 (en) 2009-01-26 2010-07-29 Nanoink, Inc. Large area, homogeneous array fabrication including controlled tip loading vapor deposition
US20100288543A1 (en) * 2009-04-14 2010-11-18 Nanoink, Inc. Conducting lines, nanoparticles, inks, and patterning
JP2012533437A (en) * 2009-07-14 2012-12-27 ナノインク インコーポレーティッド Method for forming a hydrogel on a surface and article formed thereby
WO2011014845A1 (en) 2009-07-31 2011-02-03 Nanoink, Inc. Screening system to identify patterns on substrate surfaces for inducing stem cell differentiation and producing homogenous population of a desired cell type
CN101723318B (en) * 2009-12-03 2013-02-13 西南交通大学 Micro-nano manufacturing method for surfaces of quartz and glass materials
SG184264A1 (en) 2010-04-14 2012-11-29 Nanoink Inc Improved cantilevers for deposition
CA2794418A1 (en) 2010-04-20 2011-10-27 Nanoink, Inc. Functionalizing biosensors using a multiplexed dip pen array
JP5525377B2 (en) * 2010-08-17 2014-06-18 株式会社ミツトヨ High dynamic range probe
WO2012135768A1 (en) * 2011-03-30 2012-10-04 Rolandi Marco Inorganic nanostructure reactive direct-write and growth
WO2013059670A2 (en) 2011-10-21 2013-04-25 Nanoink, Inc. Octahedral and pyramid-on-post tips for microscopy and lithography
WO2013067395A2 (en) 2011-11-04 2013-05-10 Nanoink, Inc. Method and apparatus for improving ink deposition
JP5910056B2 (en) * 2011-12-13 2016-04-27 富士ゼロックス株式会社 Lens manufacturing equipment
US9038269B2 (en) * 2013-04-02 2015-05-26 Xerox Corporation Printhead with nanotips for nanoscale printing and manufacturing
EP2848997A1 (en) * 2013-09-16 2015-03-18 SwissLitho AG Scanning probe nanolithography system and method
WO2015042022A1 (en) * 2013-09-20 2015-03-26 Applied Materials, Inc. Method and apparatus for direct formation of nanometer scaled features
WO2016107534A1 (en) * 2014-12-29 2016-07-07 史拓莱姆有限公司 Detection device having attached probe
WO2016138373A1 (en) * 2015-02-26 2016-09-01 Xallent, LLC Multiple integrated tips scanning probe microscope
EP3262425A1 (en) 2015-02-26 2018-01-03 Xallent, LLC Systems and methods for manufacturing nano-electro-mechanical-system probes
US10866273B2 (en) 2016-03-09 2020-12-15 Xallent, LLC Functional prober chip
US10918356B2 (en) 2016-11-22 2021-02-16 General Electric Company Ultrasound transducers having electrical traces on acoustic backing structures and methods of making the same
US10784054B2 (en) 2017-04-06 2020-09-22 Kwame Amponsah Nanoelectromechanical devices with metal-to-metal contacts
US10663484B2 (en) 2018-02-14 2020-05-26 Xallent, LLC Multiple integrated tips scanning probe microscope with pre-alignment components
CN110127588B (en) * 2019-05-22 2022-02-22 季华实验室 Probe for processing passivation layer of substrate material
CN111812357B (en) * 2020-07-10 2021-05-25 浙江大学 Self-filling three-arm type thermal scanning probe for micro-nano manufacturing
CN112279215A (en) * 2020-10-16 2021-01-29 南京大学 Micro-nano manufacturing device

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5866807A (en) * 1997-02-04 1999-02-02 Digital Instruments Method and apparatus for measuring mechanical properties on a small scale
US6189374B1 (en) * 1999-03-29 2001-02-20 Nanodevices, Inc. Active probe for an atomic force microscope and method of use thereof
US6416476B1 (en) * 1999-01-12 2002-07-09 Kabushiki Kaisha Toshiba Three-dimensional ultrasonic diagnosis apparatus

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3030574B2 (en) * 1990-08-16 2000-04-10 キヤノン株式会社 Micro-displacement information detecting probe element, scanning tunnel microscope, atomic force microscope, and information processing apparatus using the same
US5363697A (en) 1991-04-30 1994-11-15 Matsushita Electric Industrial Co., Ltd. Scanning probe microscope, molecular processing method using the scanning probe microscope and DNA base arrangement detecting method
JP3450349B2 (en) * 1992-03-31 2003-09-22 キヤノン株式会社 Cantilever probe
US5372930A (en) 1992-09-16 1994-12-13 The United States Of America As Represented By The Secretary Of The Navy Sensor for ultra-low concentration molecular recognition
JP3159561B2 (en) * 1993-03-29 2001-04-23 ローム株式会社 Electrodes for crystalline thin films
US5537863A (en) * 1993-07-15 1996-07-23 Nikon Corporation Scanning probe microscope having a cantilever used therein
US5666190A (en) 1994-04-12 1997-09-09 The Board Of Trustees Of The Leland Stanford, Jr. University Method of performing lithography using cantilever array
JP3192887B2 (en) * 1994-09-21 2001-07-30 キヤノン株式会社 Probe, scanning probe microscope using the probe, and recording / reproducing apparatus using the probe
US5696491A (en) * 1995-06-07 1997-12-09 Regents Of The University Of California Self-excited microelectromechanical device
US5835477A (en) 1996-07-10 1998-11-10 International Business Machines Corporation Mass-storage applications of local probe arrays
WO2004074765A1 (en) 1997-07-17 2004-09-02 Gerd Karl Binnig Method of forming ultrasmall structures and apparatus therefor
JPH11352136A (en) * 1998-06-08 1999-12-24 Nikon Corp Probe and microscope using same
US6196061B1 (en) * 1998-11-05 2001-03-06 Nanodevices, Inc. AFM with referenced or differential height measurement
US6574499B1 (en) * 1998-11-25 2003-06-03 Xdata Corporation Mammography method and apparatus
US6635311B1 (en) 1999-01-07 2003-10-21 Northwestern University Methods utilizing scanning probe microscope tips and products therefor or products thereby
US6827979B2 (en) * 1999-01-07 2004-12-07 Northwestern University Methods utilizing scanning probe microscope tips and products therefor or produced thereby
JP2000266657A (en) * 1999-03-16 2000-09-29 Seiko Instruments Inc Self excitation type cantilever
TW408417B (en) * 1999-05-03 2000-10-11 Ind Tech Res Inst Planar-shape thin probe having electrostatic actuator manufactured by using sacrificed layer technology and its manufacturing method
JP3687030B2 (en) * 1999-06-23 2005-08-24 独立行政法人科学技術振興機構 Micro surface temperature distribution measurement method and apparatus therefor
US6647766B2 (en) * 1999-12-31 2003-11-18 International Business Machines Corporation Device for contacting and/or modifying a surface having a cantilever and a method for production of said cantilever
JP3892198B2 (en) * 2000-02-17 2007-03-14 エスアイアイ・ナノテクノロジー株式会社 Microprobe and sample surface measuring device
US6590208B2 (en) * 2001-01-19 2003-07-08 Veeco Instruments Inc. Balanced momentum probe holder
US6862921B2 (en) * 2001-03-09 2005-03-08 Veeco Instruments Inc. Method and apparatus for manipulating a sample
US6642129B2 (en) * 2001-07-26 2003-11-04 The Board Of Trustees Of The University Of Illinois Parallel, individually addressable probes for nanolithography

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5866807A (en) * 1997-02-04 1999-02-02 Digital Instruments Method and apparatus for measuring mechanical properties on a small scale
US6416476B1 (en) * 1999-01-12 2002-07-09 Kabushiki Kaisha Toshiba Three-dimensional ultrasonic diagnosis apparatus
US6189374B1 (en) * 1999-03-29 2001-02-20 Nanodevices, Inc. Active probe for an atomic force microscope and method of use thereof

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1410436A2 *

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005006082A1 (en) * 2003-07-15 2005-01-20 Natalia Viktorovna Ivanova Image producing methods and image producing devices
US7690325B2 (en) 2004-04-30 2010-04-06 Bioforce Nanosciences, Inc. Method and apparatus for depositing material onto a surface
US9986924B2 (en) 2010-03-17 2018-06-05 The Board Of Trustees Of The University Of Illinois Implantable biomedical devices on bioresorbable substrates
DE102017202455A1 (en) 2017-02-15 2018-08-16 Nano Analytik Gmbh MEMS or NEMS based sensor and method of operating such
DE102017202455B4 (en) * 2017-02-15 2021-05-27 Nano Analytik Gmbh MEMS- or NEMS-based sensor and method for operating such

Also Published As

Publication number Publication date
US20040119490A1 (en) 2004-06-24
WO2003036767A3 (en) 2003-10-09
AU2002360242B2 (en) 2007-11-01
JP2005507175A (en) 2005-03-10
KR100961448B1 (en) 2010-06-09
US20060082379A1 (en) 2006-04-20
KR20040045418A (en) 2004-06-01
CA2454963C (en) 2009-09-15
ATE412252T1 (en) 2008-11-15
ES2318060T3 (en) 2009-05-01
CN1554119A (en) 2004-12-08
US20030022470A1 (en) 2003-01-30
CN1315169C (en) 2007-05-09
EP1410436B1 (en) 2008-10-22
CA2454963A1 (en) 2003-05-01
JP2009198513A (en) 2009-09-03
US6642129B2 (en) 2003-11-04
EP1410436A4 (en) 2006-04-05
EP1410436A2 (en) 2004-04-21
DE60229527D1 (en) 2008-12-04
US7402849B2 (en) 2008-07-22
US6867443B2 (en) 2005-03-15

Similar Documents

Publication Publication Date Title
CA2454963C (en) Parallel, individually addressable probes for nanolithography
AU2002360242A1 (en) Parallel, individually addressable probes for nanolithography
US7247895B2 (en) Electrostatic nanolithography probe actuation device and method
US7523650B2 (en) Multifunctional probe array system
US7997123B2 (en) Nanotipped device and method
US20050236566A1 (en) Scanning probe microscope probe with integrated capillary channel
EP1629507A2 (en) Scanning probe microscopy probe and method for scanning probe contact printing
US20110163061A1 (en) Method Of Producing Microsprings Having Nanowire Tip Structures
US8205268B2 (en) Cantilever with pivoting actuation
Liu Parallel scanning probe arrays: their applications
Zhang et al. Passive and active probe arrays for dip-pen nanolithography

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SD SE SG SI SK SL TJ TM TN TR TT TZ UA UG UZ VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LU MC NL PT SE SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2454963

Country of ref document: CA

Ref document number: 2002360242

Country of ref document: AU

WWE Wipo information: entry into national phase

Ref document number: 2002795486

Country of ref document: EP

Ref document number: 2003539142

Country of ref document: JP

Ref document number: 1020047001158

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 20028177150

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 2002795486

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

ENP Entry into the national phase

Ref document number: 2002360242

Country of ref document: AU

Date of ref document: 20020726

Kind code of ref document: B