WO2002080239A2 - Process for forming sub-lithographic photoresist features - Google Patents

Process for forming sub-lithographic photoresist features Download PDF

Info

Publication number
WO2002080239A2
WO2002080239A2 PCT/US2001/048509 US0148509W WO02080239A2 WO 2002080239 A2 WO2002080239 A2 WO 2002080239A2 US 0148509 W US0148509 W US 0148509W WO 02080239 A2 WO02080239 A2 WO 02080239A2
Authority
WO
WIPO (PCT)
Prior art keywords
feature
photoresist layer
patterned
top portion
layer
Prior art date
Application number
PCT/US2001/048509
Other languages
French (fr)
Other versions
WO2002080239A3 (en
Inventor
Jeffrey A. Shields
Uzodinma Okoroanyanwu
Chih-Yuh Yang
Original Assignee
Advanced Micro Devices, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices, Inc. filed Critical Advanced Micro Devices, Inc.
Priority to EP01991135A priority Critical patent/EP1374287A2/en
Priority to KR1020037012674A priority patent/KR100836948B1/en
Priority to JP2002578554A priority patent/JP2004530922A/en
Publication of WO2002080239A2 publication Critical patent/WO2002080239A2/en
Publication of WO2002080239A3 publication Critical patent/WO2002080239A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/143Electron beam

Definitions

  • the present invention relates generally to integrated circuit (IC) fabrication. More particularly, the present invention relates to fabrication of IC features having sub-lithographic lateral dimensions using modified photoresist surfaces.
  • the semiconductor or integrated circuit (IC) industry aims to manufacture ICs with higher and higher densities of devices on a smaller chip area to achieve greater functionality and to reduce manufacturing costs.
  • This desire for large scale integration requires continued shrinking of circuit dimensions and device features.
  • the ability to reduce the size of structures, such as, gate lengths in field-effect transistors and the width of conductive lines, is driven by lithographic performance.
  • IC fabrication techniques often utilize a photomask (also referred to as a mask) or a reticle.
  • Radiation is provided through or reflected off the mask or reticle to form an image on a semiconductor wafer.
  • the image is projected and patterned onto a layer of material, such as, photoresist material, on the wafer.
  • the patterned photoresist material is utilized to define doping regions, deposition regions, etching regions, and/or other structures of the IC.
  • the patterned photoresist material can also define conductive lines or conductive pads associated with metal layers of the IC. Further, the patterned photoresist material can define isolation regions, transistor gates, or other device structures and elements.
  • lithography systems include a light source configured to provide electromagnetic radiation or light at one or more wavelengths.
  • the light source may produce radiation at a wavelength of 365 nanometers (nm), 248 nm, and/or 193 nm.
  • the photoresist material patterned by such radiation is selected to be responsive to the wavelength of such radiation.
  • the areas of the photoresist material upon which radiation is incident undergo a photochemical change such that it becomes suitably soluble or insoluble in a subsequent developing process step.
  • One method for achieving sub-lithographic device dimensions is by reducing or "trimming" features defined on the patterned photoresist material before such features are patterned onto the underlying layer(s).
  • This method commonly referred to as a resist trim or trimming process, utilizes a plasma etch to remove some of the patterned photoresist material such that the dimensions of the trimmed features are smaller than the original lithographically patterned features using the mask or reticle.
  • the plasma bombards all surfaces of the patterned photoresist material, such that top surfaces as well as side or lateral surfaces of the patterned photoresist material are etched.
  • the trimming time is increased (i.e., the patterned photoresist material is exposed to the plasma etch for a longer period of time) to further reduce lateral dimensions (i.e., further etch the side surfaces, thereby reducing the width of features, such as, contact lines)
  • the thickness of the patterned photoresist material is also reduced (due to erosion of its top surfaces).
  • the trimming time is increased (i.e., the patterned photoresist material is exposed to the plasma etch for a longer period of time) to further reduce lateral dimensions (i.e., further etch the side surfaces, thereby reducing the width of features, such as, contact lines)
  • the thickness of the patterned photoresist material is also reduced (due to erosion of its top surfaces).
  • a thicker layer of photoresist material may be utilized.
  • thicker photoresist material addresses the resist thinning that occurs during the trimming process, thicker photoresist material are susceptible to pattern deformation and/or incomplete pattern transfer. Because the resolution of features is, in part, proportional to the inverse of the exposure or lithographic wavelength, it is desirable to pattern photoresist material using shorter exposure wavelengths (e.g., 157 nm, 126 nm, or 13.4 nm).
  • shorter exposure wavelengths e.g., 157 nm, 126 nm, or 13.4 nm.
  • These longer wavelength photoresist materials exhibit high optical absorption per unit thickness at the shorter exposure wavelengths. Hence, the longer wavelength photoresist materials are increasingly opaque to shorter wavelength radiation and the necessary photochemical change does not occur throughout the entire thickness of the material. As the photoresist material thickness is increased, incomplete pattern transfer throughout the entire thickness of the material is more likely to occur.
  • pattern deformation can cause pattern deformation, such as, pattern collapse, pattern bending, or pattern breakage.
  • pattern deformation of a given patterned feature is a function of its aspect ratio (i.e., the ratio of the height vs. the width of the patterned feature), a thicker photoresist material will more likely result in pattern deformation.
  • using a conventional thickness of photoresist material allows for less lateral trimming due to vertical consumption concerns.
  • the trimming process must be stopped before maximum lateral reduction has been achieved to ensure that a thick enough layer of patterned photoresist material remains for subsequent processes (e.g., etch processes for pattern transfer to underlying layer(s), such as a polysilicon gate formation).
  • etch processes for pattern transfer to underlying layer(s) such as a polysilicon gate formation.
  • starting with a thicker layer of photoresist material to permit prolonged trimming is also problematic due to incomplete pattern transfer and/or pattern deformation concerns.
  • One exemplary embodiment relates to a method of trimming a feature patterned on a photoresist layer.
  • the photoresist layer is disposed over a substrate and the feature includes a top portion and lateral surfaces.
  • the method includes modifying the top portion of the feature patterned on the photoresist layer to form a modified top portion.
  • the method further includes trimming the feature patterned on the photoresist layer to form a trimmed feature.
  • a vertical trim rate and a lateral trim rate are associated with the feature. The vertical trim rate is slower than the lateral trim rate due to the modified top portion.
  • the process includes developing a patterned photoresist layer, and modifying the patterned photoresist layer to form a top portion and a bottom portion of the at least one feature.
  • the patterned photoresist layer includes at least one feature.
  • the top portion has a top etch rate and the bottom portion has a bottom etch rate.
  • the top etch rate is different from the bottom etch rate.
  • the process further includes etching the patterned photoresist layer to change the at least one feature to have a sub-lithographic lateral dimension and a sufficient vertical thickness to maintain pattern integrity.
  • Still another exemplary embodiment relates to an integrated circuit having a feature of sub- lithographic dimension.
  • the feature is formed by the process including patterning the feature on a photoresist layer disposed over a substrate, developing the feature patterned on the photoresist layer, and changing at least a portion of the photoresist layer.
  • the feature is patterned in accordance with a radiation at a lithographic wavelength and a pattern provided on a mask or a reticle.
  • a top portion of the feature patterned on the photoresist layer is changed to have a different etch rate from a bottom portion of the feature patterned on the photoresist layer.
  • the process further includes trimming the feature patterned on the photoresist layer to a sub- lithographic dimension, and transferring the trimmed feature patterned on the photoresist layer to the substrate.
  • the feature in the substrate has the sub-lithographic dimension.
  • FIG. 1 is a general schematic block diagram of a lithographic system for patterning a wafer in accordance with an exemplary embodiment
  • FIG. 2 is a schematic cross-sectional view of the wafer illustrated in FIG. 1, showing an electron beam curing step
  • FIG. 3 is a schematic cross-sectional view of the wafer illustrated in FIG. 2, showing a partial resist trimming step
  • FIG. 4 is a schematic cross-sectional view of the wafer illustrated in FIG. 3, showing a full resist trimming step
  • FIG. 5 is a schematic cross-sectional view of the wafer illustrated in FIG. 4, showing an etch step
  • FIG. 6 is a plot showing the relative etch rates for photoresist material cured at varying doses of an electron beam for different etch chemistries.
  • Lithographic system 10 includes a chamber 50, a light source 22, a condenser lens assembly 24, a mask or a reticle 18, an objective lens assembly 26, and a stage 11.
  • Lithographic system 10 is configured to transfer a pattern or image provided on mask or reticle 18 to wafer 13.
  • Lithographic system 10 may be a lithographic camera or stepper unit.
  • lithographic system 10 may be a PAS 5500/900 series machine manufactured by ASML, a microscan DUV system manufactured by Silicon Valley Group, or an XLS family microlithography system manufactured by Integrated Solutions, Inc. of Korea.
  • Wafer 13 includes a substrate 12, a layer 14, and a photoresist layer 16. Photoresist layer 16 is disposed over layer 14, and layer 14 is disposed over substrate 12.
  • Wafer 13 can be an entire integrated circuit (IC) wafer or a part of an IC wafer.
  • Wafer 13 can be a part of an IC, such as, a memory, a processing unit, an input/output device, etc.
  • Substrate 12 can be a semiconductor substrate, such as, silicon, gallium arsenide, germanium, or other substrate material.
  • Substrate 12 can include one or more layers of material and/or features, such as lines, interconnects, vias, doped regions, etc., and can further include devices, such as, transistors, microactuators, microsensors, capacitors, resistors, diodes, etc.
  • Layer 14 can be an insulative layer, a conductive layer, a barrier layer, or other layer of material to be etched, doped, or layered.
  • layer 14 can comprise one or more layers of materials, such as, a polysilicon stack comprised of a plurality of alternating layers of organic or inorganic anti- reflective coating (ARC) over doped or undoped polysilicon.
  • ARC anti- reflective coating
  • layer 14 is a hard mask layer, such as, a silicon nitride layer or a metal layer.
  • the hard mask layer can serve as a patterned layer for processing substrate 12 or for processing a layer upon substrate 12.
  • layer 14 is an anti-reflective coating (ARC).
  • ARC anti-reflective coating
  • Photoresist layer 16 can comprise a variety of photoresist chemicals suitable for lithographic applications. Photoresist layer 16 is selected to have photochemical reactions in response to electromagnetic radiation emitted from light source 22. Materials comprising photoresist layer 16 can include, among others, a matrix material or resin, a sensitizer or inhibitor, and a solvent. Photoresist layer 16 is preferably a chemically amplified, positive or negative tone, organic based photoresist. For example, photoresist layer 16 may comprise PAR700 photoresist manufactured by Sumitomo Chemical Company. Photoresist layer 16 is deposited, for example, by spin-coating over layer 14. Photoresist layer 16 is provided at a thickness of less than 1.0 ⁇ m.
  • Chamber 50 of lithographic system 10 can be a vacuum or low pressure chamber for use in vacuum ultraviolet (VUV) lithography.
  • Chamber 50 can contain any of numerous types of atmospheres, such as, nitrogen, etc.
  • lithographic system 10 can be utilized in various other types of lithography including lithography that uses electromagnetic radiation at any number of wavelengths.
  • Light source 22 provides light or electromagnetic radiation through condenser lens assembly
  • Light source 22 is an excimer laser, in one embodiment, having a wavelength of 365 nm, 248 nm, 193 nm, or 157 nm.
  • light source 22 may be a variety of other light sources capable of emitting radiation having a wavelength in the ultraviolet (UV), vacuum ultraviolet (VUV), deep ultraviolet (DUV), or extreme ultraviolet (EUV) range.
  • UV ultraviolet
  • VUV vacuum ultraviolet
  • DUV deep ultraviolet
  • EUV extreme ultraviolet
  • Assemblies 24 and 26 include lenses, mirrors, collimators, beam splitters, and/or other optical components to suitably focus and direct a pattern of radiation (i.e., radiation from light source 22 as modified by a pattern or image provided on mask or reticle 18) onto photoresist layer 16.
  • Stage 11 supports wafer 13 and can move wafer 13 relative to assembly 26.
  • Mask or reticle 18 is a binary mask in one embodiment.
  • Mask or reticle 18 includes a translucent substrate 21 (e.g., glass or quartz) and an opaque or patterned layer 20 (e.g., chromium or chromium oxide) thereon.
  • Opaque layer 20 provides a pattern or image associated with a desired circuit pattern, features, or devices to be projected onto photoresist layer 16.
  • mask or reticle 18 may be an attenuating phase shift mask, an alternating phase shift mask, or other type of mask or reticle.
  • the pattern or image on mask or reticle 18 is patterned on photoresist layer 16.
  • photoresist layer 16 is developed but before such a pattern is transferred onto any of the underlying layers, such as, layer 14, an electron beam exposure step is performed, as shown in FIG. 2.
  • wafer 13 may be removed from chamber 50 and positioned within a different chamber and/or a different environment with tools, such as a flood electron beam source (not shown), for this electron beam exposure step.
  • wafer 13 is flood exposed with an electron beam 52.
  • FIG. 2 a cross-sectional view of a portion of wafer 13, and in particular a line feature 54 patterned on layer 16, is shown undergoing the curing process.
  • line feature 54 has an initial or nominal lateral dimension 56 of approximately 150 nm for an 193 nm lithographic system 10.
  • Electron beam 52 is preferably emitted from an extended area electron source (not shown) and is a uniform collimated beam that is flood exposed over the entire wafer 13.
  • the extended area electron source is of the cold cathode type and generates electron beam 52 from the energetic impact of ions.
  • An example of an extended area electron source suitable to generate electron beam 52 is manufactured by Electron Vision Corporation.
  • Top portion 58 has different electrical, optical, and material properties relative to bottom portion 60.
  • Complete decomposition of the functional groups of the polymer material causes the electrical and optical properties of top portion 58 to be different, increases the density of top portion 58, and decreases the porosity of top portion 58 relative to bottom portion 60.
  • Cured top portion 58 has greater etch resistance (or, equivalently, a slower erosion or etch rate) than uncured bottom portion 60.
  • a resist trimming step following the electron beam exposure or curing step (FIG. 2), a greater amount of lateral trimming of features on layer 16 (e.g., line feature 54) can occur without adversely thinning layer 16 than is conventionally possible.
  • FIG. 3 a cross-sectional view of a portion of wafer 13 during the resist trimming step is shown.
  • the resist trimming step is preferably a plasma etching step.
  • Wafer 13 is exposed to a plasma etchant 62 to trim or reduce the dimensions of features patterned on layer 16.
  • Plasma etchant 62 can comprise a variety of plasma etch chemistries, such as, 0 2 , HBr/0 2 , or Cl 2 /0 2 .
  • wafer 13 is in a different processing environment (e.g., different chamber) than for the electron beam curing step of FIG. 2.
  • a variety of standard etch systems such as those manufactured by Applied Materials of Santa Clara, California, or Lam Research of Fremont, California, may be utilized to provide plasma etchant 62.
  • Plasma etchant 62 etches all exposed surfaces on layer 16, including the top and side surfaces. However, because different portions of layer 16 have different etch rates following the electron beam curing step (e.g., top portion 58 vs. bottom portion 60), the dimensional reduction of all of the surfaces of layer 16 will not be the same. As shown in FIG. 3, the vertical reduction of line feature 54 is less than the lateral reduction of line feature 54. Specifically, top portion 58 trims vertically at a slower rate than the sides of bottom portion 60, temporarily making line feature 54 a "T" shaped feature. The dotted line in FIG. 3 represents the shape of line feature 54 before commencement of the resist trimming step.
  • top portion 58 is selected such that substantially all of top portion
  • top portion 58 will be consumed or etched away simultaneous with the completion of a desired amount of lateral trimming of bottom portion 60.
  • the thickness of top portion 58 is determined by the penetration depth of electron beam 52 into layer 16. By varying the energy, accelerating voltage, or current of electron beam 52 and/or the processing gas or wafer temperature associated with the electron beam curing step, it is possible to control the penetration depth of electron beam 52 into layer 16, or in other words, select the thickness of top portion 58.
  • the thickness of top portion 58 is a function of the accelerating voltage of electron beam 52 and the relationship can be expressed as:
  • R s is the penetration depth in microns
  • V a is the accelerating voltage or energy in keV
  • d is the density of the target material (e.g., layer 16) in g/cm 3 .
  • the erosion or etch rate of the cured portion of layer 16 is determined by the dose of electron beam 52.
  • the etch rate of the cured portion of layer 16 (e.g., top portion 58) using a polysilicon etch or oxide etch plasma chemistry is approximately 35-50% slower than the uncured or untreated portion of layer 16 (e.g., bottom portion 60).
  • a polysihcon etch typically includes the use of a HBr/Cl 2 /0 2 or HBr/0 2 etch chemistries.
  • An oxide etch typically includes the use of fluorine-based etch chemistries, such as C 4 F 8 /Ar/0 2 .
  • etch rates for PAR700 photoresist cured with various doses of the electron beam there is shown etch rates for PAR700 photoresist cured with various doses of the electron beam.
  • the PAR700 photoresist in FIG. 6 is provided over a silicon substrate.
  • Each of plot lines 100, 102, 104, and 106 shows the etch rate as a function of the dose of the electron beam.
  • Plot line 100 represents the etch rate of the PAR700 photoresist when exposed to a HBr/0 2 etch chemistry.
  • Plot line 102 represents the etch rate of the PAR700 photoresist when exposed to a HBr/Cl 2 /He0 2 etch chemistry.
  • Plot line 104 represents the etch rate of the PAR700 photoresist when exposed to a HBr/He0 2 etch chemistry.
  • Plot line 106 represents the etch rate of the PAR700 photoresist when exposed to a C 4 F 8 /Ar/0 2 etch chemistry.
  • the etch chemistry parameters are as follows:
  • FIG. 4 a cross-sectional view of a portion of wafer 13 upon completion of the resist trimming step (FIG. 3) is shown.
  • Line feature 54 now comprises bottom portion 60 that has been laterally , trimmed and top portion 58 is absent, having been completely etched by plasma etchant 62.
  • Line feature 54 after the plasma etch has a trimmed lateral dimension 64 and a vertical thickness 66.
  • initial or nominal lateral dimension 56 is 150 nm
  • trimmed lateral dimension 64 can approach 70 nm or less and vertical thickness 66 can be in the range of 1000-6000 A.
  • the resultant features patterned on layer 16 can achieve trimmed lateral dimensions similar to those achievable with conventional resist trimming processes but with more resist thickness remaining.
  • the resultant features patterned on layer 16 can comprise a resist thickness similar to those conventionally achievable but with narrower lateral dimensions. Having a greater thickness of resist remaining increases the probability that such trimmed features will survive subsequent processes to be faithfully patterned onto underlying layers.
  • the trimmed line feature 54 shown in FIG. 4 is patterned onto layer 14 by an etching step.
  • Sufficient vertical thickness 66 of line feature 54 permits the shape of line feature 54 (with the reduced lateral dimension) to survive subsequent lithographic processes, such as an etching step, to pattern feature 68 into layer 14.
  • Feature 68 is shaped similar to line feature 54 and has a lateral dimension similar to trimmed lateral dimension 64.
  • Feature 68 may be, but is not limited to, a conducting line, a transistor gate, an insulating line, etc.
  • conventional photoresist materials, conventional photoresist thicknesses, and/or conventional resist trimming processes may be utilized to form integrated circuit (IC) features having significantly sub-lithographic dimensions without concerns of pattern deformation or destruction during pattern transfer to underlying layer(s).
  • IC integrated circuit
  • a more aggressive resist trimming process is permitted (e.g., extended frirnming time) before destruction of pattern integrity is likely to occur due to insufficient resist thickness.
  • the electron beam selected to have specific beam characteristics in accordance with desirable modification to the surface of photoresist layer 16 feature dimensions may approximately be reduced by half from those provided on mask or reticle 18.
  • layer 16 may be modified to slow vertical resist thickness loss during the resist trimming step by a variety of other treatments.
  • layer 16 may be exposed to an ultraviolet (UV) light after being patterned and developed but before being trimmed with a wavelength at which the material comprising layer 16 is opaque.
  • layer 16 may be cured with an anisotropic plasma, such as, N 2 , H 2 , Ar, or various fluorine, chlorine or bromine containing gas mixtures.
  • layer 16 may be chemically treated before it is exposed to a developing solution, or layer 16 may be exposed to low energy implantation of N 2 , B, P, As, etc.

Abstract

A process for forming sub-lithographic features in an integrated circuit is disclosed herein. The process includes modifying a photoresist layer (16) after patterning and development but before it is utilized to pattern the underlying layers. The modified photoresist layer (16) has different etch rates in the vertical and horizontal directions. The modified photoresist layer (16) is trimmed with a plasma etch. A feature (54) included in the trimmed photoresist layer (16) has a sub-lithographic lateral dimension.

Description

PROCESS FOR FORMING SUB-LITHOGRAPHIC PHOTORESIST FEATURES
CROSS REFERENCE TO RELATED APPLICATIONS
The present application is related to U.S. Application No. 09/819,692 (Atty. Dkt. No. 39153/404 (F0943)) by Okoroanyanwu et al., entitled "Process for Preventing Deformation of Patterned Photoresist Features by Electron Beam Stabilization;" U.S. Application No. 09/820,143 (Atty. Dkt. No. 39153/405 (F0945)) by Okoroanyanwu et al., entitled "Improving SEM Inspection and Analysis of Patterned Photoresist Features;" U.S. Application No. 09/819,344 (Atty. Dkt. No. 39153/406 (F1061)) by Okoroanyanwu et al., entitled "Process for Reducing the Critical Dimensions of Integrated Circuit Device Features;" U.S. Application No. 09/819,343 (Atty. Dkt. No. 39153/298 (F0785)) by Gabriel et al., entitled "Selective Photoresist Hardening to Facilitate Lateral Trimming;" and U.S. Application No. 09/819,552 (Atty. Dkt. No. 39153/310 (F0797)) by Gabriel et al., entitled "Process for Improving the Etch Stability of Ultra-Thin Photoresist," all filed on an even date herewith and assigned to the Assignee of the present application.
TECHNICAL FIELD
The present invention relates generally to integrated circuit (IC) fabrication. More particularly, the present invention relates to fabrication of IC features having sub-lithographic lateral dimensions using modified photoresist surfaces.
BACKGROUND ART
The semiconductor or integrated circuit (IC) industry aims to manufacture ICs with higher and higher densities of devices on a smaller chip area to achieve greater functionality and to reduce manufacturing costs. This desire for large scale integration requires continued shrinking of circuit dimensions and device features. The ability to reduce the size of structures, such as, gate lengths in field-effect transistors and the width of conductive lines, is driven by lithographic performance.
IC fabrication techniques often utilize a photomask (also referred to as a mask) or a reticle.
Radiation is provided through or reflected off the mask or reticle to form an image on a semiconductor wafer. Generally, the image is projected and patterned onto a layer of material, such as, photoresist material, on the wafer. In turn, the patterned photoresist material is utilized to define doping regions, deposition regions, etching regions, and/or other structures of the IC. The patterned photoresist material can also define conductive lines or conductive pads associated with metal layers of the IC. Further, the patterned photoresist material can define isolation regions, transistor gates, or other device structures and elements.
To transfer an image or pattern onto the photoresist material, lithography systems include a light source configured to provide electromagnetic radiation or light at one or more wavelengths. The light source may produce radiation at a wavelength of 365 nanometers (nm), 248 nm, and/or 193 nm. The photoresist material patterned by such radiation is selected to be responsive to the wavelength of such radiation. Preferably, the areas of the photoresist material upon which radiation is incident undergo a photochemical change such that it becomes suitably soluble or insoluble in a subsequent developing process step.
As IC device dimensions continue to shrink, it becomes necessary to define dimensions that are smaller than possible using conventional lithographic techniques. One method for achieving sub-lithographic device dimensions is by reducing or "trimming" features defined on the patterned photoresist material before such features are patterned onto the underlying layer(s). This method, commonly referred to as a resist trim or trimming process, utilizes a plasma etch to remove some of the patterned photoresist material such that the dimensions of the trimmed features are smaller than the original lithographically patterned features using the mask or reticle. In a conventional resist trimming process, the plasma bombards all surfaces of the patterned photoresist material, such that top surfaces as well as side or lateral surfaces of the patterned photoresist material are etched. Hence, as the trimming time is increased (i.e., the patterned photoresist material is exposed to the plasma etch for a longer period of time) to further reduce lateral dimensions (i.e., further etch the side surfaces, thereby reducing the width of features, such as, contact lines), the thickness of the patterned photoresist material is also reduced (due to erosion of its top surfaces). Unfortunately, with enough thinning of the patterned photoresist material, there may not be enough photoresist thickness remaining to survive or with which to perform subsequent processes, such as pattern transfer to underlying layer(s) via an etch process.
To combat such photoresist thinning problems, a thicker layer of photoresist material may be utilized. Although thicker photoresist material addresses the resist thinning that occurs during the trimming process, thicker photoresist material are susceptible to pattern deformation and/or incomplete pattern transfer. Because the resolution of features is, in part, proportional to the inverse of the exposure or lithographic wavelength, it is desirable to pattern photoresist material using shorter exposure wavelengths (e.g., 157 nm, 126 nm, or 13.4 nm). Presently, no photoresist materials exist that are specifically suited for such shorter exposure wavelengths. Instead, photoresist materials conventionally used in 265 nm, 248 nm, or 193 nm lithography are utilized. These longer wavelength photoresist materials exhibit high optical absorption per unit thickness at the shorter exposure wavelengths. Hence, the longer wavelength photoresist materials are increasingly opaque to shorter wavelength radiation and the necessary photochemical change does not occur throughout the entire thickness of the material. As the photoresist material thickness is increased, incomplete pattern transfer throughout the entire thickness of the material is more likely to occur.
Alternatively, even if complete pattern transfer has occurred, prolonged trimming (to achieve very narrow features in the patterned photoresist material) can cause pattern deformation, such as, pattern collapse, pattern bending, or pattern breakage. Since pattern deformation of a given patterned feature is a function of its aspect ratio (i.e., the ratio of the height vs. the width of the patterned feature), a thicker photoresist material will more likely result in pattern deformation. Thus, using a conventional thickness of photoresist material allows for less lateral trimming due to vertical consumption concerns. Namely, since the plasma etch also thins the photoresist as it narrows the patterned features, the trimming process must be stopped before maximum lateral reduction has been achieved to ensure that a thick enough layer of patterned photoresist material remains for subsequent processes (e.g., etch processes for pattern transfer to underlying layer(s), such as a polysilicon gate formation). On the other hand, starting with a thicker layer of photoresist material to permit prolonged trimming is also problematic due to incomplete pattern transfer and/or pattern deformation concerns.
Thus, there is a need for a process for permitting a conventional photoresist trimming process to be utilized to its maximum potential. There is a further need for a process for laterally trimming patterned features on a photoresist material without such photoresist material having associated therewith pattern deformation, incomplete pattern transfer, or insufficient vertical thickness for subsequent lithographic processes. Even further still, there is a need for a process for forming sub-lithographic photoresist features without extensive modification to conventional lithographic techniques, tools, materials, or equipment or significantly decreasing throughout.
DISCLOSURE OF THE INVENTION
One exemplary embodiment relates to a method of trimming a feature patterned on a photoresist layer. The photoresist layer is disposed over a substrate and the feature includes a top portion and lateral surfaces. The method includes modifying the top portion of the feature patterned on the photoresist layer to form a modified top portion. The method further includes trimming the feature patterned on the photoresist layer to form a trimmed feature. A vertical trim rate and a lateral trim rate are associated with the feature. The vertical trim rate is slower than the lateral trim rate due to the modified top portion.
Another exemplary embodiment relates to an integrated circuit fabrication process. The process includes developing a patterned photoresist layer, and modifying the patterned photoresist layer to form a top portion and a bottom portion of the at least one feature. The patterned photoresist layer includes at least one feature. The top portion has a top etch rate and the bottom portion has a bottom etch rate. The top etch rate is different from the bottom etch rate. The process further includes etching the patterned photoresist layer to change the at least one feature to have a sub-lithographic lateral dimension and a sufficient vertical thickness to maintain pattern integrity.
Still another exemplary embodiment relates to an integrated circuit having a feature of sub- lithographic dimension. The feature is formed by the process including patterning the feature on a photoresist layer disposed over a substrate, developing the feature patterned on the photoresist layer, and changing at least a portion of the photoresist layer. The feature is patterned in accordance with a radiation at a lithographic wavelength and a pattern provided on a mask or a reticle. A top portion of the feature patterned on the photoresist layer is changed to have a different etch rate from a bottom portion of the feature patterned on the photoresist layer. The process further includes trimming the feature patterned on the photoresist layer to a sub- lithographic dimension, and transferring the trimmed feature patterned on the photoresist layer to the substrate. The feature in the substrate has the sub-lithographic dimension. BRIEF DESCRIPTION OF DRAWINGS
The exemplary embodiments will become more fully understood from the following detailed description, taken in conjunction with the accompanying drawings, wherein like reference numerals denote like elements, in which:
FIG. 1 is a general schematic block diagram of a lithographic system for patterning a wafer in accordance with an exemplary embodiment;
FIG. 2 is a schematic cross-sectional view of the wafer illustrated in FIG. 1, showing an electron beam curing step;
FIG. 3 is a schematic cross-sectional view of the wafer illustrated in FIG. 2, showing a partial resist trimming step;
FIG. 4 is a schematic cross-sectional view of the wafer illustrated in FIG. 3, showing a full resist trimming step;
FIG. 5 is a schematic cross-sectional view of the wafer illustrated in FIG. 4, showing an etch step; and
FIG. 6 is a plot showing the relative etch rates for photoresist material cured at varying doses of an electron beam for different etch chemistries.
MODES FOR CARRYING OUT THE INVENTION
Referring to FIG. 1, there is shown a wafer 13 in a lithographic system 10. Lithographic system 10 includes a chamber 50, a light source 22, a condenser lens assembly 24, a mask or a reticle 18, an objective lens assembly 26, and a stage 11. Lithographic system 10 is configured to transfer a pattern or image provided on mask or reticle 18 to wafer 13. Lithographic system 10 may be a lithographic camera or stepper unit. For example, lithographic system 10 may be a PAS 5500/900 series machine manufactured by ASML, a microscan DUV system manufactured by Silicon Valley Group, or an XLS family microlithography system manufactured by Integrated Solutions, Inc. of Korea.
Wafer 13 includes a substrate 12, a layer 14, and a photoresist layer 16. Photoresist layer 16 is disposed over layer 14, and layer 14 is disposed over substrate 12. Wafer 13 can be an entire integrated circuit (IC) wafer or a part of an IC wafer. Wafer 13 can be a part of an IC, such as, a memory, a processing unit, an input/output device, etc. Substrate 12 can be a semiconductor substrate, such as, silicon, gallium arsenide, germanium, or other substrate material. Substrate 12 can include one or more layers of material and/or features, such as lines, interconnects, vias, doped regions, etc., and can further include devices, such as, transistors, microactuators, microsensors, capacitors, resistors, diodes, etc. Layer 14 can be an insulative layer, a conductive layer, a barrier layer, or other layer of material to be etched, doped, or layered. In one embodiment, layer 14 can comprise one or more layers of materials, such as, a polysilicon stack comprised of a plurality of alternating layers of organic or inorganic anti- reflective coating (ARC) over doped or undoped polysilicon. In another embodiment, layer 14 is a hard mask layer, such as, a silicon nitride layer or a metal layer. The hard mask layer can serve as a patterned layer for processing substrate 12 or for processing a layer upon substrate 12. In yet another embodiment, layer 14 is an anti-reflective coating (ARC). Substrate 12 and layer 14 are not described in a limiting fashion, and can each comprise a conductive, semiconductive, or insulative material.
Photoresist layer 16 can comprise a variety of photoresist chemicals suitable for lithographic applications. Photoresist layer 16 is selected to have photochemical reactions in response to electromagnetic radiation emitted from light source 22. Materials comprising photoresist layer 16 can include, among others, a matrix material or resin, a sensitizer or inhibitor, and a solvent. Photoresist layer 16 is preferably a chemically amplified, positive or negative tone, organic based photoresist. For example, photoresist layer 16 may comprise PAR700 photoresist manufactured by Sumitomo Chemical Company. Photoresist layer 16 is deposited, for example, by spin-coating over layer 14. Photoresist layer 16 is provided at a thickness of less than 1.0 μm.
Chamber 50 of lithographic system 10 can be a vacuum or low pressure chamber for use in vacuum ultraviolet (VUV) lithography. Chamber 50 can contain any of numerous types of atmospheres, such as, nitrogen, etc. Alternatively, lithographic system 10 can be utilized in various other types of lithography including lithography that uses electromagnetic radiation at any number of wavelengths.
Light source 22 provides light or electromagnetic radiation through condenser lens assembly
24, mask or reticle 18, and objective lens assembly 26 to photoresist layer 16. Light source 22 is an excimer laser, in one embodiment, having a wavelength of 365 nm, 248 nm, 193 nm, or 157 nm. Alternatively, light source 22 may be a variety of other light sources capable of emitting radiation having a wavelength in the ultraviolet (UV), vacuum ultraviolet (VUV), deep ultraviolet (DUV), or extreme ultraviolet (EUV) range.
Assemblies 24 and 26 include lenses, mirrors, collimators, beam splitters, and/or other optical components to suitably focus and direct a pattern of radiation (i.e., radiation from light source 22 as modified by a pattern or image provided on mask or reticle 18) onto photoresist layer 16. Stage 11 supports wafer 13 and can move wafer 13 relative to assembly 26.
Mask or reticle 18 is a binary mask in one embodiment. Mask or reticle 18 includes a translucent substrate 21 (e.g., glass or quartz) and an opaque or patterned layer 20 (e.g., chromium or chromium oxide) thereon. Opaque layer 20 provides a pattern or image associated with a desired circuit pattern, features, or devices to be projected onto photoresist layer 16. Alternatively, mask or reticle 18 may be an attenuating phase shift mask, an alternating phase shift mask, or other type of mask or reticle.
Utilizing lithographic system 10, the pattern or image on mask or reticle 18 is patterned on photoresist layer 16. After the patterned photoresist layer 16 is developed but before such a pattern is transferred onto any of the underlying layers, such as, layer 14, an electron beam exposure step is performed, as shown in FIG. 2. It should be understood that wafer 13 may be removed from chamber 50 and positioned within a different chamber and/or a different environment with tools, such as a flood electron beam source (not shown), for this electron beam exposure step.
In the electron beam exposure step, also referred to as an electron beam curing step or a resist curing step, wafer 13 is flood exposed with an electron beam 52. In FIG. 2, a cross-sectional view of a portion of wafer 13, and in particular a line feature 54 patterned on layer 16, is shown undergoing the curing process. In one embodiment, line feature 54 has an initial or nominal lateral dimension 56 of approximately 150 nm for an 193 nm lithographic system 10.
Electron beam 52 is preferably emitted from an extended area electron source (not shown) and is a uniform collimated beam that is flood exposed over the entire wafer 13. The extended area electron source is of the cold cathode type and generates electron beam 52 from the energetic impact of ions. An example of an extended area electron source suitable to generate electron beam 52 is manufactured by Electron Vision Corporation.
When electron beam 52 of sufficient energy comes into contact with molecules comprising the polymer material of layer 16, such molecules undergo a chemical reaction, i.e., cross-linking, to the extent that the functional groups of the polymer material associated therewith are completely decomposed. The portion of line feature 54 that has become completely decomposed is shown cross-hatched and comprises a top portion 58 (FIG. 2). The portion of line feature 54 that electron beam 52 is unable to penetrate or bombard, i.e., a bottom portion 60, remains unaffected (i.e., the polymer functional groups in bottom portion 60 are not cross-linked to the point of complete decomposition). Bottom portion 60 is disposed directly below top portion 58.
Top portion 58 has different electrical, optical, and material properties relative to bottom portion 60. Complete decomposition of the functional groups of the polymer material causes the electrical and optical properties of top portion 58 to be different, increases the density of top portion 58, and decreases the porosity of top portion 58 relative to bottom portion 60. Cured top portion 58 has greater etch resistance (or, equivalently, a slower erosion or etch rate) than uncured bottom portion 60. Hence, in a resist trimming step (FIGs. 3-4) following the electron beam exposure or curing step (FIG. 2), a greater amount of lateral trimming of features on layer 16 (e.g., line feature 54) can occur without adversely thinning layer 16 than is conventionally possible.
In FIG. 3, a cross-sectional view of a portion of wafer 13 during the resist trimming step is shown. The resist trimming step is preferably a plasma etching step. Wafer 13 is exposed to a plasma etchant 62 to trim or reduce the dimensions of features patterned on layer 16. Plasma etchant 62 can comprise a variety of plasma etch chemistries, such as, 02, HBr/02, or Cl2/02. In one embodiment, wafer 13 is in a different processing environment (e.g., different chamber) than for the electron beam curing step of FIG. 2. A variety of standard etch systems, such as those manufactured by Applied Materials of Santa Clara, California, or Lam Research of Fremont, California, may be utilized to provide plasma etchant 62.
Plasma etchant 62 etches all exposed surfaces on layer 16, including the top and side surfaces. However, because different portions of layer 16 have different etch rates following the electron beam curing step (e.g., top portion 58 vs. bottom portion 60), the dimensional reduction of all of the surfaces of layer 16 will not be the same. As shown in FIG. 3, the vertical reduction of line feature 54 is less than the lateral reduction of line feature 54. Specifically, top portion 58 trims vertically at a slower rate than the sides of bottom portion 60, temporarily making line feature 54 a "T" shaped feature. The dotted line in FIG. 3 represents the shape of line feature 54 before commencement of the resist trimming step.
Preferably, the thickness of top portion 58 is selected such that substantially all of top portion
58 will be consumed or etched away simultaneous with the completion of a desired amount of lateral trimming of bottom portion 60. The thickness of top portion 58 is determined by the penetration depth of electron beam 52 into layer 16. By varying the energy, accelerating voltage, or current of electron beam 52 and/or the processing gas or wafer temperature associated with the electron beam curing step, it is possible to control the penetration depth of electron beam 52 into layer 16, or in other words, select the thickness of top portion 58. As an approximation, the thickness of top portion 58 is a function of the accelerating voltage of electron beam 52 and the relationship can be expressed as:
0.046 V '-75
where Rs is the penetration depth in microns, Va is the accelerating voltage or energy in keV, and d is the density of the target material (e.g., layer 16) in g/cm3.
The erosion or etch rate of the cured portion of layer 16 is determined by the dose of electron beam 52. When layer 16 has been cured with electron beam 52 having a dose of approximately 1000 μC/cm2, the etch rate of the cured portion of layer 16 (e.g., top portion 58) using a polysilicon etch or oxide etch plasma chemistry is approximately 35-50% slower than the uncured or untreated portion of layer 16 (e.g., bottom portion 60). A polysihcon etch typically includes the use of a HBr/Cl2/02 or HBr/02 etch chemistries. An oxide etch typically includes the use of fluorine-based etch chemistries, such as C4F8/Ar/02.
The reduction in etch rate saturates for dose greater than approximately 2000 μC/cm2. In FIG. 6, there is shown etch rates for PAR700 photoresist cured with various doses of the electron beam. The PAR700 photoresist in FIG. 6 is provided over a silicon substrate. Each of plot lines 100, 102, 104, and 106 shows the etch rate as a function of the dose of the electron beam. Plot line 100 represents the etch rate of the PAR700 photoresist when exposed to a HBr/02 etch chemistry. Plot line 102 represents the etch rate of the PAR700 photoresist when exposed to a HBr/Cl2/He02 etch chemistry. Plot line 104 represents the etch rate of the PAR700 photoresist when exposed to a HBr/He02 etch chemistry. Plot line 106 represents the etch rate of the PAR700 photoresist when exposed to a C4F8/Ar/02 etch chemistry. In one embodiment, the etch chemistry parameters are as follows:
1. HBr/02 etch chemistry (plot line 100): 15 mT, source/bias of 100/20 W, and ratio of HBr/02 = 15/25 seem.
2. HBr/Cl2/He02 etch chemistry (plot line 102): 20 mT, source/bias of 200/100 W, and ratio of HBr/CI2/He02 = 150/30/15 seem.
3. HBr He02 etch chemistry (plot line 104): 60 T, source/bias of 200/90 W, and ratio of HBr/He02 He = 200/10/100 seem.
4. C4F8/Ar/02 etch chemistry (plot line 106): 60 mT, 1700 W, and ratio of C4F8/Ar/02 = 7/500/2 seem.
In FIG. 4, a cross-sectional view of a portion of wafer 13 upon completion of the resist trimming step (FIG. 3) is shown. Line feature 54 now comprises bottom portion 60 that has been laterally , trimmed and top portion 58 is absent, having been completely etched by plasma etchant 62. Line feature 54 after the plasma etch has a trimmed lateral dimension 64 and a vertical thickness 66. For example, if initial or nominal lateral dimension 56 is 150 nm, then trimmed lateral dimension 64 can approach 70 nm or less and vertical thickness 66 can be in the range of 1000-6000 A.
Conventionally, for 193 nm lithographically patterned features without electron beam curing, features having a nominal lateral dimension of about 150 nm cannot be trimmed to less than about 110 nm without the features disintegrating during subsequent processes, such as an etch process, (i.e., due to insufficient thickness of the remaining layer 16). In contrast, by modifying the top surface of layer 16 to slow the vertical resist thickness loss during the resist trimming step, the resultant features patterned on layer 16 can achieve trimmed lateral dimensions similar to those achievable with conventional resist trimming processes but with more resist thickness remaining. Alternatively, the resultant features patterned on layer 16 can comprise a resist thickness similar to those conventionally achievable but with narrower lateral dimensions. Having a greater thickness of resist remaining increases the probability that such trimmed features will survive subsequent processes to be faithfully patterned onto underlying layers.
In FIG. 5, the trimmed line feature 54 shown in FIG. 4 is patterned onto layer 14 by an etching step. Sufficient vertical thickness 66 of line feature 54 permits the shape of line feature 54 (with the reduced lateral dimension) to survive subsequent lithographic processes, such as an etching step, to pattern feature 68 into layer 14. Feature 68 is shaped similar to line feature 54 and has a lateral dimension similar to trimmed lateral dimension 64. Feature 68 may be, but is not limited to, a conducting line, a transistor gate, an insulating line, etc.
In this manner, conventional photoresist materials, conventional photoresist thicknesses, and/or conventional resist trimming processes may be utilized to form integrated circuit (IC) features having significantly sub-lithographic dimensions without concerns of pattern deformation or destruction during pattern transfer to underlying layer(s). Moreover, a more aggressive resist trimming process is permitted (e.g., extended frirnming time) before destruction of pattern integrity is likely to occur due to insufficient resist thickness. With an electron beam curing of the developed photoresist layer 16, the electron beam selected to have specific beam characteristics in accordance with desirable modification to the surface of photoresist layer 16, feature dimensions may approximately be reduced by half from those provided on mask or reticle 18.
It is also contemplated that the surface of layer 16 may be modified to slow vertical resist thickness loss during the resist trimming step by a variety of other treatments. For example, layer 16 may be exposed to an ultraviolet (UV) light after being patterned and developed but before being trimmed with a wavelength at which the material comprising layer 16 is opaque. In another example, layer 16 may be cured with an anisotropic plasma, such as, N2, H2, Ar, or various fluorine, chlorine or bromine containing gas mixtures. In still another example, layer 16 may be chemically treated before it is exposed to a developing solution, or layer 16 may be exposed to low energy implantation of N2, B, P, As, etc.
It is understood that while the preferred embodiment and specific examples are given, they are for the purpose of illustration only and are not limited to the precise details described herein. Various modifications may be made in the details within the scope and range of the equivalence of the claims without departing from what is claimed.

Claims

CLAIMSWHAT IS CLAIMED IS:
1. A method of dimming a feature (54) patterned on a photoresist layer (16), the photoresist layer (16) disposed over a substrate (12) and the feature (54) including a top portion (58) and lateral surfaces, the method comprising the steps of: modifying the top portion (58) of the feature (54) patterned on the photoresist layer (16) to form a modified top portion; and trimming the feature (54) patterned on the photoresist layer (16) to form a trimmed feature (54), wherein a vertical trim rate and a lateral trim rate are associated with the feature and the vertical trim rate is slower than the lateral trim rate due to the modified top portion.
2. The method of claim 1, further characterized in that the vertical trim rate is a function of a dose of the electron beam (52) and a vertical thickness of the modified top portion (58) is a function of a current or an accelerating voltage of the electron beam (52).
3. The method of claim 1, further characterized in that the trimmed feature (54) has a sub- lithographic lateral dimension (64).
4. An integrated circuit fabrication process, the process comprising: developing a patterned photoresist layer (16), the patterned photoresist layer (16) including at least one feature (54); modifying the patterned photoresist layer (16) to form a top portion (58) and a bottom portion (60) of the at least one feature (54), the top portion (58) having a top etch rate and the bottom portion (60) having a bottom etch rate, further characterized in that the top etch rate is different from the bottom etch rate; and etching the patterned photoresist layer (16) to change the at least one feature (54) to have a sub-lithographic lateral dimension (64) and a sufficient vertical thickness to maintain pattern integrity.
5. The process of claim 1 or 4, further characterized in that the modifying step includes cross- linking the top portion (58) to the extent that functional groups of the material comprising the top portion (58) are decomposed.
6. The process of claim 1 or 4, further characterized in that the modifying step includes flood exposing the photoresist layer (16) to an electron beam (52).
7. The process of claim 4 further characterized in that etching the patterned photoresist layer (16) includes consuming the top portion (58) and laterally etching the bottom portion (60).
8. The process of claim 4, further comprising selecting parameters associated with an electron beam (52) to configure at leaset one of the top etch rate and a thickness of the top portion (58).
9. An integrated circuit having a feature (54) of sub-lithographic dimension (69), the feature (54) formed by a process characterized by: patterning the feature (54) on a photoresist layer (16) disposed over a substrate (12), the feature
(54) patterned in accordance with a radiation at a lithographic wavelength and a pattern provided on a mask or a reticle (18); developing the feature (54) patterned on the photoresist layer (16); changing at least a portion of the photoresist layer (16), further characterized in that a top portion (58) of the feature (54) patterned on the photoresist layer (16) is changed to have a different etch rate from a bottom portion (160) of the feature (54) patterned on the photoresist layer (16); trimming the feature (54) patterned on the photoresist layer (16) to a sub-lithographic dimension (64); and transferring the trimmed feature (54) patterned on the photoresist layer (16) to the substrate (14), further characterized in that the feature (68) in the substrate (14) has the sub-lithographic dimension.
10. The process of claim 16, further characterized in that the changing step includes curing the photoresist layer (16) with an electron beam (52) to form the top portion (58).
PCT/US2001/048509 2001-03-28 2001-12-12 Process for forming sub-lithographic photoresist features WO2002080239A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
EP01991135A EP1374287A2 (en) 2001-03-28 2001-12-12 Process for forming sub-lithographic photoresist features
KR1020037012674A KR100836948B1 (en) 2001-03-28 2001-12-12 Process for forming sub-lithographic photoresist features
JP2002578554A JP2004530922A (en) 2001-03-28 2001-12-12 Process for forming sublithographic photoresist features

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/819,342 2001-03-28
US09/819,342 US6630288B2 (en) 2001-03-28 2001-03-28 Process for forming sub-lithographic photoresist features by modification of the photoresist surface

Publications (2)

Publication Number Publication Date
WO2002080239A2 true WO2002080239A2 (en) 2002-10-10
WO2002080239A3 WO2002080239A3 (en) 2002-12-12

Family

ID=25227873

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2001/048509 WO2002080239A2 (en) 2001-03-28 2001-12-12 Process for forming sub-lithographic photoresist features

Country Status (7)

Country Link
US (1) US6630288B2 (en)
EP (1) EP1374287A2 (en)
JP (1) JP2004530922A (en)
KR (1) KR100836948B1 (en)
CN (1) CN1333436C (en)
TW (1) TW533505B (en)
WO (1) WO2002080239A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004017390A1 (en) * 2002-08-14 2004-02-26 Lam Reserach Corporation Method and compositions for hardening photoresist in etching processes
US7803518B2 (en) 2005-08-15 2010-09-28 Fujitsu Semiconductor Limited Method for manufacturing micro structure
US8298958B2 (en) 2008-07-17 2012-10-30 Lam Research Corporation Organic line width roughness with H2 plasma treatment

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6869899B2 (en) * 2001-07-12 2005-03-22 International Business Machines Corporation Lateral-only photoresist trimming for sub-80 nm gate stack
KR100390918B1 (en) * 2001-08-30 2003-07-12 주식회사 하이닉스반도체 a method for manufacturing of semiconductor memory device
US20040038537A1 (en) * 2002-08-20 2004-02-26 Wei Liu Method of preventing or suppressing sidewall buckling of mask structures used to etch feature sizes smaller than 50nm
US6867063B1 (en) * 2002-09-30 2005-03-15 Advanced Micro Devices, Inc. Organic spin-on anti-reflective coating over inorganic anti-reflective coating
EP1588421A2 (en) * 2003-01-22 2005-10-26 Koninklijke Philips Electronics N.V. Floating gate isolation and method of making
US6962878B2 (en) * 2003-04-17 2005-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method to reduce photoresist mask line dimensions
KR100539962B1 (en) * 2003-07-03 2005-12-28 매그나칩 반도체 유한회사 Method of manufacturing a semiconductor device using photoresist trimming process
US7157377B2 (en) * 2004-02-13 2007-01-02 Freescale Semiconductor, Inc. Method of making a semiconductor device using treated photoresist
US7294440B2 (en) * 2004-07-23 2007-11-13 International Business Machines Corporation Method to selectively correct critical dimension errors in the semiconductor industry
US7384726B2 (en) * 2005-01-25 2008-06-10 Taiwan Semiconductor Manufacturing Co., Ltd. Resist collapse prevention using immersed hardening
US7592247B2 (en) * 2006-10-04 2009-09-22 International Business Machines Corporation Sub-lithographic local interconnects, and methods for forming same
US20080138986A1 (en) * 2006-12-06 2008-06-12 International Business Machines Corporation Mask layer trim method using charged particle beam exposure
CN101593685B (en) * 2008-05-29 2011-05-04 中芯国际集成电路制造(北京)有限公司 Method for forming grid
US8062953B2 (en) * 2008-07-30 2011-11-22 Freescale Semiconductor, Inc. Semiconductor devices with extended active regions
JP5649583B2 (en) * 2008-10-31 2015-01-07 エフ イー アイ カンパニFei Company Processing end point detection method and apparatus
KR101662702B1 (en) * 2009-12-31 2016-10-06 삼성전자 주식회사 Method for manufacturing semiconductor device
US8975189B2 (en) * 2012-09-14 2015-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming fine patterns
TW201441345A (en) * 2013-04-16 2014-11-01 Polychem Uv Eb Internat Corp Aqueous etchant composition containing strong oxide precursor, structure thereof and conductive circuit patterning process
JP2015115524A (en) * 2013-12-13 2015-06-22 大日本印刷株式会社 Method of manufacturing imprint mold
US9953802B2 (en) 2014-01-21 2018-04-24 Ramot At Tel-Aviv University Ltd. Method and device for manipulating particle beam
CN105652590A (en) * 2014-12-04 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 Manufacturing method of coining template
US11131919B2 (en) * 2018-06-22 2021-09-28 International Business Machines Corporation Extreme ultraviolet (EUV) mask stack processing
CN111769037B (en) * 2020-05-29 2021-10-29 长江存储科技有限责任公司 Etching method for semiconductor structure and manufacturing method of 3D memory device

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5468595A (en) * 1993-01-29 1995-11-21 Electron Vision Corporation Method for three-dimensional control of solubility properties of resist layers
US5876903A (en) * 1996-12-31 1999-03-02 Advanced Micro Devices Virtual hard mask for etching
JPH1197328A (en) * 1997-09-17 1999-04-09 Toshiba Corp Method for forming resist pattern
US5994225A (en) * 1996-09-10 1999-11-30 United Microelectronics Corp. Method of etching metal with increased etching selectivity
US6197687B1 (en) * 1999-09-13 2001-03-06 Advanced Micro Devices, Inc. Method of patterning field dielectric regions in a semiconductor device

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3997367A (en) 1975-11-20 1976-12-14 Bell Telephone Laboratories, Incorporated Method for making transistors
US5003178A (en) 1988-11-14 1991-03-26 Electron Vision Corporation Large-area uniform electron source
JPH02252233A (en) * 1989-03-27 1990-10-11 Matsushita Electric Ind Co Ltd Fine pattern forming method
EP0394597A1 (en) * 1989-04-28 1990-10-31 International Business Machines Corporation Follow-up System for Monitoring the Etching Process in an RIE Equipment and its Application to Producing High-resolution and Reproducible Patterns
JPH06244156A (en) * 1993-02-15 1994-09-02 Nippon Telegr & Teleph Corp <Ntt> Formation of pattern
JPH0845906A (en) * 1994-07-28 1996-02-16 Sony Corp Pattern forming method and manufacture of semiconductor device using this method
US5658469A (en) * 1995-12-11 1997-08-19 Quantum Peripherals Colorado, Inc. Method for forming re-entrant photoresist lift-off profile for thin film device processing and a thin film device made thereby
US6232048B1 (en) 1996-12-31 2001-05-15 Advanced Micro Devices Method for preparing narrow photoresist lines
US6107172A (en) * 1997-08-01 2000-08-22 Advanced Micro Devices, Inc. Controlled linewidth reduction during gate pattern formation using an SiON BARC
US5965461A (en) * 1997-08-01 1999-10-12 Advanced Micro Devices, Inc. Controlled linewidth reduction during gate pattern formation using a spin-on barc
US5962195A (en) * 1997-09-10 1999-10-05 Vanguard International Semiconductor Corporation Method for controlling linewidth by etching bottom anti-reflective coating
US6103457A (en) 1998-05-28 2000-08-15 Philips Electronics North America Corp. Method for reducing faceting on a photoresist layer during an etch process
JP2000181082A (en) * 1998-12-10 2000-06-30 Sony Corp Production of semiconductor device
US6110837A (en) 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
US6319655B1 (en) * 1999-06-11 2001-11-20 Electron Vision Corporation Modification of 193 nm sensitive photoresist materials by electron beam exposure
US6174818B1 (en) * 1999-11-19 2001-01-16 Taiwan Semiconductor Manufacturing Company Method of patterning narrow gate electrode
US6358670B1 (en) * 1999-12-28 2002-03-19 Electron Vision Corporation Enhancement of photoresist plasma etch resistance via electron beam surface cure
KR100463237B1 (en) * 2000-06-28 2004-12-23 주식회사 하이닉스반도체 Method for forming photoresist pattern
JP3406302B2 (en) * 2001-01-16 2003-05-12 株式会社半導体先端テクノロジーズ Method of forming fine pattern, method of manufacturing semiconductor device, and semiconductor device
JP2002231608A (en) * 2001-02-02 2002-08-16 Hitachi Ltd Method of manufacturing semiconductor device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5468595A (en) * 1993-01-29 1995-11-21 Electron Vision Corporation Method for three-dimensional control of solubility properties of resist layers
US5994225A (en) * 1996-09-10 1999-11-30 United Microelectronics Corp. Method of etching metal with increased etching selectivity
US5876903A (en) * 1996-12-31 1999-03-02 Advanced Micro Devices Virtual hard mask for etching
JPH1197328A (en) * 1997-09-17 1999-04-09 Toshiba Corp Method for forming resist pattern
US6197687B1 (en) * 1999-09-13 2001-03-06 Advanced Micro Devices, Inc. Method of patterning field dielectric regions in a semiconductor device

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
CHIONG K G ET AL: "RESIST CONTRAST ENHANCEMENT IN HIGH RESOLUTION ELECTRON BEAM LITHOGRAPHY" JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY: PART B, AMERICAN INSTITUTE OF PHYSICS. NEW YORK, US, vol. 7, no. 6, 1 November 1989 (1989-11-01), pages 1771-1777, XP000249744 ISSN: 0734-211X *
PATENT ABSTRACTS OF JAPAN vol. 1999, no. 09, 30 July 1999 (1999-07-30) & JP 11 097328 A (TOSHIBA CORP), 9 April 1999 (1999-04-09) *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004017390A1 (en) * 2002-08-14 2004-02-26 Lam Reserach Corporation Method and compositions for hardening photoresist in etching processes
US6923920B2 (en) 2002-08-14 2005-08-02 Lam Research Corporation Method and compositions for hardening photoresist in etching processes
US7803518B2 (en) 2005-08-15 2010-09-28 Fujitsu Semiconductor Limited Method for manufacturing micro structure
US8298958B2 (en) 2008-07-17 2012-10-30 Lam Research Corporation Organic line width roughness with H2 plasma treatment

Also Published As

Publication number Publication date
KR100836948B1 (en) 2008-06-11
KR20040021596A (en) 2004-03-10
CN1494732A (en) 2004-05-05
US20020160320A1 (en) 2002-10-31
TW533505B (en) 2003-05-21
EP1374287A2 (en) 2004-01-02
JP2004530922A (en) 2004-10-07
US6630288B2 (en) 2003-10-07
CN1333436C (en) 2007-08-22
WO2002080239A3 (en) 2002-12-12

Similar Documents

Publication Publication Date Title
US6630288B2 (en) Process for forming sub-lithographic photoresist features by modification of the photoresist surface
US6716571B2 (en) Selective photoresist hardening to facilitate lateral trimming
US6653231B2 (en) Process for reducing the critical dimensions of integrated circuit device features
US20080292991A1 (en) High fidelity multiple resist patterning
US6716570B2 (en) Low temperature resist trimming process
US8153350B2 (en) Method and material for forming high etch resistant double exposure patterns
US6869899B2 (en) Lateral-only photoresist trimming for sub-80 nm gate stack
US6767693B1 (en) Materials and methods for sub-lithographic patterning of contact, via, and trench structures in integrated circuit devices
US7851136B2 (en) Stabilization of deep ultraviolet photoresist
US6815359B2 (en) Process for improving the etch stability of ultra-thin photoresist
US6589709B1 (en) Process for preventing deformation of patterned photoresist features
US6828259B2 (en) Enhanced transistor gate using E-beam radiation
US6589713B1 (en) Process for reducing the pitch of contact holes, vias, and trench structures in integrated circuits
US8815496B2 (en) Method for patterning a photosensitive layer
US6884735B1 (en) Materials and methods for sublithographic patterning of gate structures in integrated circuit devices
US5322764A (en) Method for forming a patterned resist
US6709986B2 (en) Method for manufacturing semiconductor memory device by using photoresist pattern exposed with ArF laser beam
JP2004533110A (en) Improved transistor gate using e-beam radiation
US6548223B2 (en) Methods of forming patterns across photoresist and methods of forming radiation-patterning tools
KR100265361B1 (en) Method for improving etching selectivity of photoresist
KR100853461B1 (en) Method for forming patterns in semiconductor device using ArF light source
US6627360B1 (en) Carbonization process for an etch mask
KR100392431B1 (en) Method of manufacturing semiconductor device
KR20020043961A (en) Manufacturing method of fine pattern for a semiconductor device
Yoshikawa Resist Process for SOR Lithography

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PH PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
AK Designated states

Kind code of ref document: A3

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PH PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2001991135

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2002578554

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 018230954

Country of ref document: CN

Ref document number: 1020037012674

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2001991135

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642