WO1997024661A1 - Apparatus for concurrent processing of pipelined instructions having register dependencies - Google Patents

Apparatus for concurrent processing of pipelined instructions having register dependencies Download PDF

Info

Publication number
WO1997024661A1
WO1997024661A1 PCT/US1996/020612 US9620612W WO9724661A1 WO 1997024661 A1 WO1997024661 A1 WO 1997024661A1 US 9620612 W US9620612 W US 9620612W WO 9724661 A1 WO9724661 A1 WO 9724661A1
Authority
WO
WIPO (PCT)
Prior art keywords
register
read
circuit
result
instruction
Prior art date
Application number
PCT/US1996/020612
Other languages
French (fr)
Inventor
Ofri Wechsler
Original Assignee
Intel Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corporation filed Critical Intel Corporation
Priority to AU14684/97A priority Critical patent/AU1468497A/en
Publication of WO1997024661A1 publication Critical patent/WO1997024661A1/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3867Concurrent instruction execution, e.g. pipeline, look ahead using instruction pipelines
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30098Register arrangements
    • G06F9/30141Implementation provisions of register files, e.g. ports
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3824Operand accessing
    • G06F9/3826Bypassing or forwarding of data results, e.g. locally between pipeline stages or within a pipeline stage
    • G06F9/3828Bypassing or forwarding of data results, e.g. locally between pipeline stages or within a pipeline stage with global bypass, e.g. between pipelines, between clusters
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3838Dependency mechanisms, e.g. register scoreboarding

Definitions

  • This invention relates generally to the field of concurrent processing of instructions in a computer system. More particularly, the invention relates to superscalar processors capable of executing two or more instructions in parallel.
  • Superscalar data processing machines represent the state-of-the-art in the field of computing systems. These machines are able to execute two or more instruction in parallel under certain conditions.
  • a good example the Intel® PentiumTM processor which is designed to execute pairs instructions if the instructions are of a certain type and if there are no register dependencies. This latter requirement means that data produced by the operation of one instruction cannot be specified as an input operand for the second instruction. In other words, if one instruction utilizes the output of another instruction, the two instructions must execute serially in the proper order.
  • U.S. Patent No. 4,969,116 discloses a sequential processor which includes circuitry for determining a correct ordering sequence for instructions.
  • the problem of resolving data dependencies in a superscalar processor has recently become more cumbersome with the advent of speculative execution architectures. These machines are not only are capable of executing instructions in parallel, but also have the ability to execute instructions out-of- order; that is, in an order other than the programmed order with which instructions are issued within the machine.
  • Out-of-order data processors generally execute instructions based upon data and resource availability, and then restore the original program order during a retirement process. The retirement process "retires" instructions by writing their results to a set of architectural registers at the appropriate time.
  • the present invention deals with the interaction between instructions in an advanced pipelined microprocessor.
  • the invention provides an apparatus for maximizing the number of instructions that can be processed simultaneously within a processor's execution unit.
  • By resolving dependencies -- and through the use of mechanisms such as bypassing and scoreboarding - the invention offers faster processing speed for all types of machines (e.g., RISC or CISC).
  • a pipelined superscalar processor that maximizes the processing efficiency of successive instructions having register dependencies - particularly when the instructions have a different characteristic execution time -- is disclosed.
  • the invention comprises a processor having two or more pipelines that include decode, operand read, execute and writeback stages.
  • the instruction datapath includes a plurality of result buses coupled to a corresponding plurality of write ports of a register file.
  • the register file also includes a plurality of read ports for reading the data stored in the register array.
  • the read ports are coupled to a multiplexer means which selects, during the read stage, operands provided by the register file.
  • a functional unit means receives the output operands selected by the multiplexer means and executes, during the execute stage, the operations specified by one or more instructions. The results of the operations are then provided on the result buses.
  • the invention further includes means coupled to the functional unit means, multiplexer means, and result buses for allowing a result produced during the execute stage by the functional unit means to be bypassed to the read stage of a subsequent instruction which specifies the result as a source operand.
  • a result obtained by a first instruction in a second pipestage may be provided as part of a bypass operation to a second instruction.
  • the result is provided as a source operand for the second instruction so that the second instruction can be executed without delay.
  • an instruction which was dependent upon the results produced by a current instruction would have to wait until the result had been written back to the register file.
  • a status bit associated with a register specified by a multiplication instruction is set prior to the time that the operands are provided to a multiplier.
  • the multiplication operation for example, may be performed in consecutive execution pipestages, and the result of the multiplication provided as a product.
  • the status bit is checked to determine whether the register is busy or is free for use in performing the operation specified by the subsequent instruction. In the event that the status bit indicates a busy state, processing of the subsequent instruction is halted until the result is available and the status bit is reset (to indicate a free state).
  • Figure 1 is an example of how two consecutive instructions may be pipelined in the processor of the present invention.
  • Figure 2 illustrates a bypassing operation in accordance with one embodiment of the present invention.
  • Figure 3 illustrates a freeze operation for an embodiment of the present invention.
  • Figures 4A and 4B are a detailed circuit schematic diagram of the register file and data path used in one implementation of the present invention.
  • Figure 5 is another example illustration the operation of the present invention.
  • Figure 6 is an example illustrating a register file write-through in accordance with the embodiment of Figures 4A and 4B.
  • Figure 7 illustrates a bypass operation for a non-store vector in accordance with the embodiment of Figures 4A and 4B.
  • Figure 8 is an example illustrating another case of a bypass operation for a non-store vector in accordance with the embodiment of Figures 4A and 4B.
  • Figure 9 illustrates the case of a freeze for a store vector that requires bypassing in accordance with one embodiment of the present invention.
  • operands are prefetched from a register file as needed to execute an instruction.
  • a simple execution unit such as an arithmetic logic unit (ALU)
  • ALU arithmetic logic unit
  • it has a known, fixed clock delay (e.g., one clock delay). This means that it takes exactly one clock period from the time that operands are provided to the execution unit until a result is produced.
  • the known clock delay such a machine can speculate in its decoding unit as to when to issue the next instruction in the program sequence, even in situations where the next instruction requires operands that are dependent upon the operation of the current instruction.
  • a more specific problem in a superscalar processor is how many instructions can be processed simultaneously within the execution unit, given variability in the characteristic delay.
  • the first ADD instruction writes to a register R1
  • the second ADD instruction utilizes the contents of register R1 as one of its source operands. Because the second instruction is dependent upon the result of the first instruction, these two instructions could not be issued in parallel in a conventional machine. To put it another way, the decoder would be required to detect the dependency in order for the execution to proceed smoothly. The dependency could then be handled, say, by serializing the instructions.
  • pipeline stages for a pair of instructions executable on a computer processor in accordance with one embodiment of the present invention.
  • pipeline stage for a pair of instructions executable on a computer processor in accordance with one embodiment of the present invention.
  • the description which follows is specific to a class of instructions designed for processing multimedia data, practitioners in the art will appreciate that the apparatus and method utilized in these embodiments is applicable to a wide variety of specialized, as well as general purpose, computers.
  • pipelined computer systems of virtually any type will find the present invention advantageous to achieving fast and efficient parallel processing of pipelined instructions.
  • the first instruction, PADD is an ADD instruction in which source operands stored in registers MMi and MM2 are added; the result being written to register MM2.
  • the various pipestages for this instruction include D1 , which denotes a first decode stage that produces control vectors from the source operands, and a second decode stage, D2, that computes addresses in memory.
  • D1 denotes a first decode stage that produces control vectors from the source operands
  • D2 a second decode stage
  • This is followed by an execute state, E, which accesses a special register file or cache memory storing the source operand data.
  • the E stage is where the actual computational work is done to produce the desired result.
  • multimedia instructions are executed in a pipestage called Mex. Therefore, the E stage may simply be thought of as a read stage in which the multimedia register file or data cache is accessed.
  • the second instruction of Figure 1 is a multiply instruction, PMUL.
  • PMUL a multiply instruction
  • One of the differences between the PADD and the PMUL instruction is that the PMUL instruction requires three pipestages (M-i, M ⁇ , and M3) to process the data through the multiplier and produce a result. This means that there is a three clock latency associated with the PMUL instruction.
  • the result is then written back to the register file in CLK7. Because the PMUL instruction of Figure 1 does not depend upon the result produced by the preceding PADD instruction, this example presents no difficulties and both instructions can be executed -- either sequentially or in parallel.
  • Figure 2 illustrates a different situation.
  • the first instruction 11 writes to register MMi
  • the second instruction 12 specifies as a source operand, the result produced and written to MM-
  • the decode logic in the processor detects the internal data dependency. Therefore, when instruction 11 moves to pipestage D2, instruction 12 remains in pipestage D1. In other words, a one clock freeze is imposed upon instruction 12.
  • CLK3 instruction 11 is in the E stage and is prefetching its operands from the register file or data cache. Simultaneously, instruction 12 advances to the D2 stage and computes its addresses from memory.
  • instruction 11 is executed, but because the decode logic detected the internal dependency, the result is bypassed back to the D2 stage of instruction 12. What happens is that in the second phase (i.e., PH2) of the Mex pipestage of instruction 11 the result for register MM1 is provided in the address computation stage of the next instruction as a source operand. In other words, instead of writing the result into the register file and then having instruction 12 wait for an additional clock period (or more), the invention provides the result in the PH2 clock phase of the D2 pipestage for instruction 12 so that the pipeline is not stalled.
  • PH2 clock phase of the D2 pipestage for instruction 12 instead of writing the result into the register file and then having instruction 12 wait for an additional clock period (or more), the invention provides the result in the PH2 clock phase of the D2 pipestage for instruction 12 so that the pipeline is not stalled.
  • the bypass mechanism of the present invention allows data to be bypassed from execution units output in the Mex stage (for multiplication, the data is bypassed from the M3 stage) directly to the E stage or to the D2 stage for the source operand.
  • the processor upon which the present invention may be implemented is compatible with the Intel architecture for microprocessors.
  • the processor contemplated for use with the present invention includes a U-pipeline and V-pipeline, so that the machine is capable of executing two instructions in parallel.
  • the two instructions may comprise PADD instructions with a bypass to the D2 stages for the U1 source.
  • Bypass control includes a collision detection mechanisms between the respective write-back stage and the bypass destination point, and qualification for the result for the collision detect generating appropriate multiplexer control.
  • Collision detection involves a comparison between each of a plurality of source quantities and the output destinations. In the particular processor described, five source quantities and three output destinations are compared. The five source quantities are: D2, U1 (for memory operations and moves to integer registers), EU1 , EU2, EV1 , and EV2, where U and V specify the U-pipeline and V-pipeline, respectively. A total of 15 comparisons are performed to identify all possible combinations between necessary sources and destinations.
  • the D2U1 source is the only register read that is actually required in D2 for the example of Figure 2.
  • the read in D2 is used for memory and integer and register writes.
  • the register read in D2 causes a latency of two clocks between the reading of the data and the use of the data in the Mex stage, which occurs at CLK5.
  • Figure 3 illustrates the situation in which a multiplication operation
  • PMUL is immediately followed by a PADD instruction that specifies the result produced by the previous PMUL instruction as a source operand for the addition.
  • the PMUL instruction accesses the register file (or data cache) to perform an operand prefetch in the E stage at CLK3.
  • the PADD instruction has preceded to the D2 address computation stage.
  • logic associated with the D2 stage of the PADD instruction recognizes that the operands being prefetched for the preceding PMUL instruction are required for the addition can be performed.
  • scoreboarding logic is utilized to "freeze” or halt the PADD instruction until the result is produced by the PMUL instruction.
  • the PADD instruction is frozen for two clocks (i.e., CLK4 and CLK5) in accordance with the three clock latency associated with the preceding multiplication operation.
  • the particular way that scoreboarding is implemented in the processor of the present invention is by employing a special bit for each register in the register file array.
  • the special bit provides the status of the multimedia register file in terms of the multiply operation.
  • the bit is set to indicate a "busy” state as the operand of a particular register enters the multiplier.
  • the "free" state indicates that the information has exited the multiplier and is now available for use in subsequent instructions.
  • the status bit information is examined in the D2 pipestage of any multimedia instruction.
  • the status bit is only set for the PMUL instruction that writes to a register. This means that any subsequent instruction that uses that register will be flagged with a busy indication resulting in a freeze.
  • the status bit is reset only after the result becomes available for that particular register. When this happens the frozen instruction waits until the status bit of the corresponding register is reset before preceding in the pipeline.
  • Figures 4A and 4B are a circuit schematic diagram of a multimedia data path according to one embodiment of the present invention.
  • the data path functional unit blocks carry out all the data manipulation needed for execution of multimedia instructions in the implementation described.
  • bypass and source data multiplexers are constructed as contention multiplexers, i.e., as a tristate bus. Thus, care should be taken to ensure that the enables of the multiplexers are mutually exclusive, and that the output of the multiplexer is always driven.
  • bypass multiplexers There are two types of bypass multiplexers: one type for E1 and EV1 (having five inputs, including an immediate) and another type for D2U1 , EU2, and EV2 (having four inputs to the multiplexer, with no immediate).
  • the first type of multiplexer is shown as multiplexer 27 or 29 in Figure 4A, whereas the second type consists of multiplexer 28 or 30.
  • the four-input multiplexer type includes one input for the register file 20, one input for the bypass from the multiplier, and one input each from the U-pipe and V-pipe result buses.
  • the immediate is a straightforward case for controlling the multiplexer because the source that has an immediate is compared to a destination that cannot have an immediate; thus, the collision detect comparison always fails, and the bypass is never enabled.
  • multiplexers 46 & 47 for shifter 52
  • 48 & 49 for multiplier 53
  • the purpose of these multiplexers is select the source operands from either the U-pipeline or the V-pipeline. Logically, all that is required is to determine whether one of the pipelines has its valid bit set, and also that its opcode group indicates a multiply/shift operation. The calculation for this quantity is performed in the E stage and then delayed using an enabled transparent latch (e.g., latches 37-40).
  • the control signal for shifter 52 and the output multiplexer in the U-pipeline are shown being provided in Figure 4B through latches 59 and 60.
  • the multimedia data path interfaces with the meX bus in order to read and write data to and from the data cache and integer resources of the processor.
  • the meX bus is utilized to perform write operations to the cache memory.
  • the multimedia data path shown in Figures 4A & 4B comprises several functional unit blocks.
  • a register file functional unit block includes the multimedia register file 20 and the D2 stage bypass multiplexer 22. Together these blocks manipulate three result buses (i.e., U, MUL, and V) and four operand buses (i.e., U1 , U2, V1 , and V2) simultaneously.
  • the logic elements below the register file and multiplexer 22 -- until the time the data enters the arithmetic logic units, shifter and multiplier - comprise the multimedia multiplexer functional unit block. It is this functional unit block that handles the bypassing, operand selection, and bus driving. Accordingly, it comprises multiplexers, latches, and bus drivers.
  • the U-pipeline arithmetic logic unit (UALU) 51 , V-pipeline arithmetic logic unit (VALU) 54, shifter (SHFT) 52, and multiplier (MUL) 53 are the functional unit blocks that carry out the actual computations. Each of these functional unit blocks is coupled to two source buses. The result is generated in less than half of a clock cycle. In the embodiment shown, for all multimedia operations (except for multiply) the data path functions in the D2, E, Mex, and WM pipestages.
  • the data is latched in these E stage multiplexers. Note that the mX bus and the meX bus are also driven in the E stage, if necessary. Multiplexer 34 is utilized to select between the data on the mX bus and the output of multiplexer 27.
  • the multimedia execution units compute the results in the first phase of the clock cycle, i.e., PH1.
  • the input to multiplier 53 and shifter 52 is multiplexed through 2:1 multiplexers 46-49 in order to allow issuing of these instructions in the U and V-pipelines.
  • the latter results are provided to the multiplexers via lines 41 -44.
  • the multimedia multiply (PMUL) instruction is executed in the M1 , M2, and first phase (PH1 ) of the M3 pipestages, as previously discussed.
  • the outputs of these functional unit blocks are output onto the three result buses shown in Figures 4A & 4B as buses 17, 18, and 19. Multiplexing onto these buses occurs via multiplexer 61 and 62, which received their inputs via latches 55-58.
  • the multimedia register file 20 is updated (see Figure 4A). Because it might be the case that the reading and writing of the register file overlaps, the register file is provided with a write-through capability in accordance with the present invention.
  • the multimedia register file contains eight registers (MM0-MM7). Each register is 64-bits wide.
  • the register file can be read via the four read ports as discussed previously.
  • the U1 port is used to read the first source operand (SRC1 ) for the U-pipeline.
  • the U2 read port is used to read SRC2 for the U-pipeline.
  • the V1 and V2 ports correspond to the V pipeline.
  • any multimedia register can be read via any one of the read ports.
  • any register can be read at any number of read ports simultaneously. Note that since in each clock there can be either zero, one, or two vectors running, there may be either 0, 2, or 4 read ports active at any time. The actual reading of the data takes in the second phase (PH2) of the clock cycle.
  • the register file 20 is provided with inputs from the three meX result buses 17-19 which are latched into the three input latches 11 -13, respectively.
  • the latched versions provide the inputs to the three register file write ports.
  • the 4:1 multiplexer 22 multiplexes the U1 read port output with the three meX result buses 17-19 for store bypassing.
  • the output of multiplexer 22 constitutes the fourth output bus of the register file functional unit block in the implementation shown.
  • Register file 20 is read in either the D2 pipestage (for MOV vectors) or in the E pipestage (for the remainder of the multimedia vectors). Register file 20 is written in the WM pipestage. Any access to register file 20, either read or write, is to the entire 64 bits of the registers. In other words, partial reads and writes are not allowed in the embodiment described.
  • Register file 20 is written to via the three write ports, U, MUL, and V.
  • the U write port is used to write the U-pipeline results to register file 20.
  • the V write port is used to write the V-pipeline results.
  • the MUL write port is used for writing the multimedia multiplier results back to the register file.
  • At each clock up to three write ports can write simultaneously to three different registers. A single multimedia register, however, can be written via only one write port at any given clock, with the actual writing taking place in the first phase of the clock cycle.
  • Register file 20 is implemented as a write-through register file. This takes care of the situation in which a multimedia vector in the WM pipestage writes to a register which is read by a subsequent (e.g., next clock) multimedia vector in either the D2 or the E pipestages. Stated another way, this means that the register file has a read-modify-write capability. Therefore, new values written to a register in a first phase of a clock, can be read in the second phase of the same clock.
  • the E stage of the data path comprises the multiplexing functional unit block that contains the D2U1/MOUT latch 23, the EU1 P1 latch 25, the mX bus and meX bus drivers, the four E stage bypass multiplexers 27-30, the four E stage output latches 37-40 and the four 2:1 Mex operand selection multiplexers 46-49.
  • the multiplexer functional unit block functions in the D2, E, and Mex pipestages. For example, in the second phase of the D2 pipestage, an operand is provided at the output of multiplexer 22 which is then latched into the D2U1/MOUT latch 23, which is a PH2 latch. This latch version is used for E stage data manipulation. During the E stage, basically the following tasks are executed.
  • source operands for the U and V-pipelines are selected.
  • the mX and meX buses are driven for MOV vectors.
  • the operand buses from the register file functional unit block that are valid at the beginning of the second phase of the E stage clock
  • the result buses are indicated as buses 17, 18, and 19 in Figures 4A & 4B.
  • the multiplexer outputs are then latched in the E stage output latches 37-40 for Mex stage usage.
  • an additional input to the multiplexer is an immediate value (indicated as 2*imm[8]) that is used for shift counts.
  • the actual width of the immediate data is 8 bits and therefore only these bits are 5:1 multiplexed.
  • the rest of the bits in the V1 path are multiplexed by a 4:1 multiplexer.
  • the U1 operand is latched in the D2U1 latch 23, and is valid at the beginning of the E stage clock.
  • the D2U1 latch 23 is latched in the PH1 phase.
  • the EU1 P1 latch 25 latches at the following edge of the E stage clock.
  • the operand path from there through the 5:1 multiplier 27 to the E stage output latch (the output line 41 of latch 37) is similar to the V-pipeline SRC1 operand path which has an output latched on line 43 via latch 39.
  • D2U1 latch 23 is an enabled latch. This means that latch 23 latches the data only if there is no freeze in effect during a current clock. In order to minimize loading impact on the freeze signal, a buffered version of the freeze signal is used as an enable for the D2U1/MOUT latch 23.
  • the functional unit blocks also work in the Mex pipestage to select the proper operands for shifter 52 and multiplier 53. The decision is based on whether the shift/multiply vectors have been issued to the U and the V- pipelines. As can be seen in Figure 4B, two ALUs 51 and 54 are coupled directly to the U and V-pipelines, respectively; therefore, no selection is required.
  • the eight meX operand buses, shown in Figure 4B as 41-44 and the outputs of multiplexers 46-49, constitute the outputs of the multiplexer functional unit block. These operand buses are coupled directly to the shifter ALU and multiplier functional units.
  • FIG. 5 there are shown three different multimedia vectors running in the pipeline. These vectors are indicated by capital letters A, B, and C.
  • a multimedia vector in the E stage needs a register for the operands described above that is a destination register of a previous vector, which is in a further pipestage.
  • these operands are read from the register file in the E pipestage.
  • Figure 5 shows vector A in the Mex pipestage at CLK3.
  • vector B needs a register which is vector A's destination.
  • the Mex-to-E stage bypass is activated in CLK3 as shown by arrow 65.
  • FIG. 6 illustrates this case wherein vector A is in the write-back stage during CLK4 ⁇ the same time that vector C is in the E stage.
  • no bypass is activated since the WM and E stages overlap in the same clock period.
  • the register is being written and read during the same clock so that what occurs is simply a register file write-through. This is indicated in Figure 6 by arrow 66.
  • a first case is when a vector B reads a register which is vector A's destination.
  • the data read in the D2 stage of vector B (shown occurring CLK2 in Figure 7) is stale. This is because it has not yet been updated.
  • the value latched into the D2U1 latch is essentially meaningless. Nevertheless, the vector still advances to the next pipestage.
  • CLK3 vector A advances to the Mex pipestage and generates the result.
  • Vector B advances to the E stage and the Mex-to-E bypass is activated for vector B, as indicated in Figure 7 by arrow 67.
  • Figure 8 illustrates the second case for non-store vectors.
  • vector C needs a register which is the destination of vector A.
  • the Mex- to-D2 bypass is activated for vector C, which is in the D2 pipestage. This is shown in Figure 8 by arrow 68.
  • Figure 9 illustrates the set of cases for U1 in which store vectors require bypassing.
  • vector B requires vector A's result.
  • CLK2 vector B is frozen in its D2 stage in order to allow vector A to advance to the Mex pipestage. Only when vector A reaches the Mex stage in CLK3 is the Mex-to-D2 bypass activated for vector B.
  • the D2 freeze is deasserted and vector B is allowed to proceed to the E pipestage.
  • Activation of the bypassing mechanism is indicated by arrow 69 in Figure 9.
  • register file 20 provides many advantages over structures of the prior art.
  • the register file includes two write ports and two read ports. This allowed both the U and V- pipelines to independently write to the register file.
  • Register file 20 employs three write ports and four read ports. Each port comprises data path connections that are coupled to the entire register array.
  • register file 20 permits three instructions to write to the register file simultaneously.
  • This feature of the invention is advantageous in situations where three instructions are retiring at the same time. For example, a first PMUL instruction may be followed by consecutive PADD instructions and flow through the pipeline such that all three instructions are in the WM pipestage at the same clock. By providing three separate write ports, all three instructions can retire simultaneously. Furthermore, it is worth noting that this feature of the invention produces out-of-order completion of instructions of a superscalar machine.

Abstract

A pipelined superscalar processor comprises two or more pipelines that include decode, operand read, execute and writeback stages. An instruction datapath includes a plurality of result buses (17-19) coupled to a corresponding plurality of write ports (U, MLU, V) of a register file (20), which also has a plurality of read ports (U1-U2 and V1-V2). The read ports (U1-U2 and V1-V2) are coupled to the multiplexers (27-30) which select operands for various operations specified by one or more instructions. The results of the operations are then provided on the result buses (17-19). A bypass mechanism (22-23 and 25) allows a result produced during the execute stage to be bypassed to the read stage of a subsequent instruction which specifies the result as a source operand.

Description

"APPARATUS FOR CONCURRENT PROCESSING OF PIPELINED INSTRUCTIONS HAVING REGISTER DEPENDENCIES"
FIELD OF THE INVENTION
This invention relates generally to the field of concurrent processing of instructions in a computer system. More particularly, the invention relates to superscalar processors capable of executing two or more instructions in parallel.
BACKGROUND OF THE INVENTION
Superscalar data processing machines represent the state-of-the-art in the field of computing systems. These machines are able to execute two or more instruction in parallel under certain conditions. A good example the Intel® Pentium™ processor which is designed to execute pairs instructions if the instructions are of a certain type and if there are no register dependencies. This latter requirement means that data produced by the operation of one instruction cannot be specified as an input operand for the second instruction. In other words, if one instruction utilizes the output of another instruction, the two instructions must execute serially in the proper order.
As one might expect, there has been a great deal of effort in the data processing art focused upon solving the problem of how to best determine the existence of data conflicts in a sequence of pipelined instructions. By way of example, U.S. Patent No. 4,969,116 discloses a sequential processor which includes circuitry for determining a correct ordering sequence for instructions. The problem of resolving data dependencies in a superscalar processor has recently become more cumbersome with the advent of speculative execution architectures. These machines are not only are capable of executing instructions in parallel, but also have the ability to execute instructions out-of- order; that is, in an order other than the programmed order with which instructions are issued within the machine. Out-of-order data processors generally execute instructions based upon data and resource availability, and then restore the original program order during a retirement process. The retirement process "retires" instructions by writing their results to a set of architectural registers at the appropriate time.
The present invention deals with the interaction between instructions in an advanced pipelined microprocessor. As will be seen, the invention provides an apparatus for maximizing the number of instructions that can be processed simultaneously within a processor's execution unit. By resolving dependencies -- and through the use of mechanisms such as bypassing and scoreboarding - the invention offers faster processing speed for all types of machines (e.g., RISC or CISC).
SUMMARY OF THE INVENTION
A pipelined superscalar processor that maximizes the processing efficiency of successive instructions having register dependencies - particularly when the instructions have a different characteristic execution time -- is disclosed. In one embodiment, the invention comprises a processor having two or more pipelines that include decode, operand read, execute and writeback stages.
In a particular embodiment, the instruction datapath includes a plurality of result buses coupled to a corresponding plurality of write ports of a register file. The register file also includes a plurality of read ports for reading the data stored in the register array. The read ports are coupled to a multiplexer means which selects, during the read stage, operands provided by the register file. A functional unit means receives the output operands selected by the multiplexer means and executes, during the execute stage, the operations specified by one or more instructions. The results of the operations are then provided on the result buses.
The invention further includes means coupled to the functional unit means, multiplexer means, and result buses for allowing a result produced during the execute stage by the functional unit means to be bypassed to the read stage of a subsequent instruction which specifies the result as a source operand. In other words, a result obtained by a first instruction in a second pipestage may be provided as part of a bypass operation to a second instruction. The result is provided as a source operand for the second instruction so that the second instruction can be executed without delay. In the past, an instruction which was dependent upon the results produced by a current instruction would have to wait until the result had been written back to the register file. In another embodiment, a status bit associated with a register specified by a multiplication instruction is set prior to the time that the operands are provided to a multiplier. The multiplication operation, for example, may be performed in consecutive execution pipestages, and the result of the multiplication provided as a product. During the decoding stages of a subsequent instruction the status bit is checked to determine whether the register is busy or is free for use in performing the operation specified by the subsequent instruction. In the event that the status bit indicates a busy state, processing of the subsequent instruction is halted until the result is available and the status bit is reset (to indicate a free state).
BRIEF DESCRIPTION OF THE DRAWINGS
The present invention will be understood for fully from the detailed description which follows and from the accompanying drawings, which however, should not be taken to limit the invention to the specific embodiments shown, but rather are for explanation and understanding only.
Figure 1 is an example of how two consecutive instructions may be pipelined in the processor of the present invention.
Figure 2 illustrates a bypassing operation in accordance with one embodiment of the present invention.
Figure 3 illustrates a freeze operation for an embodiment of the present invention.
Figures 4A and 4B are a detailed circuit schematic diagram of the register file and data path used in one implementation of the present invention.
Figure 5 is another example illustration the operation of the present invention.
Figure 6 is an example illustrating a register file write-through in accordance with the embodiment of Figures 4A and 4B.
Figure 7 illustrates a bypass operation for a non-store vector in accordance with the embodiment of Figures 4A and 4B. Figure 8 is an example illustrating another case of a bypass operation for a non-store vector in accordance with the embodiment of Figures 4A and 4B.
Figure 9 illustrates the case of a freeze for a store vector that requires bypassing in accordance with one embodiment of the present invention.
DETAILED DESCRIPTION
In a typical data processing system, operands are prefetched from a register file as needed to execute an instruction. Taking the case of a simple execution unit such as an arithmetic logic unit (ALU), it has a known, fixed clock delay (e.g., one clock delay). This means that it takes exactly one clock period from the time that operands are provided to the execution unit until a result is produced. Because of the known clock delay, such a machine can speculate in its decoding unit as to when to issue the next instruction in the program sequence, even in situations where the next instruction requires operands that are dependent upon the operation of the current instruction.
Things become more complicated when you take a machine that is organized to accommodate execution blocks, where each execution block is responsible for executing multiple instructions, and each block has a different characteristic time (in terms of clock delays) that it takes to execute a particular instruction. A more specific problem in a superscalar processor is how many instructions can be processed simultaneously within the execution unit, given variability in the characteristic delay.
Consider the situation in which two consecutive ADD instructions have a register dependency, as shown below.
INSTRi: ADD R3 — -> R1 INSTR2: ADD R1 - -> R2
In this example, the first ADD instruction writes to a register R1 , and the second ADD instruction utilizes the contents of register R1 as one of its source operands. Because the second instruction is dependent upon the result of the first instruction, these two instructions could not be issued in parallel in a conventional machine. To put it another way, the decoder would be required to detect the dependency in order for the execution to proceed smoothly. The dependency could then be handled, say, by serializing the instructions.
In the foregoing example, we assumed an instruction latency of one clock cycle. Other scenarios arise when instructions have longer clock latencies. For example, assume that a first instruction writes to register R1 , a second instruction moves the contents of register R2 into register R3, followed by a third instruction that utilizes the contents of register R1 as a source operand. This example is shown below.
INSTRi: ADD R2 -—> R1
INSTR2: MOV R2 -—> R3 INSTR3: ADD R1 -> R3
Assuming that instructions one and two have a single clock latency, then these instructions can be executed in parallel (followed by the third instruction) without incurring data dependency problems. If, however, instruction number one has a latency of, say, three clock periods, then the third instruction (which uses the result produced by instruction number one) must be held up until the result is available. Of course, if there were many instructions in the programming sequence between the data producing instruction and the data consuming instruction, then no problem occurs.
Referring now to Figure 1 , there is shown various pipeline stages (i.e., "pipestage") for a pair of instructions executable on a computer processor in accordance with one embodiment of the present invention. Although the description which follows is specific to a class of instructions designed for processing multimedia data, practitioners in the art will appreciate that the apparatus and method utilized in these embodiments is applicable to a wide variety of specialized, as well as general purpose, computers. In other words, pipelined computer systems of virtually any type will find the present invention advantageous to achieving fast and efficient parallel processing of pipelined instructions.
In the example of Figure 1 , the first instruction, PADD, is an ADD instruction in which source operands stored in registers MMi and MM2 are added; the result being written to register MM2. The various pipestages for this instruction include D1 , which denotes a first decode stage that produces control vectors from the source operands, and a second decode stage, D2, that computes addresses in memory. This is followed by an execute state, E, which accesses a special register file or cache memory storing the source operand data. In normal integer instruction pipelines, the E stage is where the actual computational work is done to produce the desired result. In accordance with the processor of the present invention, however, multimedia instructions are executed in a pipestage called Mex. Therefore, the E stage may simply be thought of as a read stage in which the multimedia register file or data cache is accessed.
Following execution of the instruction, the results are written back to the register file, shown in the example of Figure 1 by pipestage WM. The writeback stage for instruction PADD is shown occurring in clock period CLK5 for the example of Figure 1. It is appreciated that the clock latency is one clock for the PADD instruction shown in Figure 1.
The second instruction of Figure 1 is a multiply instruction, PMUL. One of the differences between the PADD and the PMUL instruction is that the PMUL instruction requires three pipestages (M-i, M≥, and M3) to process the data through the multiplier and produce a result. This means that there is a three clock latency associated with the PMUL instruction. In the example of Figure 1 , the result is then written back to the register file in CLK7. Because the PMUL instruction of Figure 1 does not depend upon the result produced by the preceding PADD instruction, this example presents no difficulties and both instructions can be executed -- either sequentially or in parallel.
Figure 2 illustrates a different situation. In this case, the first instruction 11 writes to register MMi , and the second instruction 12 specifies as a source operand, the result produced and written to MM-|. According to the present invention, the decode logic in the processor detects the internal data dependency. Therefore, when instruction 11 moves to pipestage D2, instruction 12 remains in pipestage D1. In other words, a one clock freeze is imposed upon instruction 12. In CLK3 instruction 11 is in the E stage and is prefetching its operands from the register file or data cache. Simultaneously, instruction 12 advances to the D2 stage and computes its addresses from memory.
In the next pipestage, instruction 11 is executed, but because the decode logic detected the internal dependency, the result is bypassed back to the D2 stage of instruction 12. What happens is that in the second phase (i.e., PH2) of the Mex pipestage of instruction 11 the result for register MM1 is provided in the address computation stage of the next instruction as a source operand. In other words, instead of writing the result into the register file and then having instruction 12 wait for an additional clock period (or more), the invention provides the result in the PH2 clock phase of the D2 pipestage for instruction 12 so that the pipeline is not stalled.
The bypass mechanism of the present invention allows data to be bypassed from execution units output in the Mex stage (for multiplication, the data is bypassed from the M3 stage) directly to the E stage or to the D2 stage for the source operand. The processor upon which the present invention may be implemented is compatible with the Intel architecture for microprocessors. Specifically, the processor contemplated for use with the present invention includes a U-pipeline and V-pipeline, so that the machine is capable of executing two instructions in parallel.
In the example of Figure 2, the two instructions may comprise PADD instructions with a bypass to the D2 stages for the U1 source. Bypass control includes a collision detection mechanisms between the respective write-back stage and the bypass destination point, and qualification for the result for the collision detect generating appropriate multiplexer control. Collision detection involves a comparison between each of a plurality of source quantities and the output destinations. In the particular processor described, five source quantities and three output destinations are compared. The five source quantities are: D2, U1 (for memory operations and moves to integer registers), EU1 , EU2, EV1 , and EV2, where U and V specify the U-pipeline and V-pipeline, respectively. A total of 15 comparisons are performed to identify all possible combinations between necessary sources and destinations. Note that in the embodiment described, the D2U1 source is the only register read that is actually required in D2 for the example of Figure 2. The read in D2 is used for memory and integer and register writes. The register read in D2 causes a latency of two clocks between the reading of the data and the use of the data in the Mex stage, which occurs at CLK5. Figure 3 illustrates the situation in which a multiplication operation,
PMUL, is immediately followed by a PADD instruction that specifies the result produced by the previous PMUL instruction as a source operand for the addition. As can be seen, the PMUL instruction accesses the register file (or data cache) to perform an operand prefetch in the E stage at CLK3. Meanwhile, the PADD instruction has preceded to the D2 address computation stage. At this point, logic associated with the D2 stage of the PADD instruction recognizes that the operands being prefetched for the preceding PMUL instruction are required for the addition can be performed. In a particular implementation of the invention, scoreboarding logic is utilized to "freeze" or halt the PADD instruction until the result is produced by the PMUL instruction. In other words, during CLK3 in the D2 stage, the PADD instruction is frozen for two clocks (i.e., CLK4 and CLK5) in accordance with the three clock latency associated with the preceding multiplication operation.
The particular way that scoreboarding is implemented in the processor of the present invention is by employing a special bit for each register in the register file array. The special bit provides the status of the multimedia register file in terms of the multiply operation. The bit is set to indicate a "busy" state as the operand of a particular register enters the multiplier. Alternatively, the "free" state indicates that the information has exited the multiplier and is now available for use in subsequent instructions.
According to the invention, the status bit information is examined in the D2 pipestage of any multimedia instruction. The status bit is only set for the PMUL instruction that writes to a register. This means that any subsequent instruction that uses that register will be flagged with a busy indication resulting in a freeze. The status bit is reset only after the result becomes available for that particular register. When this happens the frozen instruction waits until the status bit of the corresponding register is reset before preceding in the pipeline. Figures 4A and 4B are a circuit schematic diagram of a multimedia data path according to one embodiment of the present invention. The data path functional unit blocks carry out all the data manipulation needed for execution of multimedia instructions in the implementation described. Practitioners in the art should understand that the actual bypass and source data multiplexers are constructed as contention multiplexers, i.e., as a tristate bus. Thus, care should be taken to ensure that the enables of the multiplexers are mutually exclusive, and that the output of the multiplexer is always driven.
There are two types of bypass multiplexers: one type for E1 and EV1 (having five inputs, including an immediate) and another type for D2U1 , EU2, and EV2 (having four inputs to the multiplexer, with no immediate). The first type of multiplexer is shown as multiplexer 27 or 29 in Figure 4A, whereas the second type consists of multiplexer 28 or 30. The four-input multiplexer type includes one input for the register file 20, one input for the bypass from the multiplier, and one input each from the U-pipe and V-pipe result buses. The immediate is a straightforward case for controlling the multiplexer because the source that has an immediate is compared to a destination that cannot have an immediate; thus, the collision detect comparison always fails, and the bypass is never enabled. This is guaranteed by design in a current implementation of the invention. Therefore selecting an immediate in this multiplexer only requires that the immediate be decoded from the respective source field. The three bypass inputs are selected if there is a collision reported for that multiplexer and the destination vector that caused the collision is a valid vector.
Prior to entering shifter 52 and multiplier 53, data is multiplexed through respective multiplexers 46 & 47 (for shifter 52) and 48 & 49 (for multiplier 53). The purpose of these multiplexers is select the source operands from either the U-pipeline or the V-pipeline. Logically, all that is required is to determine whether one of the pipelines has its valid bit set, and also that its opcode group indicates a multiply/shift operation. The calculation for this quantity is performed in the E stage and then delayed using an enabled transparent latch (e.g., latches 37-40). The control signal for shifter 52 and the output multiplexer in the U-pipeline are shown being provided in Figure 4B through latches 59 and 60. In accordance with the embodiment shown the multimedia data path interfaces with the meX bus in order to read and write data to and from the data cache and integer resources of the processor. In addition, the meX bus is utilized to perform write operations to the cache memory. The multimedia data path shown in Figures 4A & 4B comprises several functional unit blocks. For example, a register file functional unit block includes the multimedia register file 20 and the D2 stage bypass multiplexer 22. Together these blocks manipulate three result buses (i.e., U, MUL, and V) and four operand buses (i.e., U1 , U2, V1 , and V2) simultaneously. The logic elements below the register file and multiplexer 22 -- until the time the data enters the arithmetic logic units, shifter and multiplier - comprise the multimedia multiplexer functional unit block. It is this functional unit block that handles the bypassing, operand selection, and bus driving. Accordingly, it comprises multiplexers, latches, and bus drivers. The U-pipeline arithmetic logic unit (UALU) 51 , V-pipeline arithmetic logic unit (VALU) 54, shifter (SHFT) 52, and multiplier (MUL) 53 are the functional unit blocks that carry out the actual computations. Each of these functional unit blocks is coupled to two source buses. The result is generated in less than half of a clock cycle. In the embodiment shown, for all multimedia operations (except for multiply) the data path functions in the D2, E, Mex, and WM pipestages.
Notations for these pipestages are shown in Figures 4A & 4B along the right hand vertical side of the circuit schematic diagram. Note that the Mex stage is given a subscript notation to indicate the pipeline sequence (i.e., n, n+ ). In the D2 stage, which also corresponds to the WM stage of the preceding operation, the U1 register port is read and result is optionally bypassed from the Mexn stage. As discussed previously, this feature is enabled through multiplexer 22 in the embodiment of Figure 4A and 4B. In the E stage, the remainder of the read ports are read. This includes ports U2, V1 , and V2. The values read from register file 120 are multiplexed with results from bypassing. These multiplexers are shown in Figure 4A as multiplexers 27-30. The data is latched in these E stage multiplexers. Note that the mX bus and the meX bus are also driven in the E stage, if necessary. Multiplexer 34 is utilized to select between the data on the mX bus and the output of multiplexer 27.
In the Mex pipestage, the multimedia execution units compute the results in the first phase of the clock cycle, i.e., PH1. As shown in Figure 4B, the input to multiplier 53 and shifter 52 is multiplexed through 2:1 multiplexers 46-49 in order to allow issuing of these instructions in the U and V-pipelines. The latter results are provided to the multiplexers via lines 41 -44. The multimedia multiply (PMUL) instruction is executed in the M1 , M2, and first phase (PH1 ) of the M3 pipestages, as previously discussed. The outputs of these functional unit blocks are output onto the three result buses shown in Figures 4A & 4B as buses 17, 18, and 19. Multiplexing onto these buses occurs via multiplexer 61 and 62, which received their inputs via latches 55-58.
In the WM pipestage the multimedia register file 20 is updated (see Figure 4A). Because it might be the case that the reading and writing of the register file overlaps, the register file is provided with a write-through capability in accordance with the present invention.
In one implementation, the multimedia register file contains eight registers (MM0-MM7). Each register is 64-bits wide. The register file can be read via the four read ports as discussed previously. The U1 port is used to read the first source operand (SRC1 ) for the U-pipeline. The U2 read port is used to read SRC2 for the U-pipeline. Similarly, the V1 and V2 ports correspond to the V pipeline. During a given clock cycle, any multimedia register can be read via any one of the read ports. Furthermore, any register can be read at any number of read ports simultaneously. Note that since in each clock there can be either zero, one, or two vectors running, there may be either 0, 2, or 4 read ports active at any time. The actual reading of the data takes in the second phase (PH2) of the clock cycle.
Referring once again to Figure 4A, the register file 20 is provided with inputs from the three meX result buses 17-19 which are latched into the three input latches 11 -13, respectively. The latched versions provide the inputs to the three register file write ports. The 4:1 multiplexer 22 multiplexes the U1 read port output with the three meX result buses 17-19 for store bypassing. The output of multiplexer 22 constitutes the fourth output bus of the register file functional unit block in the implementation shown.
Register file 20 is read in either the D2 pipestage (for MOV vectors) or in the E pipestage (for the remainder of the multimedia vectors). Register file 20 is written in the WM pipestage. Any access to register file 20, either read or write, is to the entire 64 bits of the registers. In other words, partial reads and writes are not allowed in the embodiment described.
Register file 20 is written to via the three write ports, U, MUL, and V. The U write port is used to write the U-pipeline results to register file 20. Likewise, the V write port is used to write the V-pipeline results. The MUL write port is used for writing the multimedia multiplier results back to the register file. At each clock up to three write ports can write simultaneously to three different registers. A single multimedia register, however, can be written via only one write port at any given clock, with the actual writing taking place in the first phase of the clock cycle.
Register file 20 is implemented as a write-through register file. This takes care of the situation in which a multimedia vector in the WM pipestage writes to a register which is read by a subsequent (e.g., next clock) multimedia vector in either the D2 or the E pipestages. Stated another way, this means that the register file has a read-modify-write capability. Therefore, new values written to a register in a first phase of a clock, can be read in the second phase of the same clock.
The E stage of the data path comprises the multiplexing functional unit block that contains the D2U1/MOUT latch 23, the EU1 P1 latch 25, the mX bus and meX bus drivers, the four E stage bypass multiplexers 27-30, the four E stage output latches 37-40 and the four 2:1 Mex operand selection multiplexers 46-49. The multiplexer functional unit block functions in the D2, E, and Mex pipestages. For example, in the second phase of the D2 pipestage, an operand is provided at the output of multiplexer 22 which is then latched into the D2U1/MOUT latch 23, which is a PH2 latch. This latch version is used for E stage data manipulation. During the E stage, basically the following tasks are executed. First, source operands for the U and V-pipelines are selected. The mX and meX buses are driven for MOV vectors. Also, for all sources (except SRC1 of the U- pipeline) the operand buses from the register file functional unit block (that are valid at the beginning of the second phase of the E stage clock) are multiplexed with the three result buses that are valid at the same time. The result buses are indicated as buses 17, 18, and 19 in Figures 4A & 4B. The multiplexer outputs are then latched in the E stage output latches 37-40 for Mex stage usage.
For SRC1 , an additional input to the multiplexer is an immediate value (indicated as 2*imm[8]) that is used for shift counts. The actual width of the immediate data is 8 bits and therefore only these bits are 5:1 multiplexed. The rest of the bits in the V1 path are multiplexed by a 4:1 multiplexer. As discussed earlier, the U1 operand is latched in the D2U1 latch 23, and is valid at the beginning of the E stage clock. The D2U1 latch 23 is latched in the PH1 phase. The EU1 P1 latch 25 latches at the following edge of the E stage clock. The operand path from there through the 5:1 multiplier 27 to the E stage output latch (the output line 41 of latch 37) is similar to the V-pipeline SRC1 operand path which has an output latched on line 43 via latch 39.
For MOV (store) vectors, the data is driven onto either the meX bus or the mX bus. In order to preserve the E stage data during an E stage freeze, D2U1 latch 23 is an enabled latch. This means that latch 23 latches the data only if there is no freeze in effect during a current clock. In order to minimize loading impact on the freeze signal, a buffered version of the freeze signal is used as an enable for the D2U1/MOUT latch 23.
For MOV (load) vectors, data is latched from the mX bus into a latch 37 via MUX 34. In other words, 2:1 multiplier 34 selects between the mX bus input and the U1 operand input. From thereon, the data of the EU1/MIN latch 37 propagates the Mex stage the same as the other operands.
The functional unit blocks also work in the Mex pipestage to select the proper operands for shifter 52 and multiplier 53. The decision is based on whether the shift/multiply vectors have been issued to the U and the V- pipelines. As can be seen in Figure 4B, two ALUs 51 and 54 are coupled directly to the U and V-pipelines, respectively; therefore, no selection is required. The eight meX operand buses, shown in Figure 4B as 41-44 and the outputs of multiplexers 46-49, constitute the outputs of the multiplexer functional unit block. These operand buses are coupled directly to the shifter ALU and multiplier functional units.
Referring now to Figure 5, there are shown three different multimedia vectors running in the pipeline. These vectors are indicated by capital letters A, B, and C. In the example of Figure 5, there is shown a register conflict in either the U source 2 or the V source 2 pipelines. In other words, a multimedia vector in the E stage needs a register for the operands described above that is a destination register of a previous vector, which is in a further pipestage. In accordance with the invention, these operands are read from the register file in the E pipestage. Thus, Figure 5 shows vector A in the Mex pipestage at CLK3. In this case, vector B needs a register which is vector A's destination. In this case, the Mex-to-E stage bypass is activated in CLK3 as shown by arrow 65. A second situation arises when vector C needs data from a register which is the destination register for vector A. Figure 6 illustrates this case wherein vector A is in the write-back stage during CLK4 ~ the same time that vector C is in the E stage. In this scenario, no bypass is activated since the WM and E stages overlap in the same clock period. In other words, the register is being written and read during the same clock so that what occurs is simply a register file write-through. This is indicated in Figure 6 by arrow 66.
Another set of cases arise when the register in question is read via the U1 port. Recall that the U1 port is special since it reads the operand in the D2 pipestage and not in the E pipestage. Data for store vectors is read via this port, and the data must be output from the register file in the E clock. For these cases, the processor of the present invention distinguishes between store vectors and non-store vectors.
For non-store vectors, a first case is when a vector B reads a register which is vector A's destination. Here, the data read in the D2 stage of vector B (shown occurring CLK2 in Figure 7) is stale. This is because it has not yet been updated. On the other hand, since vector A is in the E stage during CLK2, no bypass is available yet. At the end of the D2 stage of vector B (end of CLK2) the value latched into the D2U1 latch is essentially meaningless. Nevertheless, the vector still advances to the next pipestage. In CLK3 vector A advances to the Mex pipestage and generates the result. Vector B advances to the E stage and the Mex-to-E bypass is activated for vector B, as indicated in Figure 7 by arrow 67. Figure 8 illustrates the second case for non-store vectors. In Figure 8 vector C needs a register which is the destination of vector A. At CLK3 the Mex- to-D2 bypass is activated for vector C, which is in the D2 pipestage. This is shown in Figure 8 by arrow 68.
Figure 9 illustrates the set of cases for U1 in which store vectors require bypassing. In Figure 9 vector B requires vector A's result. In CLK2 vector B is frozen in its D2 stage in order to allow vector A to advance to the Mex pipestage. Only when vector A reaches the Mex stage in CLK3 is the Mex-to-D2 bypass activated for vector B. In accordance with the freezing mechanism described earlier, the D2 freeze is deasserted and vector B is allowed to proceed to the E pipestage. Activation of the bypassing mechanism is indicated by arrow 69 in Figure 9.
Finally, when vector C is a store vector that requires a register which is written by A, the Mex-to-D2 bypass is activated in the third clock (as previously shown in Figure 8). Practitioners in the art will appreciate that register file 20 provides many advantages over structures of the prior art. In a previous design, the register file includes two write ports and two read ports. This allowed both the U and V- pipelines to independently write to the register file. Register file 20, however, employs three write ports and four read ports. Each port comprises data path connections that are coupled to the entire register array. To be specific, the additional write port is added to register file 20 to accommodate multimedia instructions such as PMUL and PADD for the embodiments described above, in other words, because the processor of the invention is operable to execute special multimedia instructions in a pipelined manner, the structure of the register file has been modified. Thus, register file 20 permits three instructions to write to the register file simultaneously. This feature of the invention is advantageous in situations where three instructions are retiring at the same time. For example, a first PMUL instruction may be followed by consecutive PADD instructions and flow through the pipeline such that all three instructions are in the WM pipestage at the same clock. By providing three separate write ports, all three instructions can retire simultaneously. Furthermore, it is worth noting that this feature of the invention produces out-of-order completion of instructions of a superscalar machine. In other words, in conventional machines where execution unit latency is fixed, the order of completion is always equivalent to the order of program flow. But this is not the case for machines that accommodate mixed execution latencies. That is, because in the processor of the present invention multiplication operations take three clocks to execute whereas ALU shift operations or addition operations take only one clock, there is a need to accommodate out-of-order completion. As described previously, the scoreboarding logic embodied in the present invention ensures that subsequent instructions may not use values written out- of-order before multiplication operations have been completed.

Claims

CLAIMSI claim:
1. A data path circuit for processing instructions in two or more pipelines that include decode, read, execute and write stages, the circuit comprismg: a plurality of result buses; a register file having a plurality of write ports coupled to corresponding ones of the result buses, the register file also having a plurality of read ports; multiplexer means coupled to the read ports and to the result buses for selecting, during the read stage, output operands; functional unit means coupled to receive the output operands selected by the multiplexer means, the functional unit means for executing, during the execute stage, operations specified by one or more instructions, results of the operations being provided on the result buses; means coupled to the multiplexer means and the result buses for allowing a result produced during the execute stage by the functional unit means to be bypassed to the read stage of a subsequent instruction which specifies the result as a source operand.
2. The circuit of claim 1 wherein the plurality of result buses comprise first, second, and third result buses.
3. The circuit of claim 2 wherein the plurality of write ports comprise first, second, and third write ports respectively coupled to the first, second, and third result buses.
4. The circuit of claim 3 wherein the two or more pipelines comprise first and second pipelines.
5. The circuit of claim 4 wherein the plurality of read ports comprise first, second, third and fourth read ports, the first and second read ports being associated with the first pipeline, and the third and fourth read ports being associated with the second pipeline.
6. The circuit of claim 5 wherein the functional unit means comprises first and second arithmetic logic units, a shifter, and a multiplier.
7. The circuit of claim 6 wherein the execute stage comprises first, second and third pipestages for an instruction which specifies a multiplication operation.
8. The circuit of claim 7 wherein the register file comprises an array of registers, with each register having an associated status bit, the status bit of a register being set when data stored in a register specified by the multiplication operation enters the multiplier, the status bit being reset when a product of the multiplication operation is generated.
9. The circuit of claim 8 further comprising means for halting processing of a latter instruction in the event that the latter instruction specifies the register and the status bit is set, processing of the latter instruction resuming after the status bit is reset.
10. The circuit of claims 1 , 2, 3, 4, 5, 6, 7, 8 or 9 wherein the register file is a write-through register file such that a value written to a register location at a first phase of a clock can be read in a second phase of a clock.
11. A superscalar machine for processing instructions in first and second pipelines each having decode, read, execute and writeback stages, the machine including datapath circuitry comprising: first, second, and third result buses; a register file comprising an array of registers, the register file having first, second, and third write ports coupled to the first, second, and third result buses, respectively, the register file also having first, second, third, and fourth read ports, respectively; first, second, third, and fourth multiplexers, each of the first, second, third, and fourth read ports being respectively coupled to an input of the first, second, third, and fourth multiplexers, the multiplexers each having additional inputs coupled to the first, second, and third result buses for selecting, during the read stage, output operands; first and second arithmetic logic units (ALUs) associated with the first and second pipelines, the first ALU having inputs coupled to receive the output operands provided by the first and second multiplexers and the second ALU having inputs coupled to receive the output operands provided by the third and fourth multiplexers, the first and second arithmetic logic units executing, during the execute stage, operations specified by one or more instructions, first and second results of the operations of the first and second ALUs being coupled to the first and third result buses, respectively; a multiplier; means coupled to multiplier for selecting first and second source operands from the output operands provided by the first, second, third, and fourth multiplexers, the multiplier generating a product of the first and second source operands, with the product being coupled to the second result bus; means coupled to the result buses and at least one of the multiplexers for allowing a result produced during the execute stage by the functional unit means to be bypassed to the read stage of a subsequent instruction which specifies the result as a source operand.
12. The circuit of claim 11 wherein the bypassing means comprises a
4:1 multiplexer having inputs coupled to the first, second, and third result buses, and to the first read port of the register file.
13. The circuit of claim 12 further comprising first, second, and third latches for latching data provided by the first, second, and third result buses at the first, second, and third write ports, respectively, of the register file.
14. The circuit of claim 13 wherein each register in the array of registers in the register file comprises 64-bits.
15. The circuit of claim 14 wherein the first and second read ports are associated with the first pipeline, and the third and fourth read ports are associated with the second pipeline.
16. The circuit of claim 11 further comprising: a pair of 2:1 multiplexers coupled to receive the output operands, the 2:1 multiplexers for selecting a pair of operands; a shifter coupled to the 2:1 multiplexers for performing a shift operation on the pair of operands, the shift operation producing a shift result; means coupled to shifter for coupling the shift result to a selected one of the first or third result buses.
17. The circuit of claim 11 wherein the execute stage comprises first, second and third pipestages for an instruction which specifies a multiplication operation.
18. The circuit of claim 17 wherein each register has an associated status bit, the status bit of a register being set when data stored in a register specified by the multiplication operation enters the multiplier, the status bit being reset when the product is generated.
19. The circuit of claim 18 further comprising means for halting processing of a latter instruction in the event that the latter instruction specifies the register, and the status bit is set, processing of the latter instruction resuming after the status bit is reset.
20. The circuit of claims 1 1 , 12, 13, 14, 15, 16, 17, 18 or 19 wherein the register file is a write-through register file such that a value written to a register location at a first phase of a clock can be read in a second phase of a clock.
PCT/US1996/020612 1995-12-28 1996-12-24 Apparatus for concurrent processing of pipelined instructions having register dependencies WO1997024661A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU14684/97A AU1468497A (en) 1995-12-28 1996-12-24 Apparatus for concurrent processing of pipelined instructions having register dependencies

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/579,809 US5764943A (en) 1995-12-28 1995-12-28 Data path circuitry for processor having multiple instruction pipelines
US08/579,809 1995-12-28

Publications (1)

Publication Number Publication Date
WO1997024661A1 true WO1997024661A1 (en) 1997-07-10

Family

ID=24318446

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1996/020612 WO1997024661A1 (en) 1995-12-28 1996-12-24 Apparatus for concurrent processing of pipelined instructions having register dependencies

Country Status (3)

Country Link
US (1) US5764943A (en)
AU (1) AU1468497A (en)
WO (1) WO1997024661A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108009976A (en) * 2016-10-27 2018-05-08 超威半导体公司 The super single-instruction multiple-data (super SIMD) calculated for graphics processing unit (GPU)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100231852B1 (en) * 1996-11-06 1999-12-01 김영환 Parallel execution device of load instruction for dual pipeline processor
US5944810A (en) * 1997-06-27 1999-08-31 Sun Microsystems, Inc. Superscalar processor for retiring multiple instructions in working register file by changing the status bits associated with each execution result to identify valid data
US6263416B1 (en) 1997-06-27 2001-07-17 Sun Microsystems, Inc. Method for reducing number of register file ports in a wide instruction issue processor
US5872986A (en) * 1997-09-30 1999-02-16 Intel Corporation Pre-arbitrated bypassing in a speculative execution microprocessor
US6266761B1 (en) * 1998-06-12 2001-07-24 International Business Machines Corporation Method and system in an information processing system for efficient maintenance of copies of values stored within registers
US7114056B2 (en) 1998-12-03 2006-09-26 Sun Microsystems, Inc. Local and global register partitioning in a VLIW processor
US6718457B2 (en) 1998-12-03 2004-04-06 Sun Microsystems, Inc. Multiple-thread processor for threaded software applications
US6343348B1 (en) 1998-12-03 2002-01-29 Sun Microsystems, Inc. Apparatus and method for optimizing die utilization and speed performance by register file splitting
US6615338B1 (en) 1998-12-03 2003-09-02 Sun Microsystems, Inc. Clustered architecture in a VLIW processor
US7117342B2 (en) * 1998-12-03 2006-10-03 Sun Microsystems, Inc. Implicitly derived register specifiers in a processor
US6205543B1 (en) * 1998-12-03 2001-03-20 Sun Microsystems, Inc. Efficient handling of a large register file for context switching
US6279100B1 (en) 1998-12-03 2001-08-21 Sun Microsystems, Inc. Local stall control method and structure in a microprocessor
US6675187B1 (en) * 1999-06-10 2004-01-06 Agere Systems Inc. Pipelined linear array of processor elements for performing matrix computations
US6625634B1 (en) 1999-10-01 2003-09-23 Sun Microsystems, Inc. Efficient implementation of multiprecision arithmetic
ATE529802T1 (en) 2000-02-09 2011-11-15 Texas Instruments Inc DATA PROCESSING DEVICE
US6557022B1 (en) * 2000-02-26 2003-04-29 Qualcomm, Incorporated Digital signal processor with coupled multiply-accumulate units
US6320813B1 (en) 2000-03-02 2001-11-20 Sun Microsystems, Inc. Decoding of a register file
US6785847B1 (en) * 2000-08-03 2004-08-31 International Business Machines Corporation Soft error detection in high speed microprocessors
US7093107B2 (en) * 2000-12-29 2006-08-15 Stmicroelectronics, Inc. Bypass circuitry for use in a pipelined processor
US6901504B2 (en) * 2002-01-22 2005-05-31 International Business Machines Corporation Result forwarding of either input operand to same operand input to reduce forwarding path
US6944751B2 (en) * 2002-02-11 2005-09-13 Hewlett-Packard Development Company, L.P. Register renaming to reduce bypass and increase apparent physical register size
US8713286B2 (en) * 2005-04-26 2014-04-29 Qualcomm Incorporated Register files for a digital signal processor operating in an interleaved multi-threaded environment
US9459869B2 (en) * 2013-08-20 2016-10-04 Apple Inc. Intelligent caching for an operand cache
US9652233B2 (en) * 2013-08-20 2017-05-16 Apple Inc. Hint values for use with an operand cache
US11190608B2 (en) 2018-03-21 2021-11-30 Cdk Global Llc Systems and methods for an automotive commerce exchange
US11501351B2 (en) 2018-03-21 2022-11-15 Cdk Global, Llc Servers, systems, and methods for single sign-on of an automotive commerce exchange
US11514021B2 (en) 2021-01-22 2022-11-29 Cdk Global, Llc Systems, methods, and apparatuses for scanning a legacy database
US11803535B2 (en) 2021-05-24 2023-10-31 Cdk Global, Llc Systems, methods, and apparatuses for simultaneously running parallel databases
CN115640047B (en) * 2022-09-08 2024-01-19 海光信息技术股份有限公司 Instruction operation method and device, electronic device and storage medium

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5150469A (en) * 1988-12-12 1992-09-22 Digital Equipment Corporation System and method for processor pipeline control by selective signal deassertion
US5560032A (en) * 1991-07-08 1996-09-24 Seiko Epson Corporation High-performance, superscalar-based computer system with out-of-order instruction execution and concurrent results distribution
US5590352A (en) * 1994-04-26 1996-12-31 Advanced Micro Devices, Inc. Dependency checking and forwarding of variable width operands
US5603047A (en) * 1995-10-06 1997-02-11 Lsi Logic Corporation Superscalar microprocessor architecture

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3711692A (en) * 1971-03-15 1973-01-16 Goodyear Aerospace Corp Determination of number of ones in a data field by addition
US3723715A (en) * 1971-08-25 1973-03-27 Ibm Fast modulo threshold operator binary adder for multi-number additions
US4042972A (en) * 1974-09-25 1977-08-16 Data General Corporation Microprogram data processing technique and apparatus
US4003033A (en) * 1975-12-22 1977-01-11 Honeywell Information Systems, Inc. Architecture for a microprogrammed device controller
GB1506972A (en) * 1976-02-06 1978-04-12 Int Computers Ltd Data processing systems
US4161784A (en) * 1978-01-05 1979-07-17 Honeywell Information Systems, Inc. Microprogrammable floating point arithmetic unit capable of performing arithmetic operations on long and short operands
FR2461301A1 (en) * 1978-04-25 1981-01-30 Cii Honeywell Bull AUTOPROGRAMMABLE MICROPROCESSOR
US4418383A (en) * 1980-06-30 1983-11-29 International Business Machines Corporation Data flow component for processor and microprocessor systems
US4393468A (en) * 1981-03-26 1983-07-12 Advanced Micro Devices, Inc. Bit slice microprogrammable processor for signal processing applications
US4498177A (en) * 1982-08-30 1985-02-05 Sperry Corporation M Out of N code checker circuit
US4707800A (en) * 1985-03-04 1987-11-17 Raytheon Company Adder/substractor for variable length numbers
JPS6297060A (en) * 1985-10-23 1987-05-06 Mitsubishi Electric Corp Digital signal processor
JPS63131230A (en) * 1986-11-21 1988-06-03 Hitachi Ltd Information processor
US4989168A (en) * 1987-11-30 1991-01-29 Fujitsu Limited Multiplying unit in a computer system, capable of population counting
KR920007505B1 (en) * 1989-02-02 1992-09-04 정호선 Multiplier by using neural network
US5125083A (en) * 1989-02-03 1992-06-23 Digital Equipment Corporation Method and apparatus for resolving a variable number of potential memory access conflicts in a pipelined computer system
US5203002A (en) * 1989-12-27 1993-04-13 Wetzel Glen F System with a multiport memory and N processing units for concurrently/individually executing 2N-multi-instruction-words at first/second transitions of a single clock cycle
US5488729A (en) * 1991-05-15 1996-01-30 Ross Technology, Inc. Central processing unit architecture with symmetric instruction scheduling to achieve multiple instruction launch and execution
US5187679A (en) * 1991-06-05 1993-02-16 International Business Machines Corporation Generalized 7/3 counters
JP3644959B2 (en) * 1992-09-29 2005-05-11 セイコーエプソン株式会社 Microprocessor system
US5625789A (en) * 1994-10-24 1997-04-29 International Business Machines Corporation Apparatus for source operand dependendency analyses register renaming and rapid pipeline recovery in a microprocessor that issues and executes multiple instructions out-of-order in a single cycle

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5150469A (en) * 1988-12-12 1992-09-22 Digital Equipment Corporation System and method for processor pipeline control by selective signal deassertion
US5560032A (en) * 1991-07-08 1996-09-24 Seiko Epson Corporation High-performance, superscalar-based computer system with out-of-order instruction execution and concurrent results distribution
US5590352A (en) * 1994-04-26 1996-12-31 Advanced Micro Devices, Inc. Dependency checking and forwarding of variable width operands
US5603047A (en) * 1995-10-06 1997-02-11 Lsi Logic Corporation Superscalar microprocessor architecture

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108009976A (en) * 2016-10-27 2018-05-08 超威半导体公司 The super single-instruction multiple-data (super SIMD) calculated for graphics processing unit (GPU)

Also Published As

Publication number Publication date
US5764943A (en) 1998-06-09
AU1468497A (en) 1997-07-28

Similar Documents

Publication Publication Date Title
US5764943A (en) Data path circuitry for processor having multiple instruction pipelines
US8959315B2 (en) Multithreaded processor with multiple concurrent pipelines per thread
USH1291H (en) Microprocessor in which multiple instructions are executed in one clock cycle by providing separate machine bus access to a register file for different types of instructions
US7793079B2 (en) Method and system for expanding a conditional instruction into a unconditional instruction and a select instruction
US5758112A (en) Pipeline processor with enhanced method and apparatus for restoring register-renaming information in the event of a branch misprediction
US5619664A (en) Processor with architecture for improved pipelining of arithmetic instructions by forwarding redundant intermediate data forms
US5867684A (en) Method and processor that permit concurrent execution of a store multiple instruction and a dependent instruction
JP3597540B2 (en) Method and apparatus for rotating active instructions in a parallel data processor
JPH07160501A (en) Data processing system
US5790826A (en) Reduced register-dependency checking for paired-instruction dispatch in a superscalar processor with partial register writes
JPH0553803A (en) Processor
US5787026A (en) Method and apparatus for providing memory access in a processor pipeline
US6092184A (en) Parallel processing of pipelined instructions having register dependencies
US5815420A (en) Microprocessor arithmetic logic unit using multiple number representations
US5778208A (en) Flexible pipeline for interlock removal
EP1152328A2 (en) System and method in a pipelined processor for generating a single cycle pipeline stall
US6944751B2 (en) Register renaming to reduce bypass and increase apparent physical register size
US7437544B2 (en) Data processing apparatus and method for executing a sequence of instructions including a multiple iteration instruction
US5850563A (en) Processor and method for out-of-order completion of floating-point operations during load/store multiple operations
US5926645A (en) Method and system for enabling multiple store instruction completions in a processing system
US20050102494A1 (en) Method and apparatus for register stack implementation using micro-operations
US7991816B2 (en) Inverting data on result bus to prepare for instruction in the next cycle for high frequency execution units
Dandamudi Pipelining and Vector Processing
EXE et al. DEC I EXEI~ mM I WB

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AL AM AT AT AU AZ BA BB BG BR BY CA CH CN CU CZ CZ DE DE DK DK EE EE ES FI FI GB GE HU IL IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SK TJ TM TR TT UA UG US UZ VN AM AZ BY KG KZ MD RU TJ TM

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): KE LS MW SD SZ UG AT BE CH DE DK ES FI FR GB GR IE IT LU MC

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
NENP Non-entry into the national phase

Ref country code: JP

Ref document number: 97524522

Format of ref document f/p: F

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

122 Ep: pct application non-entry in european phase