US8427859B2 - Arrays of vertically stacked tiers of non-volatile cross point memory cells, methods of forming arrays of vertically stacked tiers of non-volatile cross point memory cells, and methods of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells - Google Patents

Arrays of vertically stacked tiers of non-volatile cross point memory cells, methods of forming arrays of vertically stacked tiers of non-volatile cross point memory cells, and methods of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells Download PDF

Info

Publication number
US8427859B2
US8427859B2 US12/765,598 US76559810A US8427859B2 US 8427859 B2 US8427859 B2 US 8427859B2 US 76559810 A US76559810 A US 76559810A US 8427859 B2 US8427859 B2 US 8427859B2
Authority
US
United States
Prior art keywords
bit line
individual
memory cells
tiers
array
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US12/765,598
Other versions
US20110261606A1 (en
Inventor
Gurtej S. Sandhu
Sanh D. Tang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
US Bank NA
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US12/765,598 priority Critical patent/US8427859B2/en
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SANDHU, GURTEJ S., TANG, SANH D.
Publication of US20110261606A1 publication Critical patent/US20110261606A1/en
Priority to US13/850,348 priority patent/US8743589B2/en
Application granted granted Critical
Publication of US8427859B2 publication Critical patent/US8427859B2/en
Priority to US14/255,283 priority patent/US9036402B2/en
Assigned to U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT reassignment U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MICRON TECHNOLOGY, INC.
Assigned to MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT reassignment MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT PATENT SECURITY AGREEMENT Assignors: MICRON TECHNOLOGY, INC.
Assigned to U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT reassignment U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST. Assignors: MICRON TECHNOLOGY, INC.
Assigned to JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT reassignment JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MICRON SEMICONDUCTOR PRODUCTS, INC., MICRON TECHNOLOGY, INC.
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT
Assigned to MICRON TECHNOLOGY, INC., MICRON SEMICONDUCTOR PRODUCTS, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0007Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements comprising metal oxide memory material, e.g. perovskites
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0021Auxiliary circuits
    • G11C13/0023Address circuits or decoders
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0021Auxiliary circuits
    • G11C13/004Reading or sensing circuits or methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/101Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including resistors or capacitors only
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/70Resistive array aspects
    • G11C2213/71Three dimensional array
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/02Disposition of storage elements, e.g. in the form of a matrix array
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/02Disposition of storage elements, e.g. in the form of a matrix array
    • G11C5/025Geometric lay-out considerations of storage- and peripheral-blocks in a semiconductor storage device
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/06Arrangements for interconnecting storage elements electrically, e.g. by wiring
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C8/00Arrangements for selecting an address in a digital store
    • G11C8/12Group selection circuits, e.g. for memory block selection, chip selection, array selection

Definitions

  • Embodiments disclosed herein pertain to arrays of vertically stacked tiers of non-volatile cross point memory cells, to methods of forming arrays of vertically stacked tiers of non-volatile cross point memory cells, and to methods of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells.
  • Memory is one type of integrated circuitry and is used in computer systems for storing data.
  • Memory is typically fabricated in one or more arrays of individual memory cells.
  • the memory cells might be volatile, semi-volatile, or non-volatile.
  • Non-volatile memory cells can store data for extended periods of time, and in many instances including when the computer is turned off. Volatile memory dissipates and therefore requires being refreshed/rewritten, and in many instances multiple times per second.
  • the smallest unit in each array is termed as a memory cell and is configured to retain or store memory in an least two different selectable states. In a binary system, the storage conditions are considered as either a “0” or a “1”. Further, some individual memory cells can be configured to store more than two bits of information.
  • the smallest and simplest memory cell is comprised of two conductive electrodes having a programmable material received there-between.
  • Example materials include metal oxides which may or may not be homogenous, and may or may not contain other materials therewith.
  • the collective material received between the two electrodes is selected or designed to be configured in a selected one of at least two different resistive states to enable storing of information by an individual memory cell. When configured in one extreme of the resistive states, the material may have a high resistance to electrical current.
  • the material when configured in another resistive state, the material may have a low resistance to electrical current.
  • Existing and yet-to-be developed memory cells might also be configured to have one or more additional possible stable resistive states in between a highest and a lowest resistance state.
  • the resistive state in which the programmable material is configured may be changed using electrical signals. For example, if the material is in a high-resistance state, the material may be configured to be in a low resistance state by applying a suitable voltage across the material.
  • the programmed resistive state is designed to be persistent in non-volatile memory. For example, once configured in a resistive state, the material stays in such resistive state even if neither a current nor a voltage is applied to the material. Further, the configuration of the material may be repeatedly changed from one resistance state to another for programming the memory cell into different of at least two resistive states. Upon such programming, the resistive state of the material can be determined by appropriate signals applied to one or both of the two electrodes between which the material is received.
  • FIG. 1 is a diagrammatic top plan view of a substrate in accordance with an embodiment of the invention.
  • FIG. 2 is a diagrammatic schematic of an array of vertically stacked tiers of non-volatile cross point memory cells in accordance with FIG. 1 and an embodiment of the invention.
  • FIG. 3 is an alternate embodiment to that depicted by FIG. 2 .
  • FIG. 4 is a diagrammatic top plan view of another substrate in accordance with an embodiment of the invention.
  • FIG. 5 is diagrammatic schematic of an array of vertically stacked tiers of non-volatile cross point memory cells in accordance with FIG. 4 and an embodiment of the invention.
  • FIG. 6 is an alternate embodiment to that depicted by FIG. 5 .
  • FIG. 7 is another alternate embodiment to that depicted by FIG. 5 .
  • FIG. 8 is diagrammatic perspective view of portions of circuitry encompassing the embodiment of FIG. 5 .
  • FIG. 9 is diagrammatic perspective view of portions of circuitry of the embodiment of FIGS. 1 and 2 .
  • FIG. 10 is a diagrammatic perspective view of a semiconductor substrate fragment in process in accordance with an embodiment of the invention.
  • FIG. 11 is a view of the FIG. 10 substrate at a processing step subsequent to that shown by FIG. 10 .
  • FIG. 12 is a view of the FIG. 11 substrate at a processing step subsequent to that shown by FIG. 11 .
  • FIG. 13 is a view of the FIG. 12 substrate at a processing step subsequent to that shown by FIG. 12 .
  • FIG. 14 is a view of the FIG. 13 substrate at a processing step subsequent to that shown by FIG. 13 .
  • FIG. 15 is a view of the FIG. 14 substrate at a processing step subsequent to that shown by FIG. 14 .
  • FIG. 16 is a view of the FIG. 15 substrate at a processing step subsequent to that shown by FIG. 15 .
  • FIG. 17 is a view of the FIG. 16 substrate at a processing step subsequent to that shown by FIG. 16 .
  • FIG. 18 is a view of the FIG. 17 substrate at a processing step subsequent to that shown by FIG. 17 .
  • FIG. 19 is a view of the FIG. 18 substrate at a processing step subsequent to that shown by FIG. 18 .
  • FIG. 20 is a view of the FIG. 19 substrate at a processing step subsequent to that shown by FIG. 19 .
  • FIG. 21 is a view of the FIG. 20 substrate at a processing step subsequent to that shown by FIG. 20 .
  • FIG. 22 is a view of the FIG. 21 substrate at a processing step subsequent to that shown by FIG. 21 .
  • FIG. 23 is a view of the FIG. 22 substrate at a processing step subsequent to that shown by FIG. 22 .
  • FIG. 24 is a view of the FIG. 23 substrate at a processing step subsequent to that shown by FIG. 23 .
  • FIG. 25 is a view of the FIG. 24 substrate at a processing step subsequent to that shown by FIG. 24 .
  • FIG. 26 is a view of the FIG. 25 substrate at a processing step subsequent to that shown by FIG. 25 .
  • FIG. 27 is a view of the FIG. 26 substrate at a processing step subsequent to that shown by FIG. 26 .
  • FIG. 28 is a view of the FIG. 27 substrate at a processing step subsequent to that shown by FIG. 27 .
  • FIG. 29 is a view of the FIG. 28 substrate at a processing step subsequent to that shown by FIG. 28 .
  • FIG. 30 is a view of the FIG. 29 substrate at a processing step subsequent to that shown by FIG. 29 .
  • FIG. 31 is a view of the FIG. 30 substrate at a processing step subsequent to that shown by FIG. 30 .
  • FIG. 32 is a view of the FIG. 31 substrate at a processing step subsequent to that shown by FIG. 31 .
  • FIG. 33 is a diagrammatic perspective view of a semiconductor substrate fragment in process in accordance with an embodiment of the invention.
  • FIG. 34 is a view of the FIG. 33 substrate at a processing step subsequent to that shown by FIG. 33 .
  • FIG. 35 is a view of the FIG. 34 substrate at a processing step subsequent to that shown by FIG. 34 .
  • FIG. 36 is a view of the FIG. 35 substrate at a processing step subsequent to that shown by FIG. 35 .
  • FIG. 37 is a diagrammatic perspective view of a semiconductor substrate fragment in process in accordance with an embodiment of the invention.
  • FIG. 38 is a view of the FIG. 37 substrate at a processing step subsequent to that shown by FIG. 37 .
  • FIG. 39 is a diagrammatic perspective view of a semiconductor substrate fragment in process in accordance with an embodiment of the invention.
  • FIG. 40 is a view of the FIG. 39 substrate at a processing step subsequent to that shown by FIG. 39 .
  • FIG. 41 is a view of the FIG. 40 substrate at a processing step subsequent to that shown by FIG. 40 .
  • FIG. 42 is a view of the FIG. 41 substrate at a processing step subsequent to that shown by FIG. 41 .
  • FIG. 43 is a view of the FIG. 42 substrate at a processing step subsequent to that shown by FIG. 42 .
  • FIG. 44 is a view of the FIG. 43 substrate at a processing step subsequent to that shown by FIG. 43 .
  • FIG. 45 is a view of the FIG. 44 substrate at a processing step subsequent to that shown by FIG. 44 .
  • FIG. 46 is a diagrammatic view of a computer embodiment.
  • FIG. 47 is a block diagram showing particular features of the motherboard of the FIG. 46 computer embodiment.
  • FIG. 48 is a high level block diagram of an electronic system embodiment.
  • FIG. 49 is a simplified block diagram of a memory device embodiment.
  • Embodiments of the invention encompass arrays of vertically stacked tiers of non-volatile cross point memory cells. Initial example such arrays are described with reference to FIGS. 1-7 .
  • substrate 12 comprises a semiconductor substrate.
  • semiconductor substrate or “semiconductive substrate” is defined to mean any construction comprising semiconductive material, including, but not limited to, bulk semiconductive materials such as a semiconductive wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials).
  • substrate refers to any supporting structure, including, but not limited to, the semiconductive substrates described above.
  • Substrate 12 may be considered as having a primary elevationally outermost surface which can be considered to define a horizontal direction or orientation, with the direction orthogonal thereto defining a vertical direction or orientation. Such outermost surface may or may not be planar.
  • Memory cells of the array are fabricated within an array area 14 ( FIG. 1 ).
  • Logic circuitry would typically be fabricated outside of array area 14 .
  • Control and/or other peripheral circuitry for operating the memory array may or may not wholly or partially be received within array area 14 , with such array area as a minimum encompassing all of the memory cells of a given array/sub-array.
  • multiple sub-arrays might also be fabricated and operated independently, in tandem, or otherwise relative one another. As used in this document, a “sub-array” may also be considered as an array.
  • Array 10 includes a plurality of tiers 18 , 20 , 22 of memory cells 34 , with individual of the tiers comprising a plurality of horizontally oriented word lines 24 .
  • FIG. 2 depicts three tiers, although only two tiers or more than three tiers may be fabricated.
  • Array 10 includes a plurality of bit lines 26 that individually comprise horizontally oriented global bit lines 28 having local vertical bit line extensions 30 extending therefrom through multiple of the tiers of memory cells.
  • FIGS. 1 and 2 diagrammatically show only a few of such word lines and bit lines for clarity, with array 10 likely including thousands or millions of such. Further only three memory cells 34 are shown associated with the individual word line segments in FIG.
  • Word lines 24 and global bit lines 28 may run perpendicular relative to one another (as shown in FIGS. 1 and 2 ), at one or more angles other than perpendicular relative to one another (not shown in FIGS. 1 and 2 ), or parallel relative one another (not shown in FIGS. 1 and 2 ). Further, global bit lines 28 need not be straight or run parallel relative to one another, nor do word lines 24 need to be straight or run parallel relative to one another. Further, bit lines 26 may include other extensions (not shown) beyond local vertical bit line extensions 30 , and word lines 24 may comprise extensions (not shown).
  • Memory cells 34 individually comprise multi-resistive state material 33 which is received between one of the word lines 24 and one of the local vertical bit line extensions 30 where such cross with such comprising opposing conductive electrodes of an individual memory cell.
  • Individual memory cells may encompass other devices, for example a diode, but will as a minimum comprise multi-resistive state material received between individual crossings of the word lines and local vertical bit line extensions. Example materials and example details of construction will be described subsequently.
  • a plurality of bit line select circuits 38 individually electrically and physically connect to individual of local vertical bit line extensions 30 and are configured to supply a voltage potential to an individual of global horizontal bit lines 28 . In one embodiment, a plurality of bit line select circuits 38 individually electrically and physically connect to individual of local vertical bit line extensions 30 and are configured to supply a voltage potential to multiple of local vertical bit line extensions 30 .
  • Bit line select circuits 38 may be as simple as a single electronic device, for example the depicted field effect transistor 40 , or may encompass multiple electronic devices. Bit line select circuits 38 may be received partially or wholly within array area 14 , or partially or wholly outside of array area 14 .
  • individual bit line select circuits 38 are configured to supply a voltage potential to multiple of local vertical bit line extensions 30 through individual of the global horizontal bit lines from which the individual local vertical bit line extension 30 extends.
  • conductive lines 42 are shown electrically connecting with bit line select circuits 38 . Such may be received partially or wholly within array area 14 , or partially or wholly outwardly thereof. Regardless, such may be provided at a selected voltage potential with bit line select circuit 38 operated to supply a voltage potential to the local vertical bit line extension 30 to which such connects. Such voltage potential is supplied to multiple other of the local vertical bit line extensions 30 connected to the same global bit line 28 through such global bit line.
  • individual global bit lines 28 have only a single bit line select circuit 38 that electrically connects thereto through only a single vertical bit line extension 30 .
  • individual of the global bit lines may have more than one (not shown) bit line select circuit 38 connected therethrough through multiple vertical bit line extensions 30 .
  • row decode select circuits 39 individually electrically connect with individual of word lines 24 . Alternate configurations are contemplated. Regardless, row decode select circuits 39 may comprise one or more individual electronic components/devices.
  • individual of local vertical bit lines 30 have two opposing longitudinal ends 43 , 44 one of which (end 43 ) electrically and physically connects with one of horizontal global bit lines 28 .
  • individual of bit line select circuits 38 physically connect with the other end (end 44 ) of two longitudinal ends 43 , 44 of individual of local vertical bit line extensions 30 .
  • at least one of tiers 18 , 20 , 22 of memory cells 34 is received elevationally between individual of the global horizontal bit lines 28 and the physical connection of individual of the bit line select circuits 38 with individual of local vertical bit line extensions 30 .
  • all tiers 18 , 20 , 22 of memory cells 34 are received elevationally between individual of global horizontal bit lines 28 and physical connection of individual of the bit line select circuits 38 with individual local vertical bit line extensions 30 .
  • FIG. 2 depicts one example embodiment wherein the global horizontal bit lines 28 are received elevationally outward of tiers 18 , 20 , 22 of memory cells 34 .
  • FIG. 3 depicts an alternate example embodiment array 10 a wherein global horizontal bit lines 28 are received elevationally inward of tiers 18 , 20 , 22 of memory cells 34 .
  • array 10 b of vertically stacked tiers of non-volatile cross point memory cells is described with reference to FIGS. 4 and 5 .
  • Like numerals from the above-described embodiments have been used where appropriate, with differences being indicated with the suffix “b” or with different numerals.
  • horizontally oriented word lines 24 b within individual tiers 18 , 20 , 22 comprise global horizontal word lines 25 having local horizontal word line extensions 27 .
  • the local horizontal word line extensions respectively extend from the global horizontal word lines at an angle (not at 180°), and in one embodiment as shown may extend orthogonally from the global horizontal word lines.
  • the individual of tiers 18 , 20 and 22 comprise two global horizontally oriented word lines 25 individually adjacent opposite sides of the array and from which local horizontal word line extensions 27 extend.
  • local horizontal word line extensions 27 extend from one of the two global horizontal word lines 25 across the array within an individual tier toward the other of two global word lines 25 in the individual tier.
  • local horizontal word line extensions 27 extending from the two global word lines 25 alternate with the local horizontal word line extensions 27 extending from the other of two global word lines 25 within an individual of the tiers.
  • individual of tiers 18 , 20 , 22 contain no more than two global word lines.
  • FIG. 4 depicts two horizontal global word lines 25 which are individually adjacent opposite sides of array 10 b within array area 14 b . Thousands or millions of memory cells 34 would likely be associated with each local horizontal word line extension 27 .
  • array 10 b has two, and only two, row decode select circuits 39 within an individual of tiers 18 , 20 , 22 .
  • array 10 b comprises a plurality of local vertical bit line extension select circuits 45 individually, electrically, and physically connected between an individual of global horizontal bit lines 28 b and an individual of local vertical bit line extensions 30 b connected therewith. Such may be encompassed by one or more electronic devices received wholly or partially within array area 14 b , or wholly or partially outside of array area 14 b .
  • FIG. 5 depicts bit line extension select circuits 45 as comprising a field effect transistor 47 having a control gate line 29 .
  • FIGS. 4 and 5 depict an example embodiment wherein global word lines 25 run parallel relative to one another within a tier and global bit lines 28 b run parallel relative to one another, and also wherein global word lines 25 run parallel with/relative to global bit lines 28 b .
  • FIG. 6 is intended to depict an alternate embodiment array 10 c wherein global word lines 25 run perpendicular to global bit lines 28 c .
  • Like numerals from the above-described embodiments have been used where appropriate, with differences being indicated with the suffix “c”.
  • FIGS. 4-6 depict the global bit lines and associated local vertical bit line extension select circuits 45 received elevationally inward of the tiers of memory cells. Alternately, such could be received elevationally outward (not shown) of the tiers of memory cells, for example analogous to the alternate embodiments of FIGS. 2 and 3 . Further, the embodiments of FIGS. 2 and 3 may incorporate word lines having global horizontally oriented word lines with local horizontal word line extensions extending there-from, for example in manners somewhat similar to that described immediately above with respect to the FIGS. 4-6 embodiments.
  • An embodiment of the invention encompasses an array of vertically stacked tiers of non-volatile cross point memory cells.
  • Such array comprises a plurality of horizontally oriented word lines independent of whether an individual tier comprises a plurality of such word lines and independent of whether any individual of the horizontally oriented word lines comprises global horizontal word lines having local horizontal word line extensions extending therefrom.
  • such array comprises a plurality of horizontally oriented global bit lines having local vertical bit line extensions extending through multiple tiers of memory cells.
  • Individual of the memory cells comprise multi-resistive state material received between one of the horizontally oriented word lines and one of the local vertical bit line extensions where such cross.
  • Such array includes at least one, and no more than two, row decode select circuits for individual of the tiers.
  • FIGS. 4-6 depict example such circuitry wherein two and only two row decode select circuits are provided for individual of the tiers. Alternate circuitry comprising two and only two row decode select circuits for the individual tiers is also contemplated.
  • FIG. 7 depicts an alternate embodiment array 10 d which comprises only one row decode select circuit for individual of the tiers.
  • array 10 d may be considered as forming individual memory cells on opposing sides of the local vertical bit line extensions.
  • Array 10 d of FIG. 7 only uses one side of individual local vertical bit line extensions in an individual tier, and only a single row decode select circuit 39 for each tier. Alternate constructions may be used.
  • FIG. 7 is analogous to the FIG. 5 layout, although the FIG. 6 or alternate layouts could of course be used.
  • An embodiment of the invention encompasses a method of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells, wherein individual of the memory cells comprise multi-resistive state material received between a word line and a bit line where such cross.
  • Such a method encompasses pulling one of a plurality of horizontally oriented word lines within individual tiers of memory cells to a first voltage potential.
  • One of a plurality of horizontally oriented global bit lines having local vertical bit line extensions extending through multiple of the tiers of memory cells is electrically connected to a voltage source via one of the vertical bit line extensions, thereby pulling the one bit line to a second voltage potential. Based upon the pulling of the one of the horizontally oriented word lines to the first voltage potential and the pulling of the one of the horizontally oriented global bit lines to the second voltage potential, a data value stored by one of the memory cells of the array is determined.
  • the determining of the data value is based on a current flowing through the one of the memory cells, with the current resulting from a difference between the first voltage potential and the second voltage potential. In one embodiment, the first voltage potential is greater than the second voltage potential.
  • the electrically connecting to a voltage source is with only one of the local vertical bit line extensions. In one embodiment, a bit line select circuit is physically connected between the one local vertical bit line extension and the voltage source, with the stated electrically connecting being accomplished at least in part by operation of the bit line select circuit.
  • one of a plurality of vertically oriented local vertical bit line extensions of a plurality of horizontally oriented global bit lines is pulled to a first voltage potential.
  • the vertically oriented bit line extensions extend through individual tiers of memory cells.
  • One of a plurality of horizontally oriented global word lines is pulled to a second voltage potential.
  • the global word lines have horizontally oriented local word line extensions which cross more than one of the plurality of vertically oriented bit line extensions. Based on the pulling of the one of the local vertical bit line extensions to the first voltage potential and the pulling of the one of the global word lines to the second voltage potential, a data value stored by one of the memory cells of the array is determined.
  • the one local vertical bit line extension may be considered as a first local vertical bit line extension and the one of the memory cells may be considered as a first memory cell positioned between the local vertical bit line extension and one of the local horizontal word line extensions. While the first local vertical bit line extension is being pulled to the first voltage potential and the one of the horizontally oriented global word lines is being pulled to the second voltage potential, a second one of the local vertical bit line extensions is pulled to the first voltage potential. Based on the pulling of the second one of the local vertical bit line extensions to the first voltage potential and the pulling of the one of the global bit lines to the second voltage potential, a data value stored by the second one of the memory cells positioned between the second local vertical bit line extension and the one local horizontal word line extension is determined.
  • the local word line extensions run parallel relative to one another.
  • the second voltage potential is greater than the first voltage potential.
  • the determining of the data value is based on a current flowing through the one of the memory cells.
  • pulling a conductive node to a voltage potential refers to causing the conductive node to be at or very close to the voltage potential.
  • the voltage potential may be positive or negative and may have substantially any magnitude.
  • the voltage potential may be ground.
  • individual voltage potentials measured at various locations of the conductive node might not be exactly the same due to, for example, the resistance of the conductive node itself.
  • the individual voltage potentials may be substantially the same since the individual voltage potentials may be as close to the voltage potential as the physical limitations of the conductive node will allow.
  • the conductive node may be connected directly to a voltage source having the voltage potential.
  • a bit line (including any extensions of the bit line) may be pulled to ground by connecting the bit line (and/or one or more of any extensions of the bit line) to ground, or a word line (including any extensions of the word line) may be pulled to 3.5 volts by connecting the word line (and/or one or more of any extensions of the word line) to a voltage source of 3.5 volts.
  • the conductive node may be pulled to a voltage potential by circuitry intermediate the conductive node and a voltage source, such as a sense amplifier.
  • FIG. 8 diagrammatically depicts a portion of an example substrate 50 comprising components in accordance with the FIG. 6 schematic. Such is highly diagrammatic and only shows a broken portion of a single tier 20 for clarity in the drawings.
  • Field effect transistors 47 of local vertical bit line extension select circuits 45 are shown as respective vertically oriented transistors having a wrap-around control gate line 29 .
  • Field effect transistors 47 respectively have an example source 37 , a channel 39 , and a drain 41 , with local vertical bit line extensions 30 b electrically connecting with individual of drains 41 . Only a single row of local vertical bit line extensions 30 b is shown in FIG. 8 for clarity.
  • Global horizontal bit lines 28 c electrically connect with sources 37 of individual field effect transistors 47 , with the structure as shown being elevationally supported over an example insulative dielectric 43 .
  • Other layers of circuitry components may of course be fabricated below or within dielectric 43 , as well as circuit components fabricated above the depicted upper ends of local vertical bit line extensions 30 b.
  • FIG. 9 diagrammatically shows a portion of an alternate embodiment substrate 50 a fabricated in accordance with the FIGS. 1 and 2 schematic. Like numerals from the FIG. 8 embodiment have been used where appropriate, with differences being indicated with the suffix “a”. None of tiers 18 , 20 , 22 is shown, with only a single bit line 28 and a single local vertical bit line extension 30 being shown for clarity.
  • Field effect transistor 40 of bit line select circuit 38 includes a source 37 a , a channel 39 a , and a drain 41 a .
  • a wrap-around gate construction may be used proximate channel region 39 a analogous to the gate structure 29 of FIG. 8 .
  • an example construction 54 includes an elevationally inner dielectric 56 having alternating rows of different composition materials 57 , 58 formed thereover.
  • material 58 is insulative.
  • Example materials 56 and 58 include doped or undoped silicon dioxide, and an example material 57 comprises silicon nitride. In one embodiment, material 57 will be wholly or at least mostly sacrificial within the array.
  • Layers 57 as depicted correspond with tiers 18 , 20 , and 22 where memory cells and horizontally oriented word lines will be formed.
  • An additional outer tier 16 is used in one embodiment, as will be apparent in the continuing discussion.
  • An additional inner tier (not numerically designated) is received between tier 22 and dielectric 56 . Fewer or more than the depicted tiers may be used, and tier 16 is not required in all embodiments.
  • An example thickness range for each of layers 57 and 58 is 50 nanometers, with the elevationally outermost insulative layer 58 being thicker than the other layers 58 , for example of a thickness from 65 to 100 nanometers.
  • An etch stop capping layer 60 has been formed over elevationally outermost insulative layer 58 , with undoped polysilicon deposited to a thickness of 30 nanometers being an example.
  • horizontally elongated trenches 62 have been formed within insulative material 58 and through material 57 . Such may be formed initially using a suitable mask (not shown) over material 60 to form the depicted trench mask openings 62 in material 60 , and then using suitable alternating dry anisotropic etching chemistries to etch materials 58 and 57 .
  • trenches 62 have a minimum lateral width of F, with the material immediately between adjacent of trenches 62 having a lateral thickness/width of 3F. Pitch multiplication may or may not be used.
  • 11 pattern might initially be fabricated to provide 2F width of openings for each of the trenches and material between immediately adjacent trenches, with the trench openings subsequently being reduced in size by a 1F thickness deposition, thereby increasing the width of the material between the trenches to 3F.
  • lateral recesses 64 have effectively been formed within insulative material 58 within trenches 62 .
  • Such may be formed, for example, by isotropic wet etching material 57 .
  • material 57 comprises silicon nitride
  • such may be isotropically etched highly selectively relative to an example insulative silicon dioxide material 58 .
  • lateral recesses 64 may effectively be formed within insulative material 58 with little or no etching thereof.
  • a “selective” etch requires removal of one material relative to another at a rate of at least 1.5:1.
  • An example depth of lateral recess of material 57 relative to the example vertical sidewalls of material 58 of trenches 62 is 25 nanometers.
  • trenches 62 and lateral recesses 64 have been lined with a first conductive material 66 .
  • An example material is platinum, for example in any one or combinations of elemental, alloy, or compound forms.
  • An example thickness is from 10 nanometers to 15 nanometers and ideally is ineffective to fill or pinch-off between the tiers.
  • lined trenches 62 and lined lateral recesses 64 have been filled with a second conductive material 68 which is different in composition from that of first conductive material 66 .
  • An example second conductive material is conductively doped polysilicon, although other metal or nonmetal-containing conductive materials may be used.
  • second conductive material 68 has been etched within trenches 62 selectively relative to first conductive material 66 to leave second conductive material 68 within, at least, lined lateral recesses 64 .
  • such etching is conducted to be anisotropic, for example as shown.
  • first conductive material 66 has been etched selectively relative to second conductive material 68 to remove first conductive material 66 from being received over sidewalls of trenches 62 defined by material 58 between the tiers.
  • a plurality of horizontally oriented word lines 24 are formed within individual of tiers 16 , 18 , 20 and 22 which comprise first conductive material 66 and second conductive material 68 .
  • the depicted word lines which are formed may or may not comprise either of a global horizontal word line and/or a local horizontal word line extension extending from a global horizontal word line.
  • the etching of first conductive material 66 is conducted isotropically, and in one example is conducted wet.
  • a suitable isotropic etching chemistry to produce the FIG. 16 construction comprises an aqueous etching solution comprising HNO 3 and HCl. Lateral recessing, as shown, may occur of first conductive material 66 relative to sidewalls of trenches 62 and, if so, may increase word line resistance due to reduction of the higher conductive platinum versus the example conductively doped polysilicon material 68 in an example finished word line construction. Regardless, the etching of first conductive material 66 may also etch second conductive material 68 laterally to some degree.
  • FIGS. 10-16 is but one example embodiment of forming multiple tiers that individually comprise a plurality of horizontally oriented word lines.
  • an elevationally outermost tier 16 of tiers 16 , 18 , 20 and 22 will comprise dummy horizontally oriented word lines 24 that are non-functional within the array in a finished circuitry construction.
  • the dummy word lines are taller than the word lines of an immediately next elevationally inner of the tiers, and in one embodiment taller than all elevationally inner of the word lines.
  • word lines 24 are of a conductively filled C-shape in a vertical cross section.
  • An outline of the C-shape comprises one conductive material 66 , with a central portion of the C-shape being filled with another conductive material 68 of different composition from the one conductive material 66 .
  • Materials 66 and 68 may or may not, respectively, be homogenous.
  • conductive material 66 is of higher conductivity than conductive material 68 .
  • the etching of first conductive material 66 laterally recesses first conductive material 66 relative to sidewalls of second conductive material 68 which is within the central portion of the C-shape outline defined by first material 66 .
  • second conductive material 68 extends laterally outward of the C-shape outline defined by first material 66 .
  • trenches 62 in one embodiment have been overfilled with a material 70 , for example silicon dioxide, which has then been recessed back by etching to have an elevationally outermost surface received within the elevational confines of dummy tier 16 . In one embodiment, such is conducted selectively relative to etch stop layer 60 .
  • a material 70 for example silicon dioxide
  • FIG. 17 and subsequent figures various materials are not shown in the right-most portion of the view for clarity in the construction. For example, material 60 , top tier 16 and material 58 are not shown in the right-most portion.
  • a material 72 for example the same as that of etch stop layer 60 (not shown), has been deposited to overfill remaining volume of trenches 62 . Subsequently, materials 72 and 60 have been planarized back at least to the elevationally outermost surface of outermost material 58 .
  • etch stop layer 74 for example of the same composition as that of material 72 (i.e., polysilicon), has been deposited.
  • An example thickness is 30 nanometers.
  • a trench mask pattern (not shown) has been used to etch the depicted longitudinally elongated trenches through materials 74 and 58 .
  • the material 74 and 58 between such longitudinal trenches is effectively used as a mask to etch openings 76 into materials 57 and 58 there-below selectively relative to horizontally oriented word lines 24 .
  • Etching chemistry may be altered to etch materials 57 and 58 selectively relative to each other and other exposed materials to extend openings 76 down to dielectric 56 .
  • openings 76 are so etched using dummy horizontally oriented word lines 24 within elevationally outermost tier 16 as a hardmask to horizontally oriented word lines received elevationally inward thereof.
  • the dummy word lines 24 within tier 16 comprise elevationally outermost surfaces comprising platinum which may function as a good hard-masking material in conducting conventional dry anisotropic selective etches in separately etching silicon dioxide and silicon nitride. Regardless, blocks of material 57 are formed within the array.
  • insulative material 80 has been deposited to overfill the depicted trenches and openings, and planarized back at least to elevationally outermost surfaces of material 74 .
  • Material 80 may or may not be the same composition as material 58 , Material 80 would also fill the depicted right-illustrated portion of FIG. 21 but, again, is not shown for clarity.
  • Such provides but one example embodiment of effectively encapsulating spaced sacrificial blocks 78 within insulative material, for example insulative materials 80 and 58 .
  • the hard-masking has been inverted by recessing oxide material 80 selectively relative to polysilicon material 74 (not shown), followed by deposition of polysilicon, followed by polysilicon planarization at least to the elevationally outermost surfaces of material 58 .
  • etch stop layer 84 for example of the same composition of material 82 (i.e., polysilicon), has been deposited to an example thickness of 30 nanometers.
  • material 84 , 82 , 72 and 68 used as etch stopping/hardmask materials, a mask (not shown) has been used to etch openings 86 into materials 58 and 80 . In one embodiment, such etching of openings 86 is conducted anisotropically.
  • the processing depicted through FIG. 23 is but one example of forming of a plurality of sacrificial spaced blocks 78 adjacent horizontal word lines 24 .
  • Sacrificial blocks 78 may comprise, consist of, or consist essentially of any one or combination of insulative, conductive, or semiconductive materials. In one embodiment and as shown, spaced sacrificial blocks 78 may be formed to comprise rectangular faces.
  • exposed spaced sacrificial blocks 78 have been selectively etched relative to materials 80 and 58 , thereby leaving void spaces 88 there-behind.
  • etching is conducted isotropically, and in one example embodiment is conducted wet.
  • An example wet etching chemistry that will isotropically wet etch a silicon nitride 57 selectively relative to silicon dioxide 58 and 80 , and platinum 66 , includes a heated aqueous solution of H 3 PO 4 .
  • void spaces 88 have been filled with multi-resistive state material 33 , with programmable spaced blocks 90 effectively being formed there-from.
  • An example technique for doing so includes deposition of one or more suitable multi-resistive state materials to within openings 86 to overfill such openings, followed by anisotropic etching of such material from within openings 86 such that the multi-resistive state material 33 remains filling the previous void spaces.
  • the programmable spaced blocks 90 are formed to comprise rectangular faces.
  • Multi-resistive state material 33 may be homogenous or non-homogenous, and may comprise one or more different compositions and/or layers. Accordingly, material 33 might be deposited/formed in more than one step.
  • a method of forming an array of vertically stacked tiers of non-volatile cross point memory cells includes exchanging sacrificial spaced blocks with programmable spaced blocks comprising multi-resistive state material. Such may comprise etching of the sacrificial spaced blocks followed by filling void space left there-behind with multi-resistive state material.
  • the above processing with respect to FIGS. 10-25 comprises but one example such technique. Another embodiment is described below wherein the void spaces which are created are lined with multi-resistive state material.
  • material 33 might comprise multi-resistive state metal oxide-comprising material, further for example comprising two different layers or regions generally regarded as or understood to be active or passive regions, although not necessarily.
  • Example active cell region compositions which comprise metal oxide and can be configured in multi-resistive states include one or a combination of Sr x Ru y O z , Ru x O y , and In x Sn y O z .
  • Other examples include MgO, Ta 2 O 5 , SrTiO 3 , ZrO x (perhaps doped with La), and CaMnO 3 (doped with one or more of Pr, La, Sr, or Sm).
  • Example passive cell region compositions include one or a combination of Al 2 O 3 , TiO 2 , and HfO 2 . Regardless, material 33 might comprise additional metal oxide or other materials not comprising metal oxide.
  • Example materials and constructions for a multi-resistive state region comprising one or more layers including a programmable metal oxide-comprising material are described and disclosed in U.S. Pat. Nos. 6,753,561; 7,149,108; 7,067,862; and 7,187,201, as well as in U.S. Patent Application Publication Nos. 2006/0171200 and 2007/0173019.
  • multi-resistive state metal oxide-comprising materials encompass filament-type metal oxides, ferroelectric metal oxides and others, and whether existing or yet-to-be developed, as long as resistance of the metal oxide-comprising material can be selectively changed.
  • Multi-resistive state material 33 may comprise memristive material.
  • multi-resistive state material 33 may be statically programmable semiconductive material which comprises mobile dopants that are received within a dielectric such that the material is statically programmable between at least two different resistance states. At least one of the states includes localization or gathering of the mobile dopants such that a dielectric region is formed within material 33 , and thereby provides a higher resistance state. Further, more than two programmable resistance states may be used.
  • a “mobile dopant” is a component (other than a free electron) of the semiconductive material that is movable to different locations within said dielectric during normal device operation of repeatedly programming the device between at least two different static states by application of voltage differential to the pair of electrodes. Examples include atom vacancies in an otherwise stoichiometric material, and atom interstitials.
  • mobile dopants include oxygen atom vacancies in amorphous or crystalline oxides or other oxygen-containing material, nitrogen atom vacancies in amorphous or crystalline nitrides or other nitrogen-containing material, fluorine atom vacancies in amorphous or crystalline fluorides or other fluorine-containing material, and interstitial metal atoms in amorphous or crystalline oxides. More than one type of mobile dopant may be used.
  • Example dielectrics in which the mobile dopants are received include suitable oxides, nitrides, and/or fluorides that are capable of localized electrical conductivity based upon sufficiently high quantity and concentration of the mobile dopants. The dielectric within which the mobile dopants are received may or may not be homogenous independent of consideration of the mobile dopants. Specific example dielectrics include TiO 2 , AlN, and/or MgF 2 .
  • a multi-resistive state material 33 that comprises oxygen vacancies as mobile dopants may comprise a combination of TiO 2 and TiO 2-x in at least one programmed resistance state depending on location of the oxygen vacancies and the quantity of the oxygen vacancies in the locations where such are received.
  • a multi-resistive state material 33 that comprises nitrogen vacancies as mobile dopants may comprise a combination of AlN and AlN 1-x in at least one programmed state depending on location of the nitrogen vacancies and the quantity of the nitrogen vacancies in the locations where such are received.
  • a multi-resistive state material 33 that comprises fluorine vacancies as mobile dopants may comprise a combination of MgF 2 and MgF 2-x in at least one programmed resistance state depending on location of the fluorine vacancies and the quantity of the fluorine vacancies in the locations where such are received.
  • the mobile dopants comprise aluminum atom interstitials in a nitrogen-containing material.
  • a plurality of horizontally oriented global bit lines are formed which have local vertical bit line extensions extending through multiple of the tiers. Individual of the local vertical bit line extensions may be received laterally adjacent programmable spaced blocks received within multiple of the tiers, with the programmable spaced blocks also being received adjacent the horizontally oriented word lines.
  • the openings 86 remaining after the FIG. 25 processing may be used to define and/or form local vertical bit line extensions there-within, and to encompass any of the example circuitries shown in any of the embodiments of FIGS. 1-6 by way of examples.
  • global horizontal bit lines and/or bit line select circuits and/or local vertical bit line extension select circuits may have previously been fabricated elevationally inward of the tier below tier 22 .
  • one or more of such circuitry components may be fabricated elevationally outward of the example dummy tier 16 .
  • one or more of such circuitry components may be fabricated elevationally between (not shown) the tiers.
  • FIGS. 26-31 of example techniques of forming local vertical bit line extensions.
  • Such may comprise one or more conductive materials.
  • the multi-resistive state material in the methods and arrays disclosed herein may be of a single general composition or of multiple different compositions. Referring to FIG. 26 , a multi-resistive state material 92 has been deposited to line openings 86 . Such is simply shown as a thick black line in the drawings for clarity.
  • an example multi-resistive state material 33 and 92 is a composite of Pr 0.7 Ca 0.3 MnO 3 and a yttrium-zirconium-oxide, for example with material 33 being Pr 0.7 Ca 0.3 MnO 3 and material 92 being a yttrium-zirconium-oxide.
  • a conductive layer 94 has been deposited over material 92 to line a remaining portion of openings 86 .
  • An example material 94 comprises, consists essentially of, or consists of platinum. Remaining volume of openings 86 have been subsequently filled with a sacrificial material 96 , for example silicon dioxide.
  • sacrificial material 96 has been removed back to expose conductive material 94 .
  • conductive material 94 has been removed back to expose material 92 , and material 92 has then been removed from outwardly of filled openings 86 thereby exposing materials 58 and 82 .
  • sacrificial material 96 (not shown) has been removed by etching from being received within openings 86 , and another conductive material 98 substituted therefore.
  • An example conductive material is TiN, and which has subsequently been planarized back at least to the outer surfaces of materials 58 and 82 in FIG. 29 . Thereby, local vertical bit line extensions 30 comprising conductive material 98 and conductive material 94 have been formed.
  • FIG. 30 depicts subsequent removal of materials 82 and 72 (not shown).
  • FIG. 31 depicts subsequent fill with insulative material 83 which may be of the same or different composition from that of material 58 .
  • FIG. 32 depicts subsequent processing, by way of example only, whereby bit lines 26 have been fabricated which include a plurality of horizontally oriented global bit lines 28 in electrical connection with local vertical bit line extensions 30 which extend through multiple of the tiers of memory cells.
  • Global horizontal bit lines 28 are shown as broken for clarity in the figure.
  • the embodiment described above in connection with FIG. 32 is but one example of an array of vertically stacked tiers of non-volatile cross point memory cells. Such comprises a plurality of horizontally oriented word lines within individual tiers of memory cells. Such also encompasses a plurality of horizontally oriented global bit lines having local vertical bit line extensions extending through multiple of the tiers of memory cells.
  • Individual of the memory cells comprise multi-resistive state material received between one of the horizontal word lines and one of the local vertical bit line extensions where such cross.
  • the multi-resistive state material comprises a composite of two different composition materials. One of the two materials of the composite comprises a plurality of spaced blocks 90 received along individual of the local vertical bit line extensions, with individual of the blocks being associated with individual of the memory cells.
  • the other material 92 of the composite is of C-shape in a horizontal cross section and extends continuously along individual of the local vertical bit line extensions through multiple of the tiers.
  • FIGS. 33-36 An alternate example embodiment of an array construction 54 e , and of a method of forming an array, is next described with respect to FIGS. 33-36 .
  • Like numerals from the FIGS. 10-32 embodiments have been utilized where appropriate, with differences being indicated with the suffix “e” or with different numerals.
  • Array construction 54 e is the same as that of construction 54 in FIG. 23 , but shown at a different cross-sectional cut and without showing the partial construction shown at the far right in FIG. 23 . In FIG.
  • the depicted front x-axis cut is along the front edge of an opening 86
  • the y-axis cut is along a left edge of an opening 86
  • spaced sacrificial blocks 78 are thereby viewable in the depicted front face of construction 54 e . Accordingly, spaced sacrificial blocks 78 are encapsulated by insulative material 80 and 58 , but for openings 86 which have been etched to expose spaced sacrificial blocks 78 .
  • substrate fragment 54 e in FIG. 34 is of the same construction as that of FIG. 24 , but only showing a portion thereof at the different above-identified x and y cuts.
  • void spaces 88 have been lined with multi-resistive state material 33 e .
  • Such may be homogenous or non-homogenous, and comprise any of the classifications and specific materials identified above, by way of examples.
  • multi-resistive state material 33 e which lines void spaces 88 is formed to be continuous between the depicted tiers.
  • conductive material 94 e has been deposited within lined void spaces 88 and planarized back, thereby forming what will be vertical bit line extensions 30 e which extend through multiple tiers of the memory cells.
  • Individual of local vertical bit line extensions 30 e comprise portions which are received laterally adjacent lined void spaces 88 , now filled with material 94 e , received within multiple of the tiers.
  • conductive material 94 e has been deposited or formed to be homogenous and continuous within the openings within which the local vertical bit line extensions 30 e are formed.
  • the local vertical bit line extensions might be formed by etching of the deposited conductive material followed by deposition of a conductor material of different composition from that of the conductive material.
  • a conductor material of different composition from that of the conductive material.
  • FIG. 37 With respect to an array/substrate fragment 54 f .
  • Like numerals from the above-described embodiments have been utilized where appropriate, with differences being indicated with the suffix “f” or with different numerals.
  • conductive material 94 f has been etched, and in one embodiment has been etched in a substantially anisotropic manner as shown.
  • a conductor material 95 of different composition from that of 94 f has been deposited, and materials 94 f and 95 then planarized back, thereby forming local vertical bit line extensions 30 f.
  • FIG. 38 depicts such an example with respect to substrate fragment 54 f in the formation of bit lines 26 f having horizontally oriented global bit lines 28 having local vertical bit line extensions 30 f .
  • Hard-masking material 82 (not shown) may be replaced with another material, for example an insulative oxide material 99 as shown.
  • An embodiment of the invention encompasses an array of vertically stacked tiers of non-volatile cross point memory cells.
  • Such an array comprises a plurality of horizontally oriented word lines within individual tiers of memory cells.
  • a plurality of horizontally oriented global bit lines are provided which have local vertical bit line extensions extending through multiple of the tiers of memory cells.
  • Individual of the memory cells comprise multi-resistive state material received between one of the horizontal word lines and one of the local vertical bit line extensions where such cross.
  • Such crossing horizontal word lines and local vertical bit line extensions comprise opposing conductive electrodes of individual memory cells where such cross, with a multi-resistive state material comprising a C-shape in a vertical cross section.
  • FIGS. 36 and 37 when incorporating horizontally oriented global bit lines show multi-resistive state material 33 e as comprising a C-shape in a vertical cross section taken through former void spaces 88 .
  • the multi-resistive state material extends continuously along individual of the local vertical bit line extensions through multiple of the tiers.
  • the multi-resistive state material comprises a C-shape in a horizontal cross section.
  • the local vertical bit line extensions laterally fill center volume of the C-shape.
  • the local vertical bit line extensions are individually homogenous, for example as shown in the embodiment of FIG. 36 .
  • the individual horizontally oriented global bit lines and local vertical bit line extensions are not homogenous, for example as shown in the embodiment of FIGS. 37 and 38 .
  • FIGS. 39-45 An alternate embodiment array construction 54 g is next described with reference to FIGS. 39-45 . Like numerals from the FIGS. 10-38 embodiments have been utilized where appropriate, with differences being indicated with the suffix “g” or with different numerals.
  • array construction 54 g comprises conductive material 165 received between insulative material 58 at tiers 16 , 18 , 20 and 22 .
  • Tier 16 may or may not be a dummy tier in this embodiment.
  • Conductive material 165 may or may not be homogenous, with an example being titanium nitride. Such is shown as having been patterned to form a stair step-like construction for providing horizontal area for later etching electrical contacts (not shown) to conductive material 165 . Stair-stepping may also be used with any of the above-described embodiments.
  • an insulative material 170 and an adjacent hardmask 172 have been formed. Material 170 may be the same as or different from the composition of material 58 .
  • An example material 172 is silicon nitride.
  • horizontally elongated trenches 174 have been etched as shown. Thereby, a plurality of horizontally oriented word lines 176 have been formed within individual tiers 16 , 18 , 20 and 22 .
  • trenches 174 have been filled with insulative material 178 followed by planarizing such back, for example by chemical mechanical polishing, to stop on hardmask material 172 .
  • Material 178 may be the same as or different from the composition of materials 170 and/or 58 .
  • a patterned hardmask 180 has been formed as shown.
  • An example material 180 is silicon nitride. Regardless, material 180 may be the same or different as underlying hardmask material 172 .
  • horizontally oriented global bit lines will be formed using a trench and refill technique. Alternately by way of example only, such may be formed by deposition and subtractive etch techniques. Referring to FIG. 43 , a material 182 has been deposited, and within which trenches will be subsequently formed and filled.
  • An example material 182 is silicon dioxide.
  • a suitable mask (not shown) has been used to form mask openings (not shown) of an outline corresponding to trenches 184 .
  • Such mask openings run orthogonal to the openings within hardmask 180 . Accordingly, subsequent etching of materials 182 , 178 , and 58 forms trenches 184 in material 182 and openings 186 extending downwardly there-from within materials 8 and 178 between every other immediately adjacent pairs of horizontally oriented word lines 176 .
  • multi-resistive state material 190 has been deposited to line trenches 184 and openings 186 . Such may or may not be homogenous, with any of the multi-resistive state materials as described above being examples.
  • conductive material 192 has been deposited to overfill remaining volume of trenches 184 and openings 186 .
  • materials 190 and 192 have been planarized back to at least the elevationally outermost surfaces of material 182 .
  • Such forms bit lines 194 which comprise a plurality of horizontally oriented global bit lines 196 having local vertical bit line extensions 198 extending therefrom through multiple of the tiers of memory cells, with individual of such memory cells being depicted with phantom circles 200 .
  • FIG. 46 illustrates an embodiment of a computer system 400 .
  • Computer system 400 includes a monitor 401 or other communication output device, a keyboard 402 or other communication input device, and a motherboard 404 .
  • Motherboard 404 may carry a microprocessor 406 or other data processing unit, and at least one memory device 408 .
  • Memory device 408 may comprise an array of memory cells, and such array may be coupled with addressing circuitry for accessing individual memory cells in the array. Further, the memory cell array may be coupled to a read circuit for reading data from the memory cells.
  • the addressing and read circuitry may be utilized for conveying information between memory device 408 and processor 406 .
  • Such is illustrated in the block diagram of the motherboard 404 shown in FIG. 47 . In such block diagram, the addressing circuitry is illustrated as 410 and the read circuitry is illustrated as 412 .
  • Processor device 406 may correspond to a processor module, and associated memory utilized with the module may comprise various structures of the types described with reference to FIGS. 1-45 .
  • Memory device 408 may correspond to a memory module, and may comprise various structures of the types described with reference to FIGS. 1-45 .
  • FIG. 48 illustrates a simplified block diagram of a high-level organization of an electronic system 700 .
  • System 700 may correspond to, for example, a computer system, a process control system, or any other system that employs a processor and associated memory.
  • Electronic system 700 has functional elements, including a processor 702 , a control unit 704 , a memory device unit 706 and an input/output (I/O) device 708 (it is to be understood that the system may have a plurality of processors, control units, memory device units and/or I/O devices in various embodiments).
  • I/O input/output
  • electronic system 700 will have a native set of instructions that specify operations to be performed on data by the processor 702 and other interactions between the processor 702 , the memory device unit 706 and the I/O device 708 .
  • the control unit 704 coordinates all operations of the processor 702 , the memory device 706 and the I/O device 708 by continuously cycling through a set of operations that cause instructions to be fetched from the memory device 706 and executed.
  • the memory device 706 may include various structures of the types described with reference to FIGS. 1-45 .
  • FIG. 49 is a simplified block diagram of an electronic system 800 .
  • the system 800 includes a memory device 802 that has an array of memory cells 804 , address decoder 806 , row access circuitry 808 , column access circuitry 810 , read/write control circuitry 812 for controlling operations, and input/output circuitry 814 .
  • the memory device 802 further includes power circuitry 816 , and sensors 820 , such as current sensors for determining whether a memory cell is in a low-threshold conducting state or in a high-threshold non-conducting state.
  • the illustrated power circuitry 816 includes power supply circuitry 880 , circuitry 882 for providing a reference voltage, circuitry 884 for providing a first interconnection line (for instance, a word line) with pulses, circuitry 886 for providing a second interconnection line (for instance, another word line) with pulses, and circuitry 888 for providing a third interconnection line (for instance, a bit line) with pulses.
  • the system 800 also includes a processor 822 , or memory controller for memory accessing.
  • the memory device 802 receives control signals from the processor 822 over wiring or metallization lines.
  • the memory device 802 is used to store data which is accessed via I/O lines.
  • At least one of the processor 822 or memory device 802 may include various structures of the types described with reference to FIGS. 1-45 .
  • the various electronic systems may be fabricated in single-package processing units, or even on a single semiconductor chip, in order to reduce the communication time between the processor and the memory device(s).
  • the electronic systems may be used in memory modules, device drivers, power modules, communication modems, processor modules, and application-specific modules, and may include multilayer, multichip modules.
  • the electronic systems may be any of a broad range of systems, such as clocks, televisions, cell phones, personal computers, automobiles, industrial control systems, aircraft, etc

Abstract

An array of vertically stacked tiers of non-volatile cross point memory cells includes a plurality of horizontally oriented word lines within individual tiers of memory cells. A plurality of horizontally oriented global bit lines having local vertical bit line extensions extend through multiple of the tiers. Individual of the memory cells comprise multi-resistive state material received between one of the horizontally oriented word lines and one of the local vertical bit line extensions where such cross, with such ones comprising opposing conductive electrodes of individual memory cells where such cross. A plurality of bit line select circuits individually electrically and physically connects to individual of the local vertical bit line extensions and are configured to supply a voltage potential to an individual of the global horizontal bit lines. Other embodiments and aspects are disclosed.

Description

TECHNICAL FIELD
Embodiments disclosed herein pertain to arrays of vertically stacked tiers of non-volatile cross point memory cells, to methods of forming arrays of vertically stacked tiers of non-volatile cross point memory cells, and to methods of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells.
BACKGROUND
Memory is one type of integrated circuitry and is used in computer systems for storing data. Memory is typically fabricated in one or more arrays of individual memory cells. The memory cells might be volatile, semi-volatile, or non-volatile. Non-volatile memory cells can store data for extended periods of time, and in many instances including when the computer is turned off. Volatile memory dissipates and therefore requires being refreshed/rewritten, and in many instances multiple times per second. Regardless, the smallest unit in each array is termed as a memory cell and is configured to retain or store memory in an least two different selectable states. In a binary system, the storage conditions are considered as either a “0” or a “1”. Further, some individual memory cells can be configured to store more than two bits of information.
Integrated circuitry fabrication continues to strive to produce smaller and denser integrated circuits. Accordingly, the fewer components an individual circuit device has, the smaller the construction of the finished circuit can be. Likely the smallest and simplest memory cell is comprised of two conductive electrodes having a programmable material received there-between. Example materials include metal oxides which may or may not be homogenous, and may or may not contain other materials therewith. Regardless, the collective material received between the two electrodes is selected or designed to be configured in a selected one of at least two different resistive states to enable storing of information by an individual memory cell. When configured in one extreme of the resistive states, the material may have a high resistance to electrical current. In contrast in the other extreme, when configured in another resistive state, the material may have a low resistance to electrical current. Existing and yet-to-be developed memory cells might also be configured to have one or more additional possible stable resistive states in between a highest and a lowest resistance state. Regardless, the resistive state in which the programmable material is configured may be changed using electrical signals. For example, if the material is in a high-resistance state, the material may be configured to be in a low resistance state by applying a suitable voltage across the material.
The programmed resistive state is designed to be persistent in non-volatile memory. For example, once configured in a resistive state, the material stays in such resistive state even if neither a current nor a voltage is applied to the material. Further, the configuration of the material may be repeatedly changed from one resistance state to another for programming the memory cell into different of at least two resistive states. Upon such programming, the resistive state of the material can be determined by appropriate signals applied to one or both of the two electrodes between which the material is received.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a diagrammatic top plan view of a substrate in accordance with an embodiment of the invention.
FIG. 2 is a diagrammatic schematic of an array of vertically stacked tiers of non-volatile cross point memory cells in accordance with FIG. 1 and an embodiment of the invention.
FIG. 3 is an alternate embodiment to that depicted by FIG. 2.
FIG. 4 is a diagrammatic top plan view of another substrate in accordance with an embodiment of the invention.
FIG. 5 is diagrammatic schematic of an array of vertically stacked tiers of non-volatile cross point memory cells in accordance with FIG. 4 and an embodiment of the invention.
FIG. 6 is an alternate embodiment to that depicted by FIG. 5.
FIG. 7 is another alternate embodiment to that depicted by FIG. 5.
FIG. 8 is diagrammatic perspective view of portions of circuitry encompassing the embodiment of FIG. 5.
FIG. 9 is diagrammatic perspective view of portions of circuitry of the embodiment of FIGS. 1 and 2.
FIG. 10 is a diagrammatic perspective view of a semiconductor substrate fragment in process in accordance with an embodiment of the invention.
FIG. 11 is a view of the FIG. 10 substrate at a processing step subsequent to that shown by FIG. 10.
FIG. 12 is a view of the FIG. 11 substrate at a processing step subsequent to that shown by FIG. 11.
FIG. 13 is a view of the FIG. 12 substrate at a processing step subsequent to that shown by FIG. 12.
FIG. 14 is a view of the FIG. 13 substrate at a processing step subsequent to that shown by FIG. 13.
FIG. 15 is a view of the FIG. 14 substrate at a processing step subsequent to that shown by FIG. 14.
FIG. 16 is a view of the FIG. 15 substrate at a processing step subsequent to that shown by FIG. 15.
FIG. 17 is a view of the FIG. 16 substrate at a processing step subsequent to that shown by FIG. 16.
FIG. 18 is a view of the FIG. 17 substrate at a processing step subsequent to that shown by FIG. 17.
FIG. 19 is a view of the FIG. 18 substrate at a processing step subsequent to that shown by FIG. 18.
FIG. 20 is a view of the FIG. 19 substrate at a processing step subsequent to that shown by FIG. 19.
FIG. 21 is a view of the FIG. 20 substrate at a processing step subsequent to that shown by FIG. 20.
FIG. 22 is a view of the FIG. 21 substrate at a processing step subsequent to that shown by FIG. 21.
FIG. 23 is a view of the FIG. 22 substrate at a processing step subsequent to that shown by FIG. 22.
FIG. 24 is a view of the FIG. 23 substrate at a processing step subsequent to that shown by FIG. 23.
FIG. 25 is a view of the FIG. 24 substrate at a processing step subsequent to that shown by FIG. 24.
FIG. 26 is a view of the FIG. 25 substrate at a processing step subsequent to that shown by FIG. 25.
FIG. 27 is a view of the FIG. 26 substrate at a processing step subsequent to that shown by FIG. 26.
FIG. 28 is a view of the FIG. 27 substrate at a processing step subsequent to that shown by FIG. 27.
FIG. 29 is a view of the FIG. 28 substrate at a processing step subsequent to that shown by FIG. 28.
FIG. 30 is a view of the FIG. 29 substrate at a processing step subsequent to that shown by FIG. 29.
FIG. 31 is a view of the FIG. 30 substrate at a processing step subsequent to that shown by FIG. 30.
FIG. 32 is a view of the FIG. 31 substrate at a processing step subsequent to that shown by FIG. 31.
FIG. 33 is a diagrammatic perspective view of a semiconductor substrate fragment in process in accordance with an embodiment of the invention.
FIG. 34 is a view of the FIG. 33 substrate at a processing step subsequent to that shown by FIG. 33.
FIG. 35 is a view of the FIG. 34 substrate at a processing step subsequent to that shown by FIG. 34.
FIG. 36 is a view of the FIG. 35 substrate at a processing step subsequent to that shown by FIG. 35.
FIG. 37 is a diagrammatic perspective view of a semiconductor substrate fragment in process in accordance with an embodiment of the invention.
FIG. 38 is a view of the FIG. 37 substrate at a processing step subsequent to that shown by FIG. 37.
FIG. 39 is a diagrammatic perspective view of a semiconductor substrate fragment in process in accordance with an embodiment of the invention.
FIG. 40 is a view of the FIG. 39 substrate at a processing step subsequent to that shown by FIG. 39.
FIG. 41 is a view of the FIG. 40 substrate at a processing step subsequent to that shown by FIG. 40.
FIG. 42 is a view of the FIG. 41 substrate at a processing step subsequent to that shown by FIG. 41.
FIG. 43 is a view of the FIG. 42 substrate at a processing step subsequent to that shown by FIG. 42.
FIG. 44 is a view of the FIG. 43 substrate at a processing step subsequent to that shown by FIG. 43.
FIG. 45 is a view of the FIG. 44 substrate at a processing step subsequent to that shown by FIG. 44.
FIG. 46 is a diagrammatic view of a computer embodiment.
FIG. 47 is a block diagram showing particular features of the motherboard of the FIG. 46 computer embodiment.
FIG. 48 is a high level block diagram of an electronic system embodiment.
FIG. 49 is a simplified block diagram of a memory device embodiment.
DETAILED DESCRIPTION OF EXAMPLE EMBODIMENTS
Embodiments of the invention encompass arrays of vertically stacked tiers of non-volatile cross point memory cells. Initial example such arrays are described with reference to FIGS. 1-7. Referring initially to FIGS. 1 and 2, an example array 10 is fabricated relative to a substrate 12. In one embodiment, substrate 12 comprises a semiconductor substrate. In the context of this document, the term “semiconductor substrate” or “semiconductive substrate” is defined to mean any construction comprising semiconductive material, including, but not limited to, bulk semiconductive materials such as a semiconductive wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials). The term “substrate” refers to any supporting structure, including, but not limited to, the semiconductive substrates described above. Substrate 12 may be considered as having a primary elevationally outermost surface which can be considered to define a horizontal direction or orientation, with the direction orthogonal thereto defining a vertical direction or orientation. Such outermost surface may or may not be planar.
Memory cells of the array are fabricated within an array area 14 (FIG. 1). Logic circuitry would typically be fabricated outside of array area 14. Control and/or other peripheral circuitry for operating the memory array may or may not wholly or partially be received within array area 14, with such array area as a minimum encompassing all of the memory cells of a given array/sub-array. Further, multiple sub-arrays might also be fabricated and operated independently, in tandem, or otherwise relative one another. As used in this document, a “sub-array” may also be considered as an array.
Array 10 includes a plurality of tiers 18, 20, 22 of memory cells 34, with individual of the tiers comprising a plurality of horizontally oriented word lines 24. For simplicity of illustration, FIG. 2 depicts three tiers, although only two tiers or more than three tiers may be fabricated. Array 10 includes a plurality of bit lines 26 that individually comprise horizontally oriented global bit lines 28 having local vertical bit line extensions 30 extending therefrom through multiple of the tiers of memory cells. FIGS. 1 and 2 diagrammatically show only a few of such word lines and bit lines for clarity, with array 10 likely including thousands or millions of such. Further only three memory cells 34 are shown associated with the individual word line segments in FIG. 2, with the number of such likely being in the thousands or millions along a word line. Word lines 24 and global bit lines 28 may run perpendicular relative to one another (as shown in FIGS. 1 and 2), at one or more angles other than perpendicular relative to one another (not shown in FIGS. 1 and 2), or parallel relative one another (not shown in FIGS. 1 and 2). Further, global bit lines 28 need not be straight or run parallel relative to one another, nor do word lines 24 need to be straight or run parallel relative to one another. Further, bit lines 26 may include other extensions (not shown) beyond local vertical bit line extensions 30, and word lines 24 may comprise extensions (not shown).
Memory cells 34 individually comprise multi-resistive state material 33 which is received between one of the word lines 24 and one of the local vertical bit line extensions 30 where such cross with such comprising opposing conductive electrodes of an individual memory cell. Individual memory cells may encompass other devices, for example a diode, but will as a minimum comprise multi-resistive state material received between individual crossings of the word lines and local vertical bit line extensions. Example materials and example details of construction will be described subsequently.
In one embodiment, a plurality of bit line select circuits 38 individually electrically and physically connect to individual of local vertical bit line extensions 30 and are configured to supply a voltage potential to an individual of global horizontal bit lines 28. In one embodiment, a plurality of bit line select circuits 38 individually electrically and physically connect to individual of local vertical bit line extensions 30 and are configured to supply a voltage potential to multiple of local vertical bit line extensions 30. Bit line select circuits 38 may be as simple as a single electronic device, for example the depicted field effect transistor 40, or may encompass multiple electronic devices. Bit line select circuits 38 may be received partially or wholly within array area 14, or partially or wholly outside of array area 14.
In one embodiment, individual bit line select circuits 38 are configured to supply a voltage potential to multiple of local vertical bit line extensions 30 through individual of the global horizontal bit lines from which the individual local vertical bit line extension 30 extends. For example, conductive lines 42 are shown electrically connecting with bit line select circuits 38. Such may be received partially or wholly within array area 14, or partially or wholly outwardly thereof. Regardless, such may be provided at a selected voltage potential with bit line select circuit 38 operated to supply a voltage potential to the local vertical bit line extension 30 to which such connects. Such voltage potential is supplied to multiple other of the local vertical bit line extensions 30 connected to the same global bit line 28 through such global bit line. In one embodiment, and as shown, individual global bit lines 28 have only a single bit line select circuit 38 that electrically connects thereto through only a single vertical bit line extension 30. Alternately, individual of the global bit lines may have more than one (not shown) bit line select circuit 38 connected therethrough through multiple vertical bit line extensions 30.
A plurality of row decode select circuits 39 individually electrically connect with individual of word lines 24. Alternate configurations are contemplated. Regardless, row decode select circuits 39 may comprise one or more individual electronic components/devices.
In one embodiment, individual of local vertical bit lines 30 have two opposing longitudinal ends 43, 44 one of which (end 43) electrically and physically connects with one of horizontal global bit lines 28. In one embodiment, individual of bit line select circuits 38 physically connect with the other end (end 44) of two longitudinal ends 43, 44 of individual of local vertical bit line extensions 30. Regardless, in one embodiment, at least one of tiers 18, 20, 22 of memory cells 34 is received elevationally between individual of the global horizontal bit lines 28 and the physical connection of individual of the bit line select circuits 38 with individual of local vertical bit line extensions 30. In one embodiment, and as shown, all tiers 18, 20, 22 of memory cells 34 are received elevationally between individual of global horizontal bit lines 28 and physical connection of individual of the bit line select circuits 38 with individual local vertical bit line extensions 30. Regardless, FIG. 2 depicts one example embodiment wherein the global horizontal bit lines 28 are received elevationally outward of tiers 18, 20, 22 of memory cells 34. FIG. 3 depicts an alternate example embodiment array 10 a wherein global horizontal bit lines 28 are received elevationally inward of tiers 18, 20, 22 of memory cells 34.
Another embodiment array 10 b of vertically stacked tiers of non-volatile cross point memory cells is described with reference to FIGS. 4 and 5. Like numerals from the above-described embodiments have been used where appropriate, with differences being indicated with the suffix “b” or with different numerals. In array 10 b, horizontally oriented word lines 24 b within individual tiers 18, 20, 22 comprise global horizontal word lines 25 having local horizontal word line extensions 27. The local horizontal word line extensions respectively extend from the global horizontal word lines at an angle (not at 180°), and in one embodiment as shown may extend orthogonally from the global horizontal word lines. In one embodiment, the individual of tiers 18, 20 and 22 comprise two global horizontally oriented word lines 25 individually adjacent opposite sides of the array and from which local horizontal word line extensions 27 extend. In one embodiment and as shown, local horizontal word line extensions 27 extend from one of the two global horizontal word lines 25 across the array within an individual tier toward the other of two global word lines 25 in the individual tier. In one embodiment and as shown, local horizontal word line extensions 27 extending from the two global word lines 25 alternate with the local horizontal word line extensions 27 extending from the other of two global word lines 25 within an individual of the tiers. Regardless, in one embodiment, individual of tiers 18, 20, 22 contain no more than two global word lines. By way of example, FIG. 4 depicts two horizontal global word lines 25 which are individually adjacent opposite sides of array 10 b within array area 14 b. Thousands or millions of memory cells 34 would likely be associated with each local horizontal word line extension 27.
In one embodiment and as shown, array 10 b has two, and only two, row decode select circuits 39 within an individual of tiers 18, 20, 22. Regardless, in one embodiment, array 10 b comprises a plurality of local vertical bit line extension select circuits 45 individually, electrically, and physically connected between an individual of global horizontal bit lines 28 b and an individual of local vertical bit line extensions 30 b connected therewith. Such may be encompassed by one or more electronic devices received wholly or partially within array area 14 b, or wholly or partially outside of array area 14 b. FIG. 5 depicts bit line extension select circuits 45 as comprising a field effect transistor 47 having a control gate line 29.
FIGS. 4 and 5 depict an example embodiment wherein global word lines 25 run parallel relative to one another within a tier and global bit lines 28 b run parallel relative to one another, and also wherein global word lines 25 run parallel with/relative to global bit lines 28 b. FIG. 6 is intended to depict an alternate embodiment array 10 c wherein global word lines 25 run perpendicular to global bit lines 28 c. Like numerals from the above-described embodiments have been used where appropriate, with differences being indicated with the suffix “c”.
The embodiments of FIGS. 4-6 depict the global bit lines and associated local vertical bit line extension select circuits 45 received elevationally inward of the tiers of memory cells. Alternately, such could be received elevationally outward (not shown) of the tiers of memory cells, for example analogous to the alternate embodiments of FIGS. 2 and 3. Further, the embodiments of FIGS. 2 and 3 may incorporate word lines having global horizontally oriented word lines with local horizontal word line extensions extending there-from, for example in manners somewhat similar to that described immediately above with respect to the FIGS. 4-6 embodiments.
An embodiment of the invention encompasses an array of vertically stacked tiers of non-volatile cross point memory cells. Such array comprises a plurality of horizontally oriented word lines independent of whether an individual tier comprises a plurality of such word lines and independent of whether any individual of the horizontally oriented word lines comprises global horizontal word lines having local horizontal word line extensions extending therefrom. Regardless, such array comprises a plurality of horizontally oriented global bit lines having local vertical bit line extensions extending through multiple tiers of memory cells. Individual of the memory cells comprise multi-resistive state material received between one of the horizontally oriented word lines and one of the local vertical bit line extensions where such cross. Such array includes at least one, and no more than two, row decode select circuits for individual of the tiers. By ways of example only, the embodiments of FIGS. 4-6 depict example such circuitry wherein two and only two row decode select circuits are provided for individual of the tiers. Alternate circuitry comprising two and only two row decode select circuits for the individual tiers is also contemplated.
FIG. 7 depicts an alternate embodiment array 10 d which comprises only one row decode select circuit for individual of the tiers. Like numerals from the above-described embodiments had been utilized where appropriate, with differences being indicated with the suffix “d”. By way of examples only, the embodiments of FIGS. 1-6 may be considered as forming individual memory cells on opposing sides of the local vertical bit line extensions. Array 10 d of FIG. 7 only uses one side of individual local vertical bit line extensions in an individual tier, and only a single row decode select circuit 39 for each tier. Alternate constructions may be used. Further, FIG. 7 is analogous to the FIG. 5 layout, although the FIG. 6 or alternate layouts could of course be used.
An embodiment of the invention encompasses a method of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells, wherein individual of the memory cells comprise multi-resistive state material received between a word line and a bit line where such cross. Such a method encompasses pulling one of a plurality of horizontally oriented word lines within individual tiers of memory cells to a first voltage potential. One of a plurality of horizontally oriented global bit lines having local vertical bit line extensions extending through multiple of the tiers of memory cells is electrically connected to a voltage source via one of the vertical bit line extensions, thereby pulling the one bit line to a second voltage potential. Based upon the pulling of the one of the horizontally oriented word lines to the first voltage potential and the pulling of the one of the horizontally oriented global bit lines to the second voltage potential, a data value stored by one of the memory cells of the array is determined.
In one embodiment, the determining of the data value is based on a current flowing through the one of the memory cells, with the current resulting from a difference between the first voltage potential and the second voltage potential. In one embodiment, the first voltage potential is greater than the second voltage potential. In one embodiment, the electrically connecting to a voltage source is with only one of the local vertical bit line extensions. In one embodiment, a bit line select circuit is physically connected between the one local vertical bit line extension and the voltage source, with the stated electrically connecting being accomplished at least in part by operation of the bit line select circuit. By ways of example only, any of the embodiments of this paragraph and the immediately preceding paragraph may be conducted using the circuitry embodiments of FIGS. 1-3.
In another embodiment, one of a plurality of vertically oriented local vertical bit line extensions of a plurality of horizontally oriented global bit lines is pulled to a first voltage potential. The vertically oriented bit line extensions extend through individual tiers of memory cells. One of a plurality of horizontally oriented global word lines is pulled to a second voltage potential. The global word lines have horizontally oriented local word line extensions which cross more than one of the plurality of vertically oriented bit line extensions. Based on the pulling of the one of the local vertical bit line extensions to the first voltage potential and the pulling of the one of the global word lines to the second voltage potential, a data value stored by one of the memory cells of the array is determined.
In one embodiment, the one local vertical bit line extension may be considered as a first local vertical bit line extension and the one of the memory cells may be considered as a first memory cell positioned between the local vertical bit line extension and one of the local horizontal word line extensions. While the first local vertical bit line extension is being pulled to the first voltage potential and the one of the horizontally oriented global word lines is being pulled to the second voltage potential, a second one of the local vertical bit line extensions is pulled to the first voltage potential. Based on the pulling of the second one of the local vertical bit line extensions to the first voltage potential and the pulling of the one of the global bit lines to the second voltage potential, a data value stored by the second one of the memory cells positioned between the second local vertical bit line extension and the one local horizontal word line extension is determined.
In one embodiment, the local word line extensions run parallel relative to one another. In one embodiment, the second voltage potential is greater than the first voltage potential. In one embodiment, the determining of the data value is based on a current flowing through the one of the memory cells. By ways of example only, any of the embodiments of this paragraph and the immediately preceding two paragraphs may be conducted using the circuitry embodiments of FIGS. 4-7.
As used herein, pulling a conductive node to a voltage potential refers to causing the conductive node to be at or very close to the voltage potential. The voltage potential may be positive or negative and may have substantially any magnitude. For example, the voltage potential may be ground. In pulling a conductive node to a voltage potential, it is to be understood that individual voltage potentials measured at various locations of the conductive node might not be exactly the same due to, for example, the resistance of the conductive node itself. However, the individual voltage potentials may be substantially the same since the individual voltage potentials may be as close to the voltage potential as the physical limitations of the conductive node will allow. In some instances, the conductive node may be connected directly to a voltage source having the voltage potential. For example, a bit line (including any extensions of the bit line) may be pulled to ground by connecting the bit line (and/or one or more of any extensions of the bit line) to ground, or a word line (including any extensions of the word line) may be pulled to 3.5 volts by connecting the word line (and/or one or more of any extensions of the word line) to a voltage source of 3.5 volts. Additionally or alternatively, the conductive node may be pulled to a voltage potential by circuitry intermediate the conductive node and a voltage source, such as a sense amplifier.
FIG. 8 diagrammatically depicts a portion of an example substrate 50 comprising components in accordance with the FIG. 6 schematic. Such is highly diagrammatic and only shows a broken portion of a single tier 20 for clarity in the drawings. Field effect transistors 47 of local vertical bit line extension select circuits 45 are shown as respective vertically oriented transistors having a wrap-around control gate line 29. Field effect transistors 47 respectively have an example source 37, a channel 39, and a drain 41, with local vertical bit line extensions 30 b electrically connecting with individual of drains 41. Only a single row of local vertical bit line extensions 30 b is shown in FIG. 8 for clarity. Global horizontal bit lines 28 c electrically connect with sources 37 of individual field effect transistors 47, with the structure as shown being elevationally supported over an example insulative dielectric 43. Other layers of circuitry components may of course be fabricated below or within dielectric 43, as well as circuit components fabricated above the depicted upper ends of local vertical bit line extensions 30 b.
FIG. 9 diagrammatically shows a portion of an alternate embodiment substrate 50 a fabricated in accordance with the FIGS. 1 and 2 schematic. Like numerals from the FIG. 8 embodiment have been used where appropriate, with differences being indicated with the suffix “a”. None of tiers 18, 20, 22 is shown, with only a single bit line 28 and a single local vertical bit line extension 30 being shown for clarity. Field effect transistor 40 of bit line select circuit 38 includes a source 37 a, a channel 39 a, and a drain 41 a. A wrap-around gate construction (not shown) may be used proximate channel region 39 a analogous to the gate structure 29 of FIG. 8.
Example constructions of arrays of vertically stacked tiers of non-volatile cross point memory cells and methods of fabricating such are next described with reference to FIGS. 10-32. Like numerals from the above-described embodiments have been used where appropriate. Referring to FIG. 10, an example construction 54 includes an elevationally inner dielectric 56 having alternating rows of different composition materials 57, 58 formed thereover. In one embodiment, material 58 is insulative. Example materials 56 and 58 include doped or undoped silicon dioxide, and an example material 57 comprises silicon nitride. In one embodiment, material 57 will be wholly or at least mostly sacrificial within the array. Layers 57 as depicted correspond with tiers 18, 20, and 22 where memory cells and horizontally oriented word lines will be formed. An additional outer tier 16 is used in one embodiment, as will be apparent in the continuing discussion. An additional inner tier (not numerically designated) is received between tier 22 and dielectric 56. Fewer or more than the depicted tiers may be used, and tier 16 is not required in all embodiments. An example thickness range for each of layers 57 and 58 is 50 nanometers, with the elevationally outermost insulative layer 58 being thicker than the other layers 58, for example of a thickness from 65 to 100 nanometers. An etch stop capping layer 60 has been formed over elevationally outermost insulative layer 58, with undoped polysilicon deposited to a thickness of 30 nanometers being an example.
Referring to FIG. 11, horizontally elongated trenches 62 have been formed within insulative material 58 and through material 57. Such may be formed initially using a suitable mask (not shown) over material 60 to form the depicted trench mask openings 62 in material 60, and then using suitable alternating dry anisotropic etching chemistries to etch materials 58 and 57. In one embodiment, trenches 62 have a minimum lateral width of F, with the material immediately between adjacent of trenches 62 having a lateral thickness/width of 3F. Pitch multiplication may or may not be used. In one example, the mask used for producing the FIG. 11 pattern might initially be fabricated to provide 2F width of openings for each of the trenches and material between immediately adjacent trenches, with the trench openings subsequently being reduced in size by a 1F thickness deposition, thereby increasing the width of the material between the trenches to 3F.
Referring to FIG. 12, lateral recesses 64 have effectively been formed within insulative material 58 within trenches 62. Such may be formed, for example, by isotropic wet etching material 57. For example where material 57 comprises silicon nitride, such may be isotropically etched highly selectively relative to an example insulative silicon dioxide material 58. Accordingly, lateral recesses 64 may effectively be formed within insulative material 58 with little or no etching thereof. In the context of this document, a “selective” etch requires removal of one material relative to another at a rate of at least 1.5:1. An example depth of lateral recess of material 57 relative to the example vertical sidewalls of material 58 of trenches 62 is 25 nanometers.
Referring to FIG. 13, trenches 62 and lateral recesses 64 have been lined with a first conductive material 66. An example material is platinum, for example in any one or combinations of elemental, alloy, or compound forms. An example thickness is from 10 nanometers to 15 nanometers and ideally is ineffective to fill or pinch-off between the tiers.
Referring to FIG. 14, lined trenches 62 and lined lateral recesses 64 have been filled with a second conductive material 68 which is different in composition from that of first conductive material 66. An example second conductive material is conductively doped polysilicon, although other metal or nonmetal-containing conductive materials may be used.
Referring to FIG. 15, second conductive material 68 has been etched within trenches 62 selectively relative to first conductive material 66 to leave second conductive material 68 within, at least, lined lateral recesses 64. In one embodiment, such etching is conducted to be anisotropic, for example as shown.
Referring to FIG. 16, first conductive material 66 has been etched selectively relative to second conductive material 68 to remove first conductive material 66 from being received over sidewalls of trenches 62 defined by material 58 between the tiers. Thereby, in one embodiment, a plurality of horizontally oriented word lines 24 are formed within individual of tiers 16, 18, 20 and 22 which comprise first conductive material 66 and second conductive material 68. The depicted word lines which are formed may or may not comprise either of a global horizontal word line and/or a local horizontal word line extension extending from a global horizontal word line. In one embodiment, the etching of first conductive material 66 is conducted isotropically, and in one example is conducted wet. For example, with respect to a predominantly platinum-comprising first conductive material 66, a suitable isotropic etching chemistry to produce the FIG. 16 construction comprises an aqueous etching solution comprising HNO3 and HCl. Lateral recessing, as shown, may occur of first conductive material 66 relative to sidewalls of trenches 62 and, if so, may increase word line resistance due to reduction of the higher conductive platinum versus the example conductively doped polysilicon material 68 in an example finished word line construction. Regardless, the etching of first conductive material 66 may also etch second conductive material 68 laterally to some degree.
The above description with respect to FIGS. 10-16 is but one example embodiment of forming multiple tiers that individually comprise a plurality of horizontally oriented word lines. In one embodiment, an elevationally outermost tier 16 of tiers 16, 18, 20 and 22 will comprise dummy horizontally oriented word lines 24 that are non-functional within the array in a finished circuitry construction. In one embodiment, the dummy word lines are taller than the word lines of an immediately next elevationally inner of the tiers, and in one embodiment taller than all elevationally inner of the word lines.
Regardless, in one embodiment, word lines 24 are of a conductively filled C-shape in a vertical cross section. An outline of the C-shape comprises one conductive material 66, with a central portion of the C-shape being filled with another conductive material 68 of different composition from the one conductive material 66. Materials 66 and 68 may or may not, respectively, be homogenous. Regardless, in one embodiment, conductive material 66 is of higher conductivity than conductive material 68. In one embodiment and as shown, the etching of first conductive material 66 laterally recesses first conductive material 66 relative to sidewalls of second conductive material 68 which is within the central portion of the C-shape outline defined by first material 66. In one embodiment and as shown, second conductive material 68 extends laterally outward of the C-shape outline defined by first material 66.
Referring to FIG. 17, trenches 62 in one embodiment have been overfilled with a material 70, for example silicon dioxide, which has then been recessed back by etching to have an elevationally outermost surface received within the elevational confines of dummy tier 16. In one embodiment, such is conducted selectively relative to etch stop layer 60. In FIG. 17 and subsequent figures, various materials are not shown in the right-most portion of the view for clarity in the construction. For example, material 60, top tier 16 and material 58 are not shown in the right-most portion.
Referring to FIG. 18, a material 72, for example the same as that of etch stop layer 60 (not shown), has been deposited to overfill remaining volume of trenches 62. Subsequently, materials 72 and 60 have been planarized back at least to the elevationally outermost surface of outermost material 58.
Referring to FIG. 19, another etch stop layer 74, for example of the same composition as that of material 72 (i.e., polysilicon), has been deposited. An example thickness is 30 nanometers.
Referring to FIG. 20, a trench mask pattern (not shown) has been used to etch the depicted longitudinally elongated trenches through materials 74 and 58. The material 74 and 58 between such longitudinal trenches is effectively used as a mask to etch openings 76 into materials 57 and 58 there-below selectively relative to horizontally oriented word lines 24. Etching chemistry may be altered to etch materials 57 and 58 selectively relative to each other and other exposed materials to extend openings 76 down to dielectric 56. In one embodiment, openings 76 are so etched using dummy horizontally oriented word lines 24 within elevationally outermost tier 16 as a hardmask to horizontally oriented word lines received elevationally inward thereof. In one embodiment, the dummy word lines 24 within tier 16 comprise elevationally outermost surfaces comprising platinum which may function as a good hard-masking material in conducting conventional dry anisotropic selective etches in separately etching silicon dioxide and silicon nitride. Regardless, blocks of material 57 are formed within the array.
Referring to FIG. 21, insulative material 80 has been deposited to overfill the depicted trenches and openings, and planarized back at least to elevationally outermost surfaces of material 74. Material 80 may or may not be the same composition as material 58, Material 80 would also fill the depicted right-illustrated portion of FIG. 21 but, again, is not shown for clarity. Such provides but one example embodiment of effectively encapsulating spaced sacrificial blocks 78 within insulative material, for example insulative materials 80 and 58.
Referring to FIG. 22, the hard-masking has been inverted by recessing oxide material 80 selectively relative to polysilicon material 74 (not shown), followed by deposition of polysilicon, followed by polysilicon planarization at least to the elevationally outermost surfaces of material 58.
Referring to FIG. 23, another etch stop layer 84, for example of the same composition of material 82 (i.e., polysilicon), has been deposited to an example thickness of 30 nanometers. With materials 84, 82, 72 and 68 used as etch stopping/hardmask materials, a mask (not shown) has been used to etch openings 86 into materials 58 and 80. In one embodiment, such etching of openings 86 is conducted anisotropically. Regardless, in one embodiment, the processing depicted through FIG. 23 is but one example of forming of a plurality of sacrificial spaced blocks 78 adjacent horizontal word lines 24. Sacrificial blocks 78 may comprise, consist of, or consist essentially of any one or combination of insulative, conductive, or semiconductive materials. In one embodiment and as shown, spaced sacrificial blocks 78 may be formed to comprise rectangular faces.
Referring to FIG. 24, exposed spaced sacrificial blocks 78 (not shown) have been selectively etched relative to materials 80 and 58, thereby leaving void spaces 88 there-behind. In one example embodiment, such etching is conducted isotropically, and in one example embodiment is conducted wet. An example wet etching chemistry that will isotropically wet etch a silicon nitride 57 selectively relative to silicon dioxide 58 and 80, and platinum 66, includes a heated aqueous solution of H3PO4.
Referring to FIG. 25, and in but one embodiment, void spaces 88 (not shown) have been filled with multi-resistive state material 33, with programmable spaced blocks 90 effectively being formed there-from. An example technique for doing so includes deposition of one or more suitable multi-resistive state materials to within openings 86 to overfill such openings, followed by anisotropic etching of such material from within openings 86 such that the multi-resistive state material 33 remains filling the previous void spaces. In one embodiment, the programmable spaced blocks 90 are formed to comprise rectangular faces. Multi-resistive state material 33 may be homogenous or non-homogenous, and may comprise one or more different compositions and/or layers. Accordingly, material 33 might be deposited/formed in more than one step.
In one embodiment, a method of forming an array of vertically stacked tiers of non-volatile cross point memory cells includes exchanging sacrificial spaced blocks with programmable spaced blocks comprising multi-resistive state material. Such may comprise etching of the sacrificial spaced blocks followed by filling void space left there-behind with multi-resistive state material. The above processing with respect to FIGS. 10-25 comprises but one example such technique. Another embodiment is described below wherein the void spaces which are created are lined with multi-resistive state material.
By ways of example only, material 33 might comprise multi-resistive state metal oxide-comprising material, further for example comprising two different layers or regions generally regarded as or understood to be active or passive regions, although not necessarily. Example active cell region compositions which comprise metal oxide and can be configured in multi-resistive states include one or a combination of SrxRuyOz, RuxOy, and InxSnyOz. Other examples include MgO, Ta2O5, SrTiO3, ZrOx (perhaps doped with La), and CaMnO3 (doped with one or more of Pr, La, Sr, or Sm). Example passive cell region compositions include one or a combination of Al2O3, TiO2, and HfO2. Regardless, material 33 might comprise additional metal oxide or other materials not comprising metal oxide. Example materials and constructions for a multi-resistive state region comprising one or more layers including a programmable metal oxide-comprising material are described and disclosed in U.S. Pat. Nos. 6,753,561; 7,149,108; 7,067,862; and 7,187,201, as well as in U.S. Patent Application Publication Nos. 2006/0171200 and 2007/0173019. Further as is conventional, multi-resistive state metal oxide-comprising materials encompass filament-type metal oxides, ferroelectric metal oxides and others, and whether existing or yet-to-be developed, as long as resistance of the metal oxide-comprising material can be selectively changed.
Multi-resistive state material 33 may comprise memristive material. In one embodiment, multi-resistive state material 33 may be statically programmable semiconductive material which comprises mobile dopants that are received within a dielectric such that the material is statically programmable between at least two different resistance states. At least one of the states includes localization or gathering of the mobile dopants such that a dielectric region is formed within material 33, and thereby provides a higher resistance state. Further, more than two programmable resistance states may be used. In the context of this document, a “mobile dopant” is a component (other than a free electron) of the semiconductive material that is movable to different locations within said dielectric during normal device operation of repeatedly programming the device between at least two different static states by application of voltage differential to the pair of electrodes. Examples include atom vacancies in an otherwise stoichiometric material, and atom interstitials. Specific example mobile dopants include oxygen atom vacancies in amorphous or crystalline oxides or other oxygen-containing material, nitrogen atom vacancies in amorphous or crystalline nitrides or other nitrogen-containing material, fluorine atom vacancies in amorphous or crystalline fluorides or other fluorine-containing material, and interstitial metal atoms in amorphous or crystalline oxides. More than one type of mobile dopant may be used. Example dielectrics in which the mobile dopants are received include suitable oxides, nitrides, and/or fluorides that are capable of localized electrical conductivity based upon sufficiently high quantity and concentration of the mobile dopants. The dielectric within which the mobile dopants are received may or may not be homogenous independent of consideration of the mobile dopants. Specific example dielectrics include TiO2, AlN, and/or MgF2.
In one embodiment, a multi-resistive state material 33 that comprises oxygen vacancies as mobile dopants may comprise a combination of TiO2 and TiO2-x in at least one programmed resistance state depending on location of the oxygen vacancies and the quantity of the oxygen vacancies in the locations where such are received. In one embodiment, a multi-resistive state material 33 that comprises nitrogen vacancies as mobile dopants may comprise a combination of AlN and AlN1-x in at least one programmed state depending on location of the nitrogen vacancies and the quantity of the nitrogen vacancies in the locations where such are received. In one embodiment, a multi-resistive state material 33 that comprises fluorine vacancies as mobile dopants may comprise a combination of MgF2 and MgF2-x in at least one programmed resistance state depending on location of the fluorine vacancies and the quantity of the fluorine vacancies in the locations where such are received. In one embodiment, the mobile dopants comprise aluminum atom interstitials in a nitrogen-containing material.
A plurality of horizontally oriented global bit lines are formed which have local vertical bit line extensions extending through multiple of the tiers. Individual of the local vertical bit line extensions may be received laterally adjacent programmable spaced blocks received within multiple of the tiers, with the programmable spaced blocks also being received adjacent the horizontally oriented word lines. For example and by way of example only, the openings 86 remaining after the FIG. 25 processing may be used to define and/or form local vertical bit line extensions there-within, and to encompass any of the example circuitries shown in any of the embodiments of FIGS. 1-6 by way of examples. Accordingly, global horizontal bit lines and/or bit line select circuits and/or local vertical bit line extension select circuits (none being shown) may have previously been fabricated elevationally inward of the tier below tier 22. Alternately, one or more of such circuitry components may be fabricated elevationally outward of the example dummy tier 16. Alternately, one or more of such circuitry components may be fabricated elevationally between (not shown) the tiers.
Regardless, the description proceeds with reference to FIGS. 26-31 of example techniques of forming local vertical bit line extensions. Such may comprise one or more conductive materials. Further as stated previously, the multi-resistive state material in the methods and arrays disclosed herein may be of a single general composition or of multiple different compositions. Referring to FIG. 26, a multi-resistive state material 92 has been deposited to line openings 86. Such is simply shown as a thick black line in the drawings for clarity. In one embodiment, an example multi-resistive state material 33 and 92 is a composite of Pr0.7Ca0.3MnO3 and a yttrium-zirconium-oxide, for example with material 33 being Pr0.7Ca0.3MnO3 and material 92 being a yttrium-zirconium-oxide. A conductive layer 94 has been deposited over material 92 to line a remaining portion of openings 86. An example material 94 comprises, consists essentially of, or consists of platinum. Remaining volume of openings 86 have been subsequently filled with a sacrificial material 96, for example silicon dioxide.
Referring to FIG. 27, sacrificial material 96 has been removed back to expose conductive material 94.
Referring to FIG. 28, conductive material 94 has been removed back to expose material 92, and material 92 has then been removed from outwardly of filled openings 86 thereby exposing materials 58 and 82.
Referring to FIG. 29, sacrificial material 96 (not shown) has been removed by etching from being received within openings 86, and another conductive material 98 substituted therefore. An example conductive material is TiN, and which has subsequently been planarized back at least to the outer surfaces of materials 58 and 82 in FIG. 29. Thereby, local vertical bit line extensions 30 comprising conductive material 98 and conductive material 94 have been formed.
FIG. 30 depicts subsequent removal of materials 82 and 72 (not shown). FIG. 31 depicts subsequent fill with insulative material 83 which may be of the same or different composition from that of material 58.
FIG. 32 depicts subsequent processing, by way of example only, whereby bit lines 26 have been fabricated which include a plurality of horizontally oriented global bit lines 28 in electrical connection with local vertical bit line extensions 30 which extend through multiple of the tiers of memory cells. Global horizontal bit lines 28 are shown as broken for clarity in the figure.
The embodiment described above in connection with FIG. 32 is but one example of an array of vertically stacked tiers of non-volatile cross point memory cells. Such comprises a plurality of horizontally oriented word lines within individual tiers of memory cells. Such also encompasses a plurality of horizontally oriented global bit lines having local vertical bit line extensions extending through multiple of the tiers of memory cells. Individual of the memory cells comprise multi-resistive state material received between one of the horizontal word lines and one of the local vertical bit line extensions where such cross. The multi-resistive state material comprises a composite of two different composition materials. One of the two materials of the composite comprises a plurality of spaced blocks 90 received along individual of the local vertical bit line extensions, with individual of the blocks being associated with individual of the memory cells. The other material 92 of the composite is of C-shape in a horizontal cross section and extends continuously along individual of the local vertical bit line extensions through multiple of the tiers.
An alternate example embodiment of an array construction 54 e, and of a method of forming an array, is next described with respect to FIGS. 33-36. Like numerals from the FIGS. 10-32 embodiments have been utilized where appropriate, with differences being indicated with the suffix “e” or with different numerals. Array construction 54 e is the same as that of construction 54 in FIG. 23, but shown at a different cross-sectional cut and without showing the partial construction shown at the far right in FIG. 23. In FIG. 33, the depicted front x-axis cut is along the front edge of an opening 86, the y-axis cut is along a left edge of an opening 86, and spaced sacrificial blocks 78 are thereby viewable in the depicted front face of construction 54 e. Accordingly, spaced sacrificial blocks 78 are encapsulated by insulative material 80 and 58, but for openings 86 which have been etched to expose spaced sacrificial blocks 78.
Referring to FIG. 34, exposed spaced sacrificial blocks 78 (not shown) have been etched selectively relative to insulative materials 80 and 58, thereby leaving void spaces 88 there-behind. Accordingly, substrate fragment 54 e in FIG. 34 is of the same construction as that of FIG. 24, but only showing a portion thereof at the different above-identified x and y cuts.
Referring to FIG. 35, void spaces 88 have been lined with multi-resistive state material 33 e. Such may be homogenous or non-homogenous, and comprise any of the classifications and specific materials identified above, by way of examples. In one embodiment and as shown, multi-resistive state material 33 e which lines void spaces 88 is formed to be continuous between the depicted tiers.
Referring to FIG. 36, conductive material 94 e has been deposited within lined void spaces 88 and planarized back, thereby forming what will be vertical bit line extensions 30 e which extend through multiple tiers of the memory cells. Individual of local vertical bit line extensions 30 e comprise portions which are received laterally adjacent lined void spaces 88, now filled with material 94 e, received within multiple of the tiers. In one embodiment and as shown in FIG. 36, conductive material 94 e has been deposited or formed to be homogenous and continuous within the openings within which the local vertical bit line extensions 30 e are formed. Alternately, by way of example, the local vertical bit line extensions might be formed by etching of the deposited conductive material followed by deposition of a conductor material of different composition from that of the conductive material. Such is shown by way of example only in FIG. 37 with respect to an array/substrate fragment 54 f. Like numerals from the above-described embodiments have been utilized where appropriate, with differences being indicated with the suffix “f” or with different numerals. In FIG. 37, conductive material 94 f has been etched, and in one embodiment has been etched in a substantially anisotropic manner as shown. A conductor material 95 of different composition from that of 94 f has been deposited, and materials 94 f and 95 then planarized back, thereby forming local vertical bit line extensions 30 f.
A plurality of horizontally oriented global bit lines would subsequently be formed in electrical connection with local vertical bit line extensions 30 e/30 f. FIG. 38 depicts such an example with respect to substrate fragment 54 f in the formation of bit lines 26 f having horizontally oriented global bit lines 28 having local vertical bit line extensions 30 f. Hard-masking material 82 (not shown) may be replaced with another material, for example an insulative oxide material 99 as shown.
An embodiment of the invention encompasses an array of vertically stacked tiers of non-volatile cross point memory cells. Such an array comprises a plurality of horizontally oriented word lines within individual tiers of memory cells. A plurality of horizontally oriented global bit lines are provided which have local vertical bit line extensions extending through multiple of the tiers of memory cells. Individual of the memory cells comprise multi-resistive state material received between one of the horizontal word lines and one of the local vertical bit line extensions where such cross. Such crossing horizontal word lines and local vertical bit line extensions comprise opposing conductive electrodes of individual memory cells where such cross, with a multi-resistive state material comprising a C-shape in a vertical cross section. For example, either of the embodiments of FIGS. 36 and 37 when incorporating horizontally oriented global bit lines show multi-resistive state material 33 e as comprising a C-shape in a vertical cross section taken through former void spaces 88.
In one embodiment, the multi-resistive state material extends continuously along individual of the local vertical bit line extensions through multiple of the tiers. In one embodiment, the multi-resistive state material comprises a C-shape in a horizontal cross section. For example, either of the embodiments of FIGS. 36 and 37 have multi-resistive state material 33 e in a C-shape in a horizontal cross section taken through former individual void spaces 88.
In one embodiment, the local vertical bit line extensions laterally fill center volume of the C-shape. In one embodiment, the local vertical bit line extensions are individually homogenous, for example as shown in the embodiment of FIG. 36. In one embodiment, the individual horizontally oriented global bit lines and local vertical bit line extensions are not homogenous, for example as shown in the embodiment of FIGS. 37 and 38.
An alternate embodiment array construction 54 g is next described with reference to FIGS. 39-45. Like numerals from the FIGS. 10-38 embodiments have been utilized where appropriate, with differences being indicated with the suffix “g” or with different numerals.
Referring to FIG. 39, array construction 54 g comprises conductive material 165 received between insulative material 58 at tiers 16, 18, 20 and 22. Tier 16 may or may not be a dummy tier in this embodiment. Conductive material 165 may or may not be homogenous, with an example being titanium nitride. Such is shown as having been patterned to form a stair step-like construction for providing horizontal area for later etching electrical contacts (not shown) to conductive material 165. Stair-stepping may also be used with any of the above-described embodiments. Regardless, an insulative material 170 and an adjacent hardmask 172 have been formed. Material 170 may be the same as or different from the composition of material 58. An example material 172 is silicon nitride.
Referring to FIG. 40, horizontally elongated trenches 174 have been etched as shown. Thereby, a plurality of horizontally oriented word lines 176 have been formed within individual tiers 16, 18, 20 and 22.
Referring to FIG. 41, trenches 174 have been filled with insulative material 178 followed by planarizing such back, for example by chemical mechanical polishing, to stop on hardmask material 172. Material 178 may be the same as or different from the composition of materials 170 and/or 58.
Referring to FIG. 42, a patterned hardmask 180 has been formed as shown. An example material 180 is silicon nitride. Regardless, material 180 may be the same or different as underlying hardmask material 172.
Subsequent processing is described wherein horizontally oriented global bit lines will be formed using a trench and refill technique. Alternately by way of example only, such may be formed by deposition and subtractive etch techniques. Referring to FIG. 43, a material 182 has been deposited, and within which trenches will be subsequently formed and filled. An example material 182 is silicon dioxide.
Referring to FIG. 44, a suitable mask (not shown) has been used to form mask openings (not shown) of an outline corresponding to trenches 184. Such mask openings run orthogonal to the openings within hardmask 180. Accordingly, subsequent etching of materials 182, 178, and 58 forms trenches 184 in material 182 and openings 186 extending downwardly there-from within materials 8 and 178 between every other immediately adjacent pairs of horizontally oriented word lines 176.
Referring to FIG. 45, multi-resistive state material 190 has been deposited to line trenches 184 and openings 186. Such may or may not be homogenous, with any of the multi-resistive state materials as described above being examples. Thereafter, conductive material 192 has been deposited to overfill remaining volume of trenches 184 and openings 186. Subsequently, materials 190 and 192 have been planarized back to at least the elevationally outermost surfaces of material 182. Such forms bit lines 194 which comprise a plurality of horizontally oriented global bit lines 196 having local vertical bit line extensions 198 extending therefrom through multiple of the tiers of memory cells, with individual of such memory cells being depicted with phantom circles 200.
FIG. 46 illustrates an embodiment of a computer system 400. Computer system 400 includes a monitor 401 or other communication output device, a keyboard 402 or other communication input device, and a motherboard 404. Motherboard 404 may carry a microprocessor 406 or other data processing unit, and at least one memory device 408. Memory device 408 may comprise an array of memory cells, and such array may be coupled with addressing circuitry for accessing individual memory cells in the array. Further, the memory cell array may be coupled to a read circuit for reading data from the memory cells. The addressing and read circuitry may be utilized for conveying information between memory device 408 and processor 406. Such is illustrated in the block diagram of the motherboard 404 shown in FIG. 47. In such block diagram, the addressing circuitry is illustrated as 410 and the read circuitry is illustrated as 412.
Processor device 406 may correspond to a processor module, and associated memory utilized with the module may comprise various structures of the types described with reference to FIGS. 1-45.
Memory device 408 may correspond to a memory module, and may comprise various structures of the types described with reference to FIGS. 1-45.
FIG. 48 illustrates a simplified block diagram of a high-level organization of an electronic system 700. System 700 may correspond to, for example, a computer system, a process control system, or any other system that employs a processor and associated memory. Electronic system 700 has functional elements, including a processor 702, a control unit 704, a memory device unit 706 and an input/output (I/O) device 708 (it is to be understood that the system may have a plurality of processors, control units, memory device units and/or I/O devices in various embodiments). Generally, electronic system 700 will have a native set of instructions that specify operations to be performed on data by the processor 702 and other interactions between the processor 702, the memory device unit 706 and the I/O device 708. The control unit 704 coordinates all operations of the processor 702, the memory device 706 and the I/O device 708 by continuously cycling through a set of operations that cause instructions to be fetched from the memory device 706 and executed. The memory device 706 may include various structures of the types described with reference to FIGS. 1-45.
FIG. 49 is a simplified block diagram of an electronic system 800. The system 800 includes a memory device 802 that has an array of memory cells 804, address decoder 806, row access circuitry 808, column access circuitry 810, read/write control circuitry 812 for controlling operations, and input/output circuitry 814. The memory device 802 further includes power circuitry 816, and sensors 820, such as current sensors for determining whether a memory cell is in a low-threshold conducting state or in a high-threshold non-conducting state. The illustrated power circuitry 816 includes power supply circuitry 880, circuitry 882 for providing a reference voltage, circuitry 884 for providing a first interconnection line (for instance, a word line) with pulses, circuitry 886 for providing a second interconnection line (for instance, another word line) with pulses, and circuitry 888 for providing a third interconnection line (for instance, a bit line) with pulses. The system 800 also includes a processor 822, or memory controller for memory accessing.
The memory device 802 receives control signals from the processor 822 over wiring or metallization lines. The memory device 802 is used to store data which is accessed via I/O lines. At least one of the processor 822 or memory device 802 may include various structures of the types described with reference to FIGS. 1-45.
The various electronic systems may be fabricated in single-package processing units, or even on a single semiconductor chip, in order to reduce the communication time between the processor and the memory device(s).
The electronic systems may be used in memory modules, device drivers, power modules, communication modems, processor modules, and application-specific modules, and may include multilayer, multichip modules.
The electronic systems may be any of a broad range of systems, such as clocks, televisions, cell phones, personal computers, automobiles, industrial control systems, aircraft, etc
In compliance with the statute, the subject matter disclosed herein has been described in language more or less specific as to structural and methodical features. It is to be understood, however, that the claims are not limited to the specific features shown and described, since the means herein disclosed comprise example embodiments. The claims are thus to be afforded full scope as literally worded, and to be appropriately interpreted in accordance with the doctrine of equivalents.

Claims (17)

The invention claimed is:
1. An array of vertically stacked tiers of non-volatile cross point memory cells, comprising:
a plurality of horizontally oriented word lines within individual tiers of memory cells;
a plurality of horizontally oriented global bit lines having local vertical bit line extensions extending through multiple of the tiers of memory cells;
individual of the memory cells comprising multi-resistive state material received between one of the horizontally oriented word lines and one of the local vertical bit line extensions where such cross, with such ones of the horizontally oriented word lines and local vertical bit line extensions comprising opposing conductive electrodes of individual memory cells where such cross; and
a plurality of bit line select circuits individually electrically and physically connected to individual of the local vertical bit line extensions and configured to supply a voltage potential to an individual of the global horizontal bit lines.
2. The array of claim 1 wherein the global horizontal bit lines are received elevationally outward of the tiers of memory cells.
3. The array of claim 1 wherein the global horizontal bit lines are received elevationally inward of the tiers of memory cells.
4. The array of claim 1 wherein the horizontally oriented word lines within individual of the tiers comprise global word lines having local horizontal word line extensions, the individual memory cells comprising multi-resistive state material received between one of the local horizontal word line extensions and one of the local vertical bit line extensions where such cross, with such ones of the local horizontal word line extensions and local vertical bit line extensions comprising opposing conductive electrodes of the individual memory cells where such cross.
5. The array of claim 4 wherein the local horizontal word line extensions extend orthogonally from the global word lines.
6. The array of claim 4 wherein the global word lines run parallel relative to one another and the global horizontal bit lines run parallel relative to one another, the global word lines running parallel with the global horizontal bit lines.
7. The array of claim 1 wherein individual of the global horizontal bit lines have only a single bit line select circuit that electrically connects thereto through only a single vertical bit line extension.
8. The array of claim 1 wherein individual of the vertical bit line extensions have two opposing longitudinal ends one of which electrically and physically connects with one of the horizontal global bit lines, individual of the bit line select circuits physically connecting the other of the two longitudinal ends of individual of the local vertical bit line extensions.
9. The array of claim 1 wherein at least one of the tiers of memory cells is received elevationally between individual of the global horizontal bit lines and the physical connection of individual of the bit line select circuits with individual of the local vertical bit line extensions.
10. The array of claim 9 wherein all tiers of the memory cells are received elevationally between individual of the global horizontal bit lines and the physical connection of individual of the bit line select circuits with individual of the local vertical bit line extensions.
11. An array of vertically stacked tiers of non-volatile cross point memory cells, comprising:
a plurality of horizontally oriented word lines within individual tiers of memory cells;
a plurality of horizontally oriented global bit lines having local vertical bit line extensions extending through multiple of the tiers of memory cells;
individual of the memory cells comprising multi-resistive state material received between one of the horizontally oriented word lines and one of the local vertical bit line extensions where such cross, with such ones of the horizontally oriented word lines and local vertical bit line extensions comprising opposing conductive electrodes of individual memory cells where such cross; and
a plurality of bit line select circuits individually electrically and physically connected to individual of the local vertical bit line extensions and configured to supply a voltage potential to multiple of the local vertical bit line extensions.
12. The array of claim 11 wherein the individual bit line select circuits are configured to supply a voltage potential to multiple of the local vertical bit line extensions through the individual of the global horizontal bit lines from which the individual local vertical bit line extension extends.
13. A method of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point resistive oxide memory cells, wherein individual of the memory cells comprise multi-resistive state metal oxide-comprising material received between a word line and a bit line where such cross, comprising:
pulling one of a plurality of horizontally oriented word lines within individual tiers of memory cells to a first voltage potential;
electrically connecting one of a plurality of horizontally oriented global bit lines having local vertical bit line extensions extending through multiple of the tiers of memory cells to a voltage source via one of the local vertical bit line extensions, thereby pulling the one bit line to a second voltage potential; and
based on the pulling of the one of the horizontally oriented word lines to the first voltage potential and the pulling of the one of the horizontally oriented global bit lines to the second voltage potential, determining a data value stored by one of the memory cells of the array.
14. The method of claim 13 wherein the electrically connecting to a voltage source is with only one of the local vertical bit line extensions.
15. The method of claim 13 comprising a bit line select circuit physically connected between the one local vertical bit line extension and the voltage source, said electrically connecting being accomplished at least in part by operation of said bit line select circuit.
16. The method of claim 13 wherein the determining of the data value is based on a current flowing through the one of the memory cells, the current resulting from a difference between the first voltage potential and the second voltage potential.
17. The method of claim 13 wherein the first voltage potential is greater than the second voltage potential.
US12/765,598 2010-04-22 2010-04-22 Arrays of vertically stacked tiers of non-volatile cross point memory cells, methods of forming arrays of vertically stacked tiers of non-volatile cross point memory cells, and methods of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells Active 2031-04-08 US8427859B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US12/765,598 US8427859B2 (en) 2010-04-22 2010-04-22 Arrays of vertically stacked tiers of non-volatile cross point memory cells, methods of forming arrays of vertically stacked tiers of non-volatile cross point memory cells, and methods of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells
US13/850,348 US8743589B2 (en) 2010-04-22 2013-03-26 Arrays of vertically stacked tiers of non-volatile cross point memory cells and methods of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells
US14/255,283 US9036402B2 (en) 2010-04-22 2014-04-17 Arrays of vertically stacked tiers of non-volatile cross point memory cells

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/765,598 US8427859B2 (en) 2010-04-22 2010-04-22 Arrays of vertically stacked tiers of non-volatile cross point memory cells, methods of forming arrays of vertically stacked tiers of non-volatile cross point memory cells, and methods of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/850,348 Division US8743589B2 (en) 2010-04-22 2013-03-26 Arrays of vertically stacked tiers of non-volatile cross point memory cells and methods of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells

Publications (2)

Publication Number Publication Date
US20110261606A1 US20110261606A1 (en) 2011-10-27
US8427859B2 true US8427859B2 (en) 2013-04-23

Family

ID=44815692

Family Applications (3)

Application Number Title Priority Date Filing Date
US12/765,598 Active 2031-04-08 US8427859B2 (en) 2010-04-22 2010-04-22 Arrays of vertically stacked tiers of non-volatile cross point memory cells, methods of forming arrays of vertically stacked tiers of non-volatile cross point memory cells, and methods of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells
US13/850,348 Active US8743589B2 (en) 2010-04-22 2013-03-26 Arrays of vertically stacked tiers of non-volatile cross point memory cells and methods of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells
US14/255,283 Active US9036402B2 (en) 2010-04-22 2014-04-17 Arrays of vertically stacked tiers of non-volatile cross point memory cells

Family Applications After (2)

Application Number Title Priority Date Filing Date
US13/850,348 Active US8743589B2 (en) 2010-04-22 2013-03-26 Arrays of vertically stacked tiers of non-volatile cross point memory cells and methods of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells
US14/255,283 Active US9036402B2 (en) 2010-04-22 2014-04-17 Arrays of vertically stacked tiers of non-volatile cross point memory cells

Country Status (1)

Country Link
US (3) US8427859B2 (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140226392A1 (en) * 2010-04-22 2014-08-14 Micron Technology, Inc. Arrays Of Vertically Stacked Tiers Of Non-Volatile Cross Point Memory Cells and Methods Of Reading A Data Value Stored By An Array Of Vertically Stacked Tiers Of Non-Volatile Cross Point Memory Cells
US9111788B2 (en) 2008-06-18 2015-08-18 Micron Technology, Inc. Memory device constructions, memory cell forming methods, and semiconductor construction forming methods
US9343145B2 (en) 2008-01-15 2016-05-17 Micron Technology, Inc. Memory cells, memory cell programming methods, memory cell reading methods, memory cell operating methods, and memory devices
US9343665B2 (en) 2008-07-02 2016-05-17 Micron Technology, Inc. Methods of forming a non-volatile resistive oxide memory cell and methods of forming a non-volatile resistive oxide memory array
US9368601B2 (en) 2014-02-28 2016-06-14 Sandisk Technologies Inc. Method for forming oxide below control gate in vertical channel thin film transistor
US9412421B2 (en) 2010-06-07 2016-08-09 Micron Technology, Inc. Memory arrays
US9419058B1 (en) 2015-02-05 2016-08-16 Sandisk Technologies Llc Memory device with comb-shaped electrode having a plurality of electrode fingers and method of making thereof
US9424920B2 (en) 2011-02-24 2016-08-23 Micron Technology, Inc. Memory cells, methods of forming memory cells, and methods of programming memory cells
US9583539B2 (en) 2014-08-19 2017-02-28 Sandisk Technologies Llc Word line connection for memory device and method of making thereof
US9698202B2 (en) 2015-03-02 2017-07-04 Sandisk Technologies Llc Parallel bit line three-dimensional resistive random access memory
US20210233961A1 (en) * 2019-04-16 2021-07-29 Micron Technology, Inc. Multi-component cell architectures for a memory device
US11895850B2 (en) 2021-03-12 2024-02-06 Samsung Electronics Co., Ltd. Variable resistance memory device

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8211743B2 (en) 2008-05-02 2012-07-03 Micron Technology, Inc. Methods of forming non-volatile memory cells having multi-resistive state material between conductive electrodes
US8437174B2 (en) * 2010-02-15 2013-05-07 Micron Technology, Inc. Memcapacitor devices, field effect transistor devices, non-volatile memory arrays, and methods of programming
US8416609B2 (en) 2010-02-15 2013-04-09 Micron Technology, Inc. Cross-point memory cells, non-volatile memory arrays, methods of reading a memory cell, methods of programming a memory cell, methods of writing to and reading from a memory cell, and computer systems
US8411477B2 (en) 2010-04-22 2013-04-02 Micron Technology, Inc. Arrays of vertically stacked tiers of non-volatile cross point memory cells, methods of forming arrays of vertically stacked tiers of non-volatile cross point memory cells, and methods of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells
US8634224B2 (en) * 2010-08-12 2014-01-21 Micron Technology, Inc. Memory cells, non-volatile memory arrays, methods of operating memory cells, methods of writing to and reading from a memory cell, and methods of programming a memory cell
US8351242B2 (en) 2010-09-29 2013-01-08 Micron Technology, Inc. Electronic devices, memory devices and memory arrays
US8759809B2 (en) 2010-10-21 2014-06-24 Micron Technology, Inc. Integrated circuitry comprising nonvolatile memory cells having platelike electrode and ion conductive material layer
US8796661B2 (en) 2010-11-01 2014-08-05 Micron Technology, Inc. Nonvolatile memory cells and methods of forming nonvolatile memory cell
US8526213B2 (en) 2010-11-01 2013-09-03 Micron Technology, Inc. Memory cells, methods of programming memory cells, and methods of forming memory cells
JP5708929B2 (en) * 2010-12-13 2015-04-30 ソニー株式会社 Storage element, manufacturing method thereof, and storage device
CN104040633B (en) 2010-12-14 2017-06-13 桑迪士克科技有限责任公司 For the framework of the three dimensional nonvolatile memory with vertical bit lines
US8431458B2 (en) 2010-12-27 2013-04-30 Micron Technology, Inc. Methods of forming a nonvolatile memory cell and methods of forming an array of nonvolatile memory cells
US8791447B2 (en) 2011-01-20 2014-07-29 Micron Technology, Inc. Arrays of nonvolatile memory cells and methods of forming arrays of nonvolatile memory cells
US8537592B2 (en) 2011-04-15 2013-09-17 Micron Technology, Inc. Arrays of nonvolatile memory cells and methods of forming arrays of nonvolatile memory cells
US8891277B2 (en) * 2011-12-07 2014-11-18 Kabushiki Kaisha Toshiba Memory device
US8711597B2 (en) * 2012-01-26 2014-04-29 HGST Netherlands B.V. 3D solid-state arrangement for solid state memory
GB2526453A (en) * 2012-01-26 2015-11-25 HGST Netherlands BV A 3D solid-state arrangement for solid-state memory
US8865535B2 (en) 2012-04-13 2014-10-21 Sandisk Technologies Inc. Fabricating 3D non-volatile storage with transistor decoding structure
US8929120B2 (en) 2012-08-29 2015-01-06 Micron Technology, Inc. Diode segmentation in memory
US20140175371A1 (en) * 2012-12-21 2014-06-26 Elijah V. Karpov Vertical cross-point embedded memory architecture for metal-conductive oxide-metal (mcom) memory elements
US8971092B2 (en) * 2013-02-28 2015-03-03 Kabushiki Kaisha Toshiba Semiconductor memory device
US9165933B2 (en) * 2013-03-07 2015-10-20 Sandisk 3D Llc Vertical bit line TFT decoder for high voltage operation
US9105468B2 (en) * 2013-09-06 2015-08-11 Sandisk 3D Llc Vertical bit line wide band gap TFT decoder
US9484092B2 (en) * 2014-05-20 2016-11-01 Sandisk Technologies Llc Intrinsic vertical bit line architecture
US10283171B2 (en) * 2015-03-30 2019-05-07 Taiwan Semicondutor Manufacturing Company, Ltd. Stacked die semiconductor device with separate bit line and bit line bar interconnect structures
US11355554B2 (en) 2020-05-08 2022-06-07 Micron Technology, Inc. Sense lines in three-dimensional memory arrays, and methods of forming the same
US11501815B2 (en) * 2021-02-09 2022-11-15 Micron Technology, Inc. Sensing scheme for a memory with shared sense components
US11744086B2 (en) 2021-02-09 2023-08-29 Micron Technology, Inc. Methods of forming electronic devices, and related electronic devices

Citations (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4715685A (en) 1985-03-04 1987-12-29 Energy Conversion Devices, Inc. Liquid crystal display having potential source in a diode ring
US4964080A (en) 1990-03-09 1990-10-16 Intel Corporation Three-dimensional memory cell with integral select transistor
US5049970A (en) 1987-11-17 1991-09-17 Sharp Kabushiki Kaisha High resistive element
US5122476A (en) 1991-01-28 1992-06-16 Micron Technology, Inc. Double DRAM cell
US20020018355A1 (en) 1998-11-16 2002-02-14 Johnson Mark G. Vertically stacked field programmable nonvolatile memory and method of fabrication
US20020196695A1 (en) 2001-05-30 2002-12-26 Stmicroelectronics S.R.L. Column multiplexer for semiconductor memories
US6524867B2 (en) 2000-12-28 2003-02-25 Micron Technology, Inc. Method for forming platinum-rhodium stack as an oxygen barrier
US20030174570A1 (en) 2002-03-12 2003-09-18 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device having potential control circuit
US20030174042A1 (en) 2000-11-01 2003-09-18 Masakazu Aono Point contact array, not circuit, and electronic circuit comprising the same
US20030218929A1 (en) 2002-05-24 2003-11-27 Heiko Fibranz Circuit configuration having a flow controller, integrated memory device, and test configuration having such a circuit configuration
US20030218902A1 (en) 2002-05-22 2003-11-27 Perner Frederick A. Triple sample sensing for magnetic random access memory (MRAM) with series diodes
US20030223283A1 (en) 2002-04-03 2003-12-04 Mitsubishi Denki Kabushiki Kaisha Magnetic memory device
US20040002186A1 (en) 2002-06-27 2004-01-01 Vyvoda Michael A. Electrically isolated pillars in active devices
US6687147B2 (en) 2002-04-02 2004-02-03 Hewlett-Packard Development Company, L.P. Cubic memory array with diagonal select lines
US6693821B2 (en) 2001-06-28 2004-02-17 Sharp Laboratories Of America, Inc. Low cross-talk electrically programmable resistance cross point memory
US20040100835A1 (en) 2002-11-27 2004-05-27 Nec Corporation Magnetic memory cell and magnetic random access memory using the same
US20040108528A1 (en) 2001-06-28 2004-06-10 Sharp Laboratories Of America, Inc. Cross-point resistor memory array and method of fabrication
US6753562B1 (en) 2003-03-27 2004-06-22 Sharp Laboratories Of America, Inc. Spin transistor magnetic random access memory device
US6753561B1 (en) 2002-08-02 2004-06-22 Unity Semiconductor Corporation Cross point memory array using multiple thin films
US20040124407A1 (en) 2000-02-11 2004-07-01 Kozicki Michael N. Scalable programmable structure, an array including the structure, and methods of forming the same
US6778421B2 (en) 2002-03-14 2004-08-17 Hewlett-Packard Development Company, Lp. Memory device array having a pair of magnetic bits sharing a common conductor line
US6785159B2 (en) 2002-08-29 2004-08-31 Micron Technology, Inc. Combination etch stop and in situ resistor in a magnetoresistive memory and methods for fabricating same
US6806531B1 (en) 2003-04-07 2004-10-19 Silicon Storage Technology, Inc. Non-volatile floating gate memory cell with floating gates formed in cavities, and array thereof, and method of formation
US20040245547A1 (en) 2003-06-03 2004-12-09 Hitachi Global Storage Technologies B.V. Ultra low-cost solid-state memory
US6834008B2 (en) 2002-08-02 2004-12-21 Unity Semiconductor Corporation Cross point memory array using multiple modes of operation
US20050032100A1 (en) 2003-06-24 2005-02-10 California Institute Of Technology Electrochemical method and resulting structures for attaching molecular and biomolecular structures to semiconductor micro and nanostructures
US20050054119A1 (en) 2003-09-05 2005-03-10 Sharp Laboratories Of America, Inc. Buffered-layer memory cell
US20050128799A1 (en) 2003-12-05 2005-06-16 Renesas Technology Corp. Semiconductor integrated circuit device
JP2005175457A (en) 2003-12-08 2005-06-30 Sharp Corp Rram memory cell electrode
US20050161747A1 (en) 2004-01-26 2005-07-28 Hsiang-Lan Lung Thin film phase-change memory
US6930324B2 (en) 2002-12-31 2005-08-16 Infineon Technologies Ag Device architecture and process for improved vertical memory arrays
US6940113B2 (en) 2001-06-28 2005-09-06 Sharp Laboratories Of America, Inc. Trench isolated cross-point memory array
US6946702B2 (en) 2003-06-03 2005-09-20 Winbond Electronics Corp. Resistance random access memory
US20050205943A1 (en) 2004-03-17 2005-09-22 Sanyo Electric Co., Ltd. Memory and method of fabricating the same
US6955992B2 (en) 2003-09-30 2005-10-18 Sharp Laboratories Of America, Inc. One mask PT/PCMO/PT stack etching process for RRAM applications
US6958273B2 (en) 2003-03-21 2005-10-25 Silicon Storage Technology, Inc. Self-aligned method of forming a semiconductor memory array of floating gate memory cells with buried floating gate, pointed floating gate and pointed channel region, and a memory array made thereby
US6961258B2 (en) 2001-06-30 2005-11-01 Ovonyx, Inc. Pore structure for programmable device
US20050250281A1 (en) 2004-04-26 2005-11-10 Infineon Technologies Ag Method for manufacturing resistively switching memory devices
US6970375B2 (en) 2002-08-02 2005-11-29 Unity Semiconductor Corporation Providing a reference voltage to a cross point memory array
US20050287741A1 (en) 2004-06-28 2005-12-29 Yi Ding Nonvolatile memory fabrication methods in which a dielectric layer underlying a floating gate layer is spaced from an edge of an isolation trench and/or an edge of the floating gate layer
JP2006032729A (en) 2004-07-16 2006-02-02 Matsushita Electric Ind Co Ltd Nonvolatile memory and its manufacturing method
JP2006040981A (en) 2004-07-22 2006-02-09 Sharp Corp High density soi crosspoint memory array and its manufacturing method
US20060035451A1 (en) 2003-05-20 2006-02-16 Sharp Laboratories Of America, Inc. High-density SOI cross-point memory fabricating method
US7002197B2 (en) 2004-01-23 2006-02-21 Hewlett-Packard Development Company, L.P. Cross point resistive memory array
US7005350B2 (en) 2002-12-31 2006-02-28 Matrix Semiconductor, Inc. Method for fabricating programmable memory array structures incorporating series-connected transistor strings
US20060046509A1 (en) 2004-08-31 2006-03-02 Samsung Electronics Co., Ltd. Method of forming a phase change memory device having a small area of contact
US7009278B2 (en) 2003-11-24 2006-03-07 Sharp Laboratories Of America, Inc. 3d rram
US20060062049A1 (en) 2004-09-17 2006-03-23 Seok-Heon Lee Methods for programming user data and confirmation information in nonvolatile memory devices
US7029925B2 (en) 2002-01-31 2006-04-18 Texas Instruments Incorporated FeRAM capacitor stack etch
US20060097238A1 (en) 2002-07-26 2006-05-11 Laurent Breuil Non-volatile memory element and production method thereof and storage memory arrangement
US20060099813A1 (en) 2004-10-21 2006-05-11 Sharp Laboratories Of America, Inc. Chemical mechanical polish of PCMO thin films for RRAM applications
US20060104111A1 (en) 2004-11-17 2006-05-18 Spansion Llc Diode array architecture for addressing nanoscale resistive memory arrays
US7050316B1 (en) 2004-03-09 2006-05-23 Silicon Storage Technology, Inc. Differential non-volatile content addressable memory cell and array using phase changing resistor storage elements
US20060110878A1 (en) 2004-11-22 2006-05-25 Macronix International Co., Ltd. Side wall active pin memory and manufacturing method
US7067862B2 (en) 2002-08-02 2006-06-27 Unity Semiconductor Corporation Conductive memory device with conductive oxide electrodes
US20060160304A1 (en) 2005-01-19 2006-07-20 Sharp Laboratories Of America, Inc. Non-volatile memory resistor cell with nanotip electrode
US20060170027A1 (en) 2005-01-31 2006-08-03 Samsung Electronics Co., Ltd. Nonvolatile memory device made of resistance material and method of fabricating the same
US20060171200A1 (en) 2004-02-06 2006-08-03 Unity Semiconductor Corporation Memory using mixed valence conductive oxides
US20060181920A1 (en) 2005-02-09 2006-08-17 Klaus-Dieter Ufert Resistive memory element with shortened erase time
US7149108B2 (en) 2002-08-02 2006-12-12 Unity Semiconductor Corporation Memory array of a non-volatile RAM
US20060284242A1 (en) 2005-06-07 2006-12-21 Jo Sang-Youn Non-volatile memory device having floating gate and methods forming the same
US20060286709A1 (en) 2005-06-17 2006-12-21 Macronix International Co., Ltd. Manufacturing methods for thin film fuse phase change ram
US20070010082A1 (en) 2005-07-05 2007-01-11 Cay-Uwe Pinnow Structure and method for manufacturing phase change memories with particular switching characteristics
US20070015330A1 (en) 2005-07-18 2007-01-18 Sharp Laboratories Of America, Inc. Metal/semiconductor/metal (MSM) back-to-back Schottky diode
US7167387B2 (en) 2003-10-23 2007-01-23 Matsushita Electric Industrial Co., Ltd. Variable resistance element, method of manufacturing the element, memory containing the element, and method of driving the memory
US7180160B2 (en) 2004-07-30 2007-02-20 Infineon Technologies Ag MRAM storage device
US20070045615A1 (en) 2005-08-31 2007-03-01 Samsung Electronics Co., Ltd. Non-volatile organic resistance random access memory device and method of manufacturing the same
US20070048990A1 (en) 2005-08-30 2007-03-01 Sharp Laboratories Of America, Inc. Method of buffer layer formation for RRAM thin film deposition
US7187201B1 (en) 2004-06-24 2007-03-06 Xilinx, Inc. Programmable logic device suitable for implementation in molecular electronics
US7193267B2 (en) 2001-06-28 2007-03-20 Sharp Laboratories Of America, Inc. Cross-point resistor memory array
US20070109835A1 (en) 2005-11-17 2007-05-17 Sharp Laboratories Of America, Inc. Cross-point RRAM memory array having low bit line crosstalk
US20070123039A1 (en) 2004-11-03 2007-05-31 Elkins Patricia C Electroless plating of metal caps for chalcogenide-based memory devices
US20070121369A1 (en) 2004-05-27 2007-05-31 Thomas Happ Resistive memory cell arrangement and a semiconductor memory including the same
US20070132049A1 (en) 2005-12-12 2007-06-14 Stipe Barry C Unipolar resistance random access memory (RRAM) device and vertically stacked architecture
US7233024B2 (en) 2003-03-31 2007-06-19 Sandisk 3D Llc Three-dimensional memory device incorporating segmented bit line memory array
US20070165434A1 (en) 2006-01-19 2007-07-19 Samsung Electronics Co., Ltd. Resistive RAM having at least one varistor and methods of operating the same
US7247876B2 (en) 2000-06-30 2007-07-24 Intel Corporation Three dimensional programmable device and method for fabricating the same
CN101005113A (en) 2005-12-27 2007-07-25 旺宏电子股份有限公司 Method for forming self-aligned thermal isolation cell for a variable resistance memory array
US20070173019A1 (en) 2006-01-09 2007-07-26 Macronix International Co., Ltd. Programmable Resistive Ram and Manufacturing Method
US7273791B2 (en) 2000-11-09 2007-09-25 Micron Technology, Inc. Methods for forming a conductive structure using oxygen diffusion through one metal layer to oxidize a second metal layer
US20070224770A1 (en) 2006-03-25 2007-09-27 Makoto Nagashima Systems and methods for fabricating self-aligned memory cell
US20070231988A1 (en) 2006-03-09 2007-10-04 Samsung Electronics Co., Ltd. Method of fabricating nanowire memory device and system of controlling nanowire formation used in the same
CN101051670A (en) 2007-04-19 2007-10-10 复旦大学 Preparing method for RRAM to avoid forming phenomenon using CuxO as storage medium
US20070246795A1 (en) 2006-04-20 2007-10-25 Micron Technology, Inc. Dual depth shallow trench isolation and methods to form same
US20070257257A1 (en) 2006-02-20 2007-11-08 Samsung Electronics Co., Ltd. Nonvolatile memory device including amorphous alloy metal oxide layer and method of manufacturing the same
KR20070111840A (en) 2006-05-19 2007-11-22 삼성전자주식회사 Nonvolatile memory device using oxygen-deficient metal oxide layer and the fabrication method
US20070268739A1 (en) 2006-03-08 2007-11-22 Samsung Electronics Co., Ltd. Nanowire memory device and method of manufacturing the same
US20070268742A1 (en) 2006-05-18 2007-11-22 Micron Technology, Inc. Memory Architecture and Cell Design Employing Two Access Transistors
US20070285965A1 (en) 2007-06-11 2007-12-13 Kabushiki Kaisha Toshiba Resistance change memory device
KR20070118865A (en) 2006-06-13 2007-12-18 광주과학기술원 Non-volatile memory device and manufacturing method and apparatus therefor
US20070295950A1 (en) 2006-06-27 2007-12-27 Samsung Electronics Co., Ltd. Variable resistance random access memory device and a method of fabricating the same
US20080012064A1 (en) 2006-04-21 2008-01-17 Samsung Electronics Co., Ltd. Nonvolatile memory device and methods of operating and fabricating the same
US20080014750A1 (en) 2006-07-14 2008-01-17 Makoto Nagashima Systems and methods for fabricating self-aligned memory cell
US20080013363A1 (en) 2006-06-27 2008-01-17 Samsung Electronics Co., Ltd Operation method of nonvolatile memory device induced by pulse voltage
US7323349B2 (en) 2005-05-02 2008-01-29 Sharp Laboratories Of America, Inc. Self-aligned cross point resistor memory array
US20080026547A1 (en) 2006-07-27 2008-01-31 Samsung Electronics Co. Ltd. Method of forming poly-si pattern, diode having poly-si pattern, multi-layer cross point resistive memory device having poly-si pattern, and method of manufacturing the diode and the memory device
US20080029842A1 (en) 2006-08-02 2008-02-07 Ralf Symanczyk CBRAM cell and CBRAM array, and method of operating thereof
US20080029754A1 (en) 2006-08-01 2008-02-07 Samsung Electronics Co., Ltd. Variable resistance non-volatile memory devices including a uniformly narrow contact layer and methods of manufacturing same
US20080048165A1 (en) 2006-07-24 2008-02-28 Seiko Epson Corporation Variable resistance element and resistance variable type memory device
US20080049487A1 (en) 2006-08-22 2008-02-28 Sharp Kabushiki Kaisha Semiconductor memory device
US20080073635A1 (en) 2006-09-21 2008-03-27 Masahiro Kiyotoshi Semiconductor Memory and Method of Manufacturing the Same
US20080080229A1 (en) 2006-10-02 2008-04-03 Byung-Gil Choi Variable resistive memory wordline switch
US20080102278A1 (en) 2006-10-27 2008-05-01 Franz Kreupl Carbon filament memory and method for fabrication
US7388775B2 (en) 2005-03-30 2008-06-17 Ovonyx, Inc. Detecting switching of access elements of phase change memory cells
US7393785B2 (en) 1998-08-26 2008-07-01 Micron Technology, Inc. Methods and apparatus for forming rhodium-containing layers
US20080157257A1 (en) 2005-05-09 2008-07-03 Nantero, Inc. Nonvolatile nanotube diodes and nonvolatile nanotube blocks and systems using same and methods of making same
US20080175031A1 (en) 2007-01-23 2008-07-24 Samsung Electronics Co., Ltd. Memory cell of a resistive semiconductor memory device, a resistive semiconductor memory device having a three-dimensional stack structure, and related methods
US7405967B2 (en) 1998-12-04 2008-07-29 Axon Technologies Corporation Microelectronic programmable device and methods of forming and programming the same
US20080185571A1 (en) 2007-02-01 2008-08-07 Thomas Happ Resistive memory including buried word lines
US20080232160A1 (en) 2007-02-27 2008-09-25 International Business Machines Corporation Rectifying element for a crosspoint based memory array architecture
US20080247219A1 (en) 2007-04-04 2008-10-09 Samsung Electronics Co., Ltd. Resistive Random Access Memory Devices Including Sidewall Resistive Layers and Related Methods
US20080258126A1 (en) 2007-04-17 2008-10-23 Macronix International Co., Ltd. Memory Cell Sidewall Contacting Side Electrode
US20080259672A1 (en) 2007-04-17 2008-10-23 Macronix International Co., Ltd. 4f2 self align side wall active phase change memory
US20080303014A1 (en) 2005-12-20 2008-12-11 Nxp B.V. Vertical Phase Change Memory Cell and Methods For Manufacturing Thereof
US20090014706A1 (en) 2007-07-13 2009-01-15 Macronix International Co., Ltd. 4f2 self align fin bottom electrodes fet drive phase change memory
US20090014707A1 (en) 2006-10-20 2009-01-15 Wei Lu Non-volatile solid state resistive switching devices
US20090057640A1 (en) 2007-09-04 2009-03-05 Industrial Technology Research Institute Phase-change memory element
US20090072217A1 (en) 2007-09-17 2009-03-19 Ulrich Klostermann Integrated Circuits; Methods for Manufacturing an Integrated Circuit and Memory Module
US20090085121A1 (en) 2007-09-28 2009-04-02 Human Park Condensed Memory Cell Structure Using a FinFET
US7544987B2 (en) 2000-08-30 2009-06-09 Micron Technology, Inc. High-k dielectric materials and processes for manufacturing them
US7557424B2 (en) 2007-01-03 2009-07-07 International Business Machines Corporation Reversible electric fuse and antifuse structures for semiconductor devices
US7560815B1 (en) 1998-08-27 2009-07-14 Micron Technology, Inc. Device structures including ruthenium silicide diffusion barrier layers
US20090180309A1 (en) 2008-01-15 2009-07-16 Jun Liu Memory Cells, Memory Cell Programming Methods, Memory Cell Reading Methods, Memory Cell Operating Methods, and Memory Devices
US7570511B2 (en) 2006-11-10 2009-08-04 Samsung Electronics Co., Ltd. Semiconductor memory device having a three-dimensional cell array structure
US20090250681A1 (en) 2008-04-08 2009-10-08 John Smythe Non-Volatile Resistive Oxide Memory Cells, Non-Volatile Resistive Oxide Memory Arrays, And Methods Of Forming Non-Volatile Resistive Oxide Memory Cells And Memory Arrays
KR20090109804A (en) 2008-04-16 2009-10-21 삼성전자주식회사 Non-volatile memory device and method of fabricating the same
US20090261343A1 (en) 2002-12-19 2009-10-22 Sandisk 3D Llc High-density nonvolatile memory and methods of making the same
US20090267047A1 (en) 2008-04-28 2009-10-29 Hitachi, Ltd. Semiconductor memory device and manufacturing method thereof
US20090268532A1 (en) 2008-04-28 2009-10-29 Qimonda Ag Systems and Methods for Writing to a Memory
US20090272960A1 (en) 2008-05-02 2009-11-05 Bhaskar Srinivasan Non-Volatile Resistive Oxide Memory Cells, and Methods Of Forming Non-Volatile Resistive Oxide Memory Cells
US20090303780A1 (en) 2008-06-09 2009-12-10 Qimonda Ag Integrated circuit including an array of diodes coupled to a layer of resistance changing material
US20090316467A1 (en) 2008-06-18 2009-12-24 Jun Liu Memory Device Constructions, Memory Cell Forming Methods, and Semiconductor Construction Forming Methods
US20090317540A1 (en) 2008-06-18 2009-12-24 Gurtej Sandhu Methods Of Forming A Non-Volatile Resistive Oxide Memory Array
US20090315090A1 (en) 2008-06-23 2009-12-24 Rolf Weis Isolation Trenches with Conductive Plates
US7639523B2 (en) 2006-11-08 2009-12-29 Symetrix Corporation Stabilized resistive switching memory
US20100003782A1 (en) 2008-07-02 2010-01-07 Nishant Sinha Methods Of Forming A Non-Volatile Resistive Oxide Memory Cell And Methods Of Forming A Non-Volatile Resistive Oxide Memory Array
US7679812B2 (en) 2005-07-22 2010-03-16 Qualcomm Mems Technologies Inc. Support structure for MEMS device and methods therefor
US20100065836A1 (en) 2008-09-18 2010-03-18 Hynix Semiconductor Inc. Resistive memory device and method of fabricating the same
US7687793B2 (en) 2001-05-11 2010-03-30 Micron Technology, Inc. Resistance variable memory cells
US20100084741A1 (en) 2008-10-08 2010-04-08 Dieter Andres Integrated Circuit
US7696077B2 (en) 2006-07-14 2010-04-13 Micron Technology, Inc. Bottom electrode contacts for semiconductor devices and methods of forming same
US20100110759A1 (en) 2008-11-03 2010-05-06 Seagate Technology Llc Programmable resistive memory cell with filament placement structure
US7727908B2 (en) 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US20100135061A1 (en) 2008-12-02 2010-06-03 Shaoping Li Non-Volatile Memory Cell with Ferroelectric Layer Configurations
US20100140578A1 (en) 2008-12-05 2010-06-10 Seagate Technology Llc Non volatile memory cells including a composite solid electrolyte layer
US20100157657A1 (en) 2004-02-06 2010-06-24 Unity Semiconductor Corporation Multi-resistive state memory device with conductive oxide electrodes
US20100163829A1 (en) 2008-12-30 2010-07-01 Industrial Technology Research Institute Conductive bridging random access memory device and method of manufacturing the same
US20100163820A1 (en) 2008-12-26 2010-07-01 Min Seok Son Phase change memory device having a reduced contact area and method for manufacturing the same
US7751163B2 (en) 2006-09-29 2010-07-06 Qimonda Ag Electric device protection circuit and method for protecting an electric device
US20100178729A1 (en) 2009-01-13 2010-07-15 Yoon Hongsik Resistance-Type Random Access Memory Device Having Three-Dimensional Bit Line and Word Line Patterning
US20100193758A1 (en) 2009-01-30 2010-08-05 Seagate Technology Llc Programmable metallization memory cell with planarized silver electrode
US20100193761A1 (en) 2009-01-30 2010-08-05 Seagate Technology Llc Programmable metallization memory cell with layered solid electrolyte structure
US20100193762A1 (en) 2009-02-03 2010-08-05 Nanya Technology Corp. Non-volatile memory cell and fabrication method thereof
US7772580B2 (en) 2007-08-10 2010-08-10 Qimonda Ag Integrated circuit having a cell with a resistivity changing layer
US7777215B2 (en) 2007-07-20 2010-08-17 Macronix International Co., Ltd. Resistive memory structure with buffer layer
US20100232200A1 (en) 2009-03-10 2010-09-16 Shepard Daniel R Vertical switch three-dimensional memory array
US20100237442A1 (en) 2009-03-19 2010-09-23 International Business Machines Corporation Selectively self-assembling oxygen diffusion barrier
US20100259962A1 (en) 2009-04-08 2010-10-14 Tianhong Yan Three-Dimensional Array of Re-Programmable Non-Volatile Memory Elements Having Vertical Bit Lines and a Single-Sided Word Line Architecture
CN101034732B (en) 2006-03-10 2011-06-01 三星电子株式会社 Resistance random memory device
US8021897B2 (en) 2009-02-19 2011-09-20 Micron Technology, Inc. Methods of fabricating a cross point memory array
US8094477B2 (en) 2008-07-30 2012-01-10 Kabushiki Kaisha Toshiba Resistance change semiconductor storage device

Family Cites Families (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6432767B2 (en) 1995-12-05 2002-08-13 Hitachi, Ltd. Method of fabricating semiconductor device
US6218696B1 (en) 1999-06-07 2001-04-17 Infineon Technologies North America Corp. Layout and wiring scheme for memory cells with vertical transistors
KR100451096B1 (en) * 2000-09-19 2004-10-02 엔이씨 일렉트로닉스 가부시키가이샤 Non-volatile semiconductor memory device with magnetic memory cell array
US6646297B2 (en) 2000-12-26 2003-11-11 Ovonyx, Inc. Lower electrode isolation in a double-wide trench
JP4818519B2 (en) * 2001-02-06 2011-11-16 ルネサスエレクトロニクス株式会社 Magnetic storage
US6567301B2 (en) 2001-08-09 2003-05-20 Hewlett-Packard Development Company, L.P. One-time programmable unit memory cell based on vertically oriented fuse and diode and one-time programmable memory using the same
JP2004220740A (en) * 2003-01-17 2004-08-05 Seiko Epson Corp Ferroelectric storage device
DE10362018B4 (en) 2003-02-14 2007-03-08 Infineon Technologies Ag Arrangement and method for the production of vertical transistor cells and transistor-controlled memory cells
KR100507205B1 (en) 2003-07-15 2005-08-10 현대자동차주식회사 Knocking sensor mounting position determining method
US7538338B2 (en) 2004-09-03 2009-05-26 Unity Semiconductor Corporation Memory using variable tunnel barrier widths
JP4377751B2 (en) 2004-06-10 2009-12-02 シャープ株式会社 Cross-point structure semiconductor memory device and manufacturing method thereof
US9231201B2 (en) 2004-06-30 2016-01-05 Nxp B.V. Electric device with a layer of conductive material contacted by nanowires
US20080008642A1 (en) 2004-08-24 2008-01-10 Osaka University Process For Producing Aluminum Nitride Crystal And Aluminum Nitride Crystal Obtained Thereby
JP4924419B2 (en) 2005-02-18 2012-04-25 富士通セミコンダクター株式会社 Storage element matrix and semiconductor circuit device using the storage element matrix
KR100697282B1 (en) 2005-03-28 2007-03-20 삼성전자주식회사 Resistive memory cell, method for forming the same and resistive memory array using the same
US8031509B2 (en) 2008-12-19 2011-10-04 Unity Semiconductor Corporation Conductive metal oxide structures in non-volatile re-writable memory devices
US7782650B2 (en) 2005-05-09 2010-08-24 Nantero, Inc. Nonvolatile nanotube diodes and nonvolatile nanotube blocks and systems using same and methods of making same
US7321130B2 (en) 2005-06-17 2008-01-22 Macronix International Co., Ltd. Thin film fuse phase change RAM and manufacturing method
US7521705B2 (en) 2005-08-15 2009-04-21 Micron Technology, Inc. Reproducible resistance variable insulating memory devices having a shaped bottom electrode
JP4309877B2 (en) 2005-08-17 2009-08-05 シャープ株式会社 Semiconductor memory device
KR100665227B1 (en) 2005-10-18 2007-01-09 삼성전자주식회사 Phase change memory device and fabricating method for the same
US8106375B2 (en) 2005-11-30 2012-01-31 The Trustees Of The University Of Pennsylvania Resistance-switching memory based on semiconductor composition of perovskite conductor doped perovskite insulator
US7666526B2 (en) 2005-11-30 2010-02-23 The Trustees Of The University Of Pennsylvania Non-volatile resistance-switching oxide thin film devices
KR100655082B1 (en) 2005-12-23 2006-12-08 삼성전자주식회사 Phase-change memory cell and method of fabricating the same
US7456421B2 (en) 2006-01-30 2008-11-25 Macronix International Co., Ltd. Vertical side wall active pin structures in a phase change memory and manufacturing methods
US7569459B2 (en) 2006-06-30 2009-08-04 International Business Machines Corporation Nonvolatile programmable resistor memory cell
KR100755409B1 (en) 2006-08-28 2007-09-04 삼성전자주식회사 Method of programing in resist memory device
WO2008029446A1 (en) 2006-09-05 2008-03-13 Fujitsu Limited Writing method of nonvolatile semiconductor storage apparatus
US8058643B2 (en) 2006-09-29 2011-11-15 The Board Of Trustees Of The Leland Stanford Junior University Electrochemical memory with internal boundary
KR100772904B1 (en) * 2006-10-02 2007-11-05 삼성전자주식회사 Semiconductor memory device using variable resistive element and mabufacturing method thereof
KR100858083B1 (en) 2006-10-18 2008-09-10 삼성전자주식회사 Phase change memory device having increased contact area between lower electrode contact layer and phase change layer and method of manufacturing the same
KR100827661B1 (en) 2006-10-31 2008-05-07 삼성전자주식회사 Phase change memory devices having dual lower electrodes and methods fabricating the same
US7872900B2 (en) 2006-11-08 2011-01-18 Symetrix Corporation Correlated electron memory
KR101196392B1 (en) 2006-11-28 2012-11-02 삼성전자주식회사 Non-volatile Memory Device and method of fabricating the same
US7864568B2 (en) 2006-12-07 2011-01-04 Renesas Electronics Corporation Semiconductor storage device
JP5091491B2 (en) 2007-01-23 2012-12-05 株式会社東芝 Nonvolatile semiconductor memory device
JP2008192995A (en) 2007-02-07 2008-08-21 Matsushita Electric Ind Co Ltd Resistance change element, method of manufacturing the same, and resistance change memory using the same
KR101483318B1 (en) 2007-02-21 2015-01-16 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Methods for forming a ruthenium-based film on a substrate
US7723786B2 (en) 2007-04-11 2010-05-25 Ronald Kakoschke Apparatus of memory array using FinFETs
WO2008149493A1 (en) 2007-06-01 2008-12-11 Panasonic Corporation Resistance change type memory
WO2009001534A1 (en) 2007-06-22 2008-12-31 Panasonic Corporation Resistance change type nonvolatile storage device
KR101344346B1 (en) 2007-07-25 2013-12-24 삼성전자주식회사 Phase change memory devices and methods of forming the same
JP5088036B2 (en) 2007-08-06 2012-12-05 ソニー株式会社 Storage element and storage device
JP2009059735A (en) 2007-08-29 2009-03-19 Elpida Memory Inc Semiconductor storage device
JP2009081251A (en) 2007-09-26 2009-04-16 Panasonic Corp Resistance change element, production method thereof, and resistance change memory
KR20090055874A (en) 2007-11-29 2009-06-03 삼성전자주식회사 Non-volatile memory device and method of fabricating the same
JP4466738B2 (en) 2008-01-09 2010-05-26 ソニー株式会社 Storage element and storage device
JP5049814B2 (en) 2008-02-14 2012-10-17 株式会社東芝 Data writing method for nonvolatile semiconductor memory device
US7742324B2 (en) 2008-02-19 2010-06-22 Micron Technology, Inc. Systems and devices including local data lines and methods of using, making, and operating the same
JP2009212202A (en) 2008-03-03 2009-09-17 Elpida Memory Inc Phase change memory device and fabrication method thereof
US7960216B2 (en) 2008-05-10 2011-06-14 Intermolecular, Inc. Confinement techniques for non-volatile resistive-switching memories
US8343813B2 (en) 2009-04-10 2013-01-01 Intermolecular, Inc. Resistive-switching memory elements having improved switching characteristics
CN101546602B (en) 2008-03-27 2014-05-14 三星电子株式会社 Nonvolatile memory device using variable resistance element
DE102008019860B4 (en) 2008-04-15 2010-10-07 Technische Universität Dresden Apparatus, method and use of the method for generating switchable temporary magnetism in oxidic materials by means of electric fields
US8098520B2 (en) 2008-04-25 2012-01-17 Seagate Technology Llc Storage device including a memory cell having multiple memory layers
US8551809B2 (en) 2008-05-01 2013-10-08 Intermolecular, Inc. Reduction of forming voltage in semiconductor devices
US8062918B2 (en) 2008-05-01 2011-11-22 Intermolecular, Inc. Surface treatment to improve resistive-switching characteristics
US8129704B2 (en) 2008-05-01 2012-03-06 Intermolecular, Inc. Non-volatile resistive-switching memories
US8053364B2 (en) 2008-05-01 2011-11-08 Intermolecular, Inc. Closed-loop sputtering controlled to enhance electrical characteristics in deposited layer
KR101407362B1 (en) * 2008-06-23 2014-06-16 삼성전자주식회사 Phase change memory
KR20100001260A (en) 2008-06-26 2010-01-06 삼성전자주식회사 Non-volatile memory device and method of fabricating the same
JP2010009669A (en) 2008-06-26 2010-01-14 Toshiba Corp Semiconductor memory device
US7732235B2 (en) 2008-06-30 2010-06-08 Sandisk 3D Llc Method for fabricating high density pillar structures by double patterning using positive photoresist
US8687402B2 (en) 2008-10-08 2014-04-01 The Regents Of The University Of Michigan Silicon-based nanoscale resistive device with adjustable resistance
KR20100041155A (en) 2008-10-13 2010-04-22 삼성전자주식회사 Resistive memory device
US8022547B2 (en) 2008-11-18 2011-09-20 Seagate Technology Llc Non-volatile memory cells including small volume electrical contact regions
WO2010068221A1 (en) 2008-12-12 2010-06-17 Hewlett-Packard Development Company, L.P. Memristive device
KR20100078808A (en) 2008-12-30 2010-07-08 삼성전자주식회사 Resistive memory device
US8787064B2 (en) 2009-01-13 2014-07-22 Hewlett-Packard Development Company, L.P. Programmable bipolar electronic device
JP2010165803A (en) 2009-01-14 2010-07-29 Toshiba Corp Method of manufacturing semiconductor memory device, and semiconductor memory device
US8614432B2 (en) 2009-01-15 2013-12-24 Hewlett-Packard Development Company, L.P. Crystalline silicon-based memristive device with multiple mobile dopant species
WO2010085241A1 (en) 2009-01-20 2010-07-29 Hewlett-Packard Development Company, L.P. Multilayer memristive devices
US8507968B2 (en) 2009-01-30 2013-08-13 Hewlett-Packard Development Company, L.P. Memristive transistor memory
JP4810581B2 (en) 2009-03-25 2011-11-09 株式会社東芝 Nonvolatile memory device
US8420478B2 (en) 2009-03-31 2013-04-16 Intermolecular, Inc. Controlled localized defect paths for resistive memories
US7983065B2 (en) 2009-04-08 2011-07-19 Sandisk 3D Llc Three-dimensional array of re-programmable non-volatile memory elements having vertical bit lines
US8199576B2 (en) 2009-04-08 2012-06-12 Sandisk 3D Llc Three-dimensional array of re-programmable non-volatile memory elements having vertical bit lines and a double-global-bit-line architecture
JP2010263211A (en) 2009-05-04 2010-11-18 Samsung Electronics Co Ltd Laminated memory element
US8048755B2 (en) 2010-02-08 2011-11-01 Micron Technology, Inc. Resistive memory and methods of processing resistive memory
US8411477B2 (en) 2010-04-22 2013-04-02 Micron Technology, Inc. Arrays of vertically stacked tiers of non-volatile cross point memory cells, methods of forming arrays of vertically stacked tiers of non-volatile cross point memory cells, and methods of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells
US8427859B2 (en) * 2010-04-22 2013-04-23 Micron Technology, Inc. Arrays of vertically stacked tiers of non-volatile cross point memory cells, methods of forming arrays of vertically stacked tiers of non-volatile cross point memory cells, and methods of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells
US9454997B2 (en) 2010-12-02 2016-09-27 Micron Technology, Inc. Array of nonvolatile memory cells having at least five memory cells per unit cell, having a plurality of the unit cells which individually comprise three elevational regions of programmable material, and/or having a continuous volume having a combination of a plurality of vertically oriented memory cells and a plurality of horizontally oriented memory cells; array of vertically stacked tiers of nonvolatile memory cells
US8431458B2 (en) 2010-12-27 2013-04-30 Micron Technology, Inc. Methods of forming a nonvolatile memory cell and methods of forming an array of nonvolatile memory cells
US8791447B2 (en) 2011-01-20 2014-07-29 Micron Technology, Inc. Arrays of nonvolatile memory cells and methods of forming arrays of nonvolatile memory cells
US8537592B2 (en) 2011-04-15 2013-09-17 Micron Technology, Inc. Arrays of nonvolatile memory cells and methods of forming arrays of nonvolatile memory cells
EP2538227B1 (en) 2011-06-20 2015-02-18 F. Hoffmann-La Roche AG Device for decapping and recapping sample tubes

Patent Citations (199)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4715685A (en) 1985-03-04 1987-12-29 Energy Conversion Devices, Inc. Liquid crystal display having potential source in a diode ring
US5049970A (en) 1987-11-17 1991-09-17 Sharp Kabushiki Kaisha High resistive element
US4964080A (en) 1990-03-09 1990-10-16 Intel Corporation Three-dimensional memory cell with integral select transistor
US5122476A (en) 1991-01-28 1992-06-16 Micron Technology, Inc. Double DRAM cell
US7393785B2 (en) 1998-08-26 2008-07-01 Micron Technology, Inc. Methods and apparatus for forming rhodium-containing layers
US7560815B1 (en) 1998-08-27 2009-07-14 Micron Technology, Inc. Device structures including ruthenium silicide diffusion barrier layers
US20020018355A1 (en) 1998-11-16 2002-02-14 Johnson Mark G. Vertically stacked field programmable nonvolatile memory and method of fabrication
US7405967B2 (en) 1998-12-04 2008-07-29 Axon Technologies Corporation Microelectronic programmable device and methods of forming and programming the same
US20040124407A1 (en) 2000-02-11 2004-07-01 Kozicki Michael N. Scalable programmable structure, an array including the structure, and methods of forming the same
US7247876B2 (en) 2000-06-30 2007-07-24 Intel Corporation Three dimensional programmable device and method for fabricating the same
US7544987B2 (en) 2000-08-30 2009-06-09 Micron Technology, Inc. High-k dielectric materials and processes for manufacturing them
US7473982B2 (en) 2000-11-01 2009-01-06 Japan Science And Technology Agency Point contact array, not circuit, and electronic circuit comprising the same
US7525410B2 (en) 2000-11-01 2009-04-28 Japan Science And Technology Agency Point contact array, not circuit, and electronic circuit using the same
US7026911B2 (en) 2000-11-01 2006-04-11 Japan Science And Technology Corporation Point contact array, not circuit, and electronic circuit comprising the same
US20030174042A1 (en) 2000-11-01 2003-09-18 Masakazu Aono Point contact array, not circuit, and electronic circuit comprising the same
US20050014325A1 (en) 2000-11-01 2005-01-20 Japan Science And Technology Corporation Point contact array, not circuit, and electronic circuit comprising the same
US20050243844A1 (en) 2000-11-01 2005-11-03 Japan Science And Technology Corporation Point contact array, not circuit, and electronic circuit using the same
KR100751736B1 (en) 2000-11-01 2007-08-27 도꾸리쯔교세이호징 가가꾸 기쥬쯔 신꼬 기꼬 Point contact array, not circuit, and electronic circuit using the same
US7273791B2 (en) 2000-11-09 2007-09-25 Micron Technology, Inc. Methods for forming a conductive structure using oxygen diffusion through one metal layer to oxidize a second metal layer
US6524867B2 (en) 2000-12-28 2003-02-25 Micron Technology, Inc. Method for forming platinum-rhodium stack as an oxygen barrier
US7687793B2 (en) 2001-05-11 2010-03-30 Micron Technology, Inc. Resistance variable memory cells
US6552952B2 (en) 2001-05-30 2003-04-22 Stmicroelectronics S.R.L. Column multiplexer for semiconductor memories
US20020196695A1 (en) 2001-05-30 2002-12-26 Stmicroelectronics S.R.L. Column multiplexer for semiconductor memories
US6940113B2 (en) 2001-06-28 2005-09-06 Sharp Laboratories Of America, Inc. Trench isolated cross-point memory array
US6693821B2 (en) 2001-06-28 2004-02-17 Sharp Laboratories Of America, Inc. Low cross-talk electrically programmable resistance cross point memory
US6905937B2 (en) 2001-06-28 2005-06-14 Sharp Laboratories Of America, Inc. Methods of fabricating a cross-point resistor memory array
US7193267B2 (en) 2001-06-28 2007-03-20 Sharp Laboratories Of America, Inc. Cross-point resistor memory array
US6972211B2 (en) 2001-06-28 2005-12-06 Sharp Laboratories Of America, Inc. Method of fabricating trench isolated cross-point memory array
US20040108528A1 (en) 2001-06-28 2004-06-10 Sharp Laboratories Of America, Inc. Cross-point resistor memory array and method of fabrication
US6961258B2 (en) 2001-06-30 2005-11-01 Ovonyx, Inc. Pore structure for programmable device
US7029925B2 (en) 2002-01-31 2006-04-18 Texas Instruments Incorporated FeRAM capacitor stack etch
US6717881B2 (en) 2002-03-12 2004-04-06 Renesas Technology Corp. Semiconductor memory device having potential control circuit
CN1444284A (en) 2002-03-12 2003-09-24 三菱电机株式会社 Semiconductor storage with electric potential control circuit
US20030174570A1 (en) 2002-03-12 2003-09-18 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device having potential control circuit
US6778421B2 (en) 2002-03-14 2004-08-17 Hewlett-Packard Development Company, Lp. Memory device array having a pair of magnetic bits sharing a common conductor line
US6687147B2 (en) 2002-04-02 2004-02-03 Hewlett-Packard Development Company, L.P. Cubic memory array with diagonal select lines
US20030223283A1 (en) 2002-04-03 2003-12-04 Mitsubishi Denki Kabushiki Kaisha Magnetic memory device
US20030218902A1 (en) 2002-05-22 2003-11-27 Perner Frederick A. Triple sample sensing for magnetic random access memory (MRAM) with series diodes
US6757188B2 (en) 2002-05-22 2004-06-29 Hewlett-Packard Development Company, L.P. Triple sample sensing for magnetic random access memory (MRAM) with series diodes
US6873544B2 (en) 2002-05-22 2005-03-29 Hewlett-Packard Company, L.P. Triple sample sensing for magnetic random access memory (MRAM) with series diodes
CN1459792A (en) 2002-05-22 2003-12-03 惠普公司 Triple sampling readout of magnetic RAM having series diode
US20040090841A1 (en) 2002-05-22 2004-05-13 Perner Frederick A. Triple sample sensing for magnetic random access memory (MRAM) with series diodes
US20030218929A1 (en) 2002-05-24 2003-11-27 Heiko Fibranz Circuit configuration having a flow controller, integrated memory device, and test configuration having such a circuit configuration
US6693846B2 (en) 2002-05-24 2004-02-17 Infineon Technologies Ag Command controller for an integrated circuit memory device and test circuitry thereof
US20040002186A1 (en) 2002-06-27 2004-01-01 Vyvoda Michael A. Electrically isolated pillars in active devices
US20060097238A1 (en) 2002-07-26 2006-05-11 Laurent Breuil Non-volatile memory element and production method thereof and storage memory arrangement
US7067862B2 (en) 2002-08-02 2006-06-27 Unity Semiconductor Corporation Conductive memory device with conductive oxide electrodes
US6834008B2 (en) 2002-08-02 2004-12-21 Unity Semiconductor Corporation Cross point memory array using multiple modes of operation
US7149108B2 (en) 2002-08-02 2006-12-12 Unity Semiconductor Corporation Memory array of a non-volatile RAM
US6753561B1 (en) 2002-08-02 2004-06-22 Unity Semiconductor Corporation Cross point memory array using multiple thin films
US6970375B2 (en) 2002-08-02 2005-11-29 Unity Semiconductor Corporation Providing a reference voltage to a cross point memory array
US6785159B2 (en) 2002-08-29 2004-08-31 Micron Technology, Inc. Combination etch stop and in situ resistor in a magnetoresistive memory and methods for fabricating same
US20040100835A1 (en) 2002-11-27 2004-05-27 Nec Corporation Magnetic memory cell and magnetic random access memory using the same
US20090261343A1 (en) 2002-12-19 2009-10-22 Sandisk 3D Llc High-density nonvolatile memory and methods of making the same
US6930324B2 (en) 2002-12-31 2005-08-16 Infineon Technologies Ag Device architecture and process for improved vertical memory arrays
US7005350B2 (en) 2002-12-31 2006-02-28 Matrix Semiconductor, Inc. Method for fabricating programmable memory array structures incorporating series-connected transistor strings
US6958273B2 (en) 2003-03-21 2005-10-25 Silicon Storage Technology, Inc. Self-aligned method of forming a semiconductor memory array of floating gate memory cells with buried floating gate, pointed floating gate and pointed channel region, and a memory array made thereby
US6753562B1 (en) 2003-03-27 2004-06-22 Sharp Laboratories Of America, Inc. Spin transistor magnetic random access memory device
US7233024B2 (en) 2003-03-31 2007-06-19 Sandisk 3D Llc Three-dimensional memory device incorporating segmented bit line memory array
US6806531B1 (en) 2003-04-07 2004-10-19 Silicon Storage Technology, Inc. Non-volatile floating gate memory cell with floating gates formed in cavities, and array thereof, and method of formation
US20060035451A1 (en) 2003-05-20 2006-02-16 Sharp Laboratories Of America, Inc. High-density SOI cross-point memory fabricating method
US6946702B2 (en) 2003-06-03 2005-09-20 Winbond Electronics Corp. Resistance random access memory
US20040245547A1 (en) 2003-06-03 2004-12-09 Hitachi Global Storage Technologies B.V. Ultra low-cost solid-state memory
US20050032100A1 (en) 2003-06-24 2005-02-10 California Institute Of Technology Electrochemical method and resulting structures for attaching molecular and biomolecular structures to semiconductor micro and nanostructures
US7029924B2 (en) 2003-09-05 2006-04-18 Sharp Laboratories Of America, Inc. Buffered-layer memory cell
US20050054119A1 (en) 2003-09-05 2005-03-10 Sharp Laboratories Of America, Inc. Buffered-layer memory cell
US6955992B2 (en) 2003-09-30 2005-10-18 Sharp Laboratories Of America, Inc. One mask PT/PCMO/PT stack etching process for RRAM applications
US7167387B2 (en) 2003-10-23 2007-01-23 Matsushita Electric Industrial Co., Ltd. Variable resistance element, method of manufacturing the element, memory containing the element, and method of driving the memory
US7009278B2 (en) 2003-11-24 2006-03-07 Sharp Laboratories Of America, Inc. 3d rram
US7123535B2 (en) 2003-12-05 2006-10-17 Renesas Technology Corp. Semiconductor integrated circuit device
US20050128799A1 (en) 2003-12-05 2005-06-16 Renesas Technology Corp. Semiconductor integrated circuit device
CN1624803B (en) 2003-12-05 2010-12-15 瑞萨电子株式会社 Semiconductor integrated circuit device
US7489552B2 (en) 2003-12-05 2009-02-10 Renesas Technology Corp. Semiconductor integrated circuit device
US20060274593A1 (en) 2003-12-05 2006-12-07 Renesas Technology Corp. Semiconductor integrated circuit device
JP2005175457A (en) 2003-12-08 2005-06-30 Sharp Corp Rram memory cell electrode
US7002197B2 (en) 2004-01-23 2006-02-21 Hewlett-Packard Development Company, L.P. Cross point resistive memory array
US20060258079A1 (en) 2004-01-26 2006-11-16 Hsiang-Lan Lung Thin film phase-change memory
US20070258279A1 (en) 2004-01-26 2007-11-08 Macronix International Co., Ltd. Thin film phase-change memory
US20050161747A1 (en) 2004-01-26 2005-07-28 Hsiang-Lan Lung Thin film phase-change memory
US20060171200A1 (en) 2004-02-06 2006-08-03 Unity Semiconductor Corporation Memory using mixed valence conductive oxides
US20100157657A1 (en) 2004-02-06 2010-06-24 Unity Semiconductor Corporation Multi-resistive state memory device with conductive oxide electrodes
US7050316B1 (en) 2004-03-09 2006-05-23 Silicon Storage Technology, Inc. Differential non-volatile content addressable memory cell and array using phase changing resistor storage elements
US20050269646A1 (en) 2004-03-17 2005-12-08 Sanyo Electric Co., Ltd Memory
US20050205943A1 (en) 2004-03-17 2005-09-22 Sanyo Electric Co., Ltd. Memory and method of fabricating the same
US20050250281A1 (en) 2004-04-26 2005-11-10 Infineon Technologies Ag Method for manufacturing resistively switching memory devices
US20070121369A1 (en) 2004-05-27 2007-05-31 Thomas Happ Resistive memory cell arrangement and a semiconductor memory including the same
US7187201B1 (en) 2004-06-24 2007-03-06 Xilinx, Inc. Programmable logic device suitable for implementation in molecular electronics
US20050287741A1 (en) 2004-06-28 2005-12-29 Yi Ding Nonvolatile memory fabrication methods in which a dielectric layer underlying a floating gate layer is spaced from an edge of an isolation trench and/or an edge of the floating gate layer
JP2006032729A (en) 2004-07-16 2006-02-02 Matsushita Electric Ind Co Ltd Nonvolatile memory and its manufacturing method
JP2006040981A (en) 2004-07-22 2006-02-09 Sharp Corp High density soi crosspoint memory array and its manufacturing method
US7180160B2 (en) 2004-07-30 2007-02-20 Infineon Technologies Ag MRAM storage device
JP2006074028A (en) 2004-08-31 2006-03-16 Samsung Electronics Co Ltd Method of forming phase change memory element having contact of small area
US7465675B2 (en) 2004-08-31 2008-12-16 Samsung Electronics Co., Ltd. Method of forming a phase change memory device having a small area of contact
US20060046509A1 (en) 2004-08-31 2006-03-02 Samsung Electronics Co., Ltd. Method of forming a phase change memory device having a small area of contact
US20060062049A1 (en) 2004-09-17 2006-03-23 Seok-Heon Lee Methods for programming user data and confirmation information in nonvolatile memory devices
US7085167B2 (en) 2004-09-17 2006-08-01 Samsung Electronics Co., Ltd. Methods for programming user data and confirmation information in nonvolatile memory devices
US20060099813A1 (en) 2004-10-21 2006-05-11 Sharp Laboratories Of America, Inc. Chemical mechanical polish of PCMO thin films for RRAM applications
US7205238B2 (en) 2004-10-21 2007-04-17 Sharp Laboratories Of America, Inc. Chemical mechanical polish of PCMO thin films for RRAM applications
JP2006121044A (en) 2004-10-21 2006-05-11 Sharp Corp Chemical mechanical polishing of pcmo thin film in rram device
US20070123039A1 (en) 2004-11-03 2007-05-31 Elkins Patricia C Electroless plating of metal caps for chalcogenide-based memory devices
US20060104111A1 (en) 2004-11-17 2006-05-18 Spansion Llc Diode array architecture for addressing nanoscale resistive memory arrays
US20060110878A1 (en) 2004-11-22 2006-05-25 Macronix International Co., Ltd. Side wall active pin memory and manufacturing method
US20060160304A1 (en) 2005-01-19 2006-07-20 Sharp Laboratories Of America, Inc. Non-volatile memory resistor cell with nanotip electrode
US20070167008A1 (en) 2005-01-19 2007-07-19 Sharp Laboratories Of America, Inc. Nanotip electrode non-volatile memory resistor cell
US20060170027A1 (en) 2005-01-31 2006-08-03 Samsung Electronics Co., Ltd. Nonvolatile memory device made of resistance material and method of fabricating the same
US20060181920A1 (en) 2005-02-09 2006-08-17 Klaus-Dieter Ufert Resistive memory element with shortened erase time
US7388775B2 (en) 2005-03-30 2008-06-17 Ovonyx, Inc. Detecting switching of access elements of phase change memory cells
US7323349B2 (en) 2005-05-02 2008-01-29 Sharp Laboratories Of America, Inc. Self-aligned cross point resistor memory array
US20080157257A1 (en) 2005-05-09 2008-07-03 Nantero, Inc. Nonvolatile nanotube diodes and nonvolatile nanotube blocks and systems using same and methods of making same
US20060284242A1 (en) 2005-06-07 2006-12-21 Jo Sang-Youn Non-volatile memory device having floating gate and methods forming the same
US20060286709A1 (en) 2005-06-17 2006-12-21 Macronix International Co., Ltd. Manufacturing methods for thin film fuse phase change ram
US20070010082A1 (en) 2005-07-05 2007-01-11 Cay-Uwe Pinnow Structure and method for manufacturing phase change memories with particular switching characteristics
US20070015330A1 (en) 2005-07-18 2007-01-18 Sharp Laboratories Of America, Inc. Metal/semiconductor/metal (MSM) back-to-back Schottky diode
US7679812B2 (en) 2005-07-22 2010-03-16 Qualcomm Mems Technologies Inc. Support structure for MEMS device and methods therefor
US20070048990A1 (en) 2005-08-30 2007-03-01 Sharp Laboratories Of America, Inc. Method of buffer layer formation for RRAM thin film deposition
US20070045615A1 (en) 2005-08-31 2007-03-01 Samsung Electronics Co., Ltd. Non-volatile organic resistance random access memory device and method of manufacturing the same
US20070109835A1 (en) 2005-11-17 2007-05-17 Sharp Laboratories Of America, Inc. Cross-point RRAM memory array having low bit line crosstalk
US7236389B2 (en) 2005-11-17 2007-06-26 Sharp Laboratories Of America, Inc. Cross-point RRAM memory array having low bit line crosstalk
EP1796103A3 (en) 2005-12-12 2007-07-18 Hitachi Global Storage Technologies Netherlands B.V. Unpolar resistance random access memory (pram) device and vertically stacked architecture
US20070132049A1 (en) 2005-12-12 2007-06-14 Stipe Barry C Unipolar resistance random access memory (RRAM) device and vertically stacked architecture
US20080303014A1 (en) 2005-12-20 2008-12-11 Nxp B.V. Vertical Phase Change Memory Cell and Methods For Manufacturing Thereof
CN101005113A (en) 2005-12-27 2007-07-25 旺宏电子股份有限公司 Method for forming self-aligned thermal isolation cell for a variable resistance memory array
US20070173019A1 (en) 2006-01-09 2007-07-26 Macronix International Co., Ltd. Programmable Resistive Ram and Manufacturing Method
US20070165434A1 (en) 2006-01-19 2007-07-19 Samsung Electronics Co., Ltd. Resistive RAM having at least one varistor and methods of operating the same
US20070257257A1 (en) 2006-02-20 2007-11-08 Samsung Electronics Co., Ltd. Nonvolatile memory device including amorphous alloy metal oxide layer and method of manufacturing the same
US20070268739A1 (en) 2006-03-08 2007-11-22 Samsung Electronics Co., Ltd. Nanowire memory device and method of manufacturing the same
US20070231988A1 (en) 2006-03-09 2007-10-04 Samsung Electronics Co., Ltd. Method of fabricating nanowire memory device and system of controlling nanowire formation used in the same
CN101034732B (en) 2006-03-10 2011-06-01 三星电子株式会社 Resistance random memory device
US20070224770A1 (en) 2006-03-25 2007-09-27 Makoto Nagashima Systems and methods for fabricating self-aligned memory cell
US20070246795A1 (en) 2006-04-20 2007-10-25 Micron Technology, Inc. Dual depth shallow trench isolation and methods to form same
US20080012064A1 (en) 2006-04-21 2008-01-17 Samsung Electronics Co., Ltd. Nonvolatile memory device and methods of operating and fabricating the same
US20100008163A1 (en) 2006-05-18 2010-01-14 Micron Technology, Inc. Memory architecture and cell design employing two access transistors
US20070268742A1 (en) 2006-05-18 2007-11-22 Micron Technology, Inc. Memory Architecture and Cell Design Employing Two Access Transistors
KR20070111840A (en) 2006-05-19 2007-11-22 삼성전자주식회사 Nonvolatile memory device using oxygen-deficient metal oxide layer and the fabrication method
KR20070118865A (en) 2006-06-13 2007-12-18 광주과학기술원 Non-volatile memory device and manufacturing method and apparatus therefor
US20080013363A1 (en) 2006-06-27 2008-01-17 Samsung Electronics Co., Ltd Operation method of nonvolatile memory device induced by pulse voltage
US20070295950A1 (en) 2006-06-27 2007-12-27 Samsung Electronics Co., Ltd. Variable resistance random access memory device and a method of fabricating the same
US7696077B2 (en) 2006-07-14 2010-04-13 Micron Technology, Inc. Bottom electrode contacts for semiconductor devices and methods of forming same
US20080014750A1 (en) 2006-07-14 2008-01-17 Makoto Nagashima Systems and methods for fabricating self-aligned memory cell
US20080048165A1 (en) 2006-07-24 2008-02-28 Seiko Epson Corporation Variable resistance element and resistance variable type memory device
US20080026547A1 (en) 2006-07-27 2008-01-31 Samsung Electronics Co. Ltd. Method of forming poly-si pattern, diode having poly-si pattern, multi-layer cross point resistive memory device having poly-si pattern, and method of manufacturing the diode and the memory device
US20080029754A1 (en) 2006-08-01 2008-02-07 Samsung Electronics Co., Ltd. Variable resistance non-volatile memory devices including a uniformly narrow contact layer and methods of manufacturing same
US20080029842A1 (en) 2006-08-02 2008-02-07 Ralf Symanczyk CBRAM cell and CBRAM array, and method of operating thereof
US7727908B2 (en) 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US20080049487A1 (en) 2006-08-22 2008-02-28 Sharp Kabushiki Kaisha Semiconductor memory device
US20080073635A1 (en) 2006-09-21 2008-03-27 Masahiro Kiyotoshi Semiconductor Memory and Method of Manufacturing the Same
US7751163B2 (en) 2006-09-29 2010-07-06 Qimonda Ag Electric device protection circuit and method for protecting an electric device
US20080080229A1 (en) 2006-10-02 2008-04-03 Byung-Gil Choi Variable resistive memory wordline switch
US20090014707A1 (en) 2006-10-20 2009-01-15 Wei Lu Non-volatile solid state resistive switching devices
US20080102278A1 (en) 2006-10-27 2008-05-01 Franz Kreupl Carbon filament memory and method for fabrication
US7639523B2 (en) 2006-11-08 2009-12-29 Symetrix Corporation Stabilized resistive switching memory
US7570511B2 (en) 2006-11-10 2009-08-04 Samsung Electronics Co., Ltd. Semiconductor memory device having a three-dimensional cell array structure
US7557424B2 (en) 2007-01-03 2009-07-07 International Business Machines Corporation Reversible electric fuse and antifuse structures for semiconductor devices
US20080175031A1 (en) 2007-01-23 2008-07-24 Samsung Electronics Co., Ltd. Memory cell of a resistive semiconductor memory device, a resistive semiconductor memory device having a three-dimensional stack structure, and related methods
US20080185571A1 (en) 2007-02-01 2008-08-07 Thomas Happ Resistive memory including buried word lines
US20080232160A1 (en) 2007-02-27 2008-09-25 International Business Machines Corporation Rectifying element for a crosspoint based memory array architecture
US20080247219A1 (en) 2007-04-04 2008-10-09 Samsung Electronics Co., Ltd. Resistive Random Access Memory Devices Including Sidewall Resistive Layers and Related Methods
US7755076B2 (en) 2007-04-17 2010-07-13 Macronix International Co., Ltd. 4F2 self align side wall active phase change memory
US20080258126A1 (en) 2007-04-17 2008-10-23 Macronix International Co., Ltd. Memory Cell Sidewall Contacting Side Electrode
US20080259672A1 (en) 2007-04-17 2008-10-23 Macronix International Co., Ltd. 4f2 self align side wall active phase change memory
CN101051670A (en) 2007-04-19 2007-10-10 复旦大学 Preparing method for RRAM to avoid forming phenomenon using CuxO as storage medium
US20070285965A1 (en) 2007-06-11 2007-12-13 Kabushiki Kaisha Toshiba Resistance change memory device
US20090014706A1 (en) 2007-07-13 2009-01-15 Macronix International Co., Ltd. 4f2 self align fin bottom electrodes fet drive phase change memory
US7777215B2 (en) 2007-07-20 2010-08-17 Macronix International Co., Ltd. Resistive memory structure with buffer layer
US7772580B2 (en) 2007-08-10 2010-08-10 Qimonda Ag Integrated circuit having a cell with a resistivity changing layer
US20090057640A1 (en) 2007-09-04 2009-03-05 Industrial Technology Research Institute Phase-change memory element
US20090072217A1 (en) 2007-09-17 2009-03-19 Ulrich Klostermann Integrated Circuits; Methods for Manufacturing an Integrated Circuit and Memory Module
US20090085121A1 (en) 2007-09-28 2009-04-02 Human Park Condensed Memory Cell Structure Using a FinFET
US7768812B2 (en) 2008-01-15 2010-08-03 Micron Technology, Inc. Memory cells, memory cell programming methods, memory cell reading methods, memory cell operating methods, and memory devices
US20090180309A1 (en) 2008-01-15 2009-07-16 Jun Liu Memory Cells, Memory Cell Programming Methods, Memory Cell Reading Methods, Memory Cell Operating Methods, and Memory Devices
US20090250681A1 (en) 2008-04-08 2009-10-08 John Smythe Non-Volatile Resistive Oxide Memory Cells, Non-Volatile Resistive Oxide Memory Arrays, And Methods Of Forming Non-Volatile Resistive Oxide Memory Cells And Memory Arrays
US7910909B2 (en) 2008-04-16 2011-03-22 Samsung Electronics Co., Ltd. Non-volatile memory device and method of fabricating the same
US20090261314A1 (en) 2008-04-16 2009-10-22 Samsung Electronics Co., Ltd. Non-volatile memory device and method of fabricating the same
KR20090109804A (en) 2008-04-16 2009-10-21 삼성전자주식회사 Non-volatile memory device and method of fabricating the same
US20090267047A1 (en) 2008-04-28 2009-10-29 Hitachi, Ltd. Semiconductor memory device and manufacturing method thereof
US20090268532A1 (en) 2008-04-28 2009-10-29 Qimonda Ag Systems and Methods for Writing to a Memory
US20090272960A1 (en) 2008-05-02 2009-11-05 Bhaskar Srinivasan Non-Volatile Resistive Oxide Memory Cells, and Methods Of Forming Non-Volatile Resistive Oxide Memory Cells
US20090303780A1 (en) 2008-06-09 2009-12-10 Qimonda Ag Integrated circuit including an array of diodes coupled to a layer of resistance changing material
US20090316467A1 (en) 2008-06-18 2009-12-24 Jun Liu Memory Device Constructions, Memory Cell Forming Methods, and Semiconductor Construction Forming Methods
US20090317540A1 (en) 2008-06-18 2009-12-24 Gurtej Sandhu Methods Of Forming A Non-Volatile Resistive Oxide Memory Array
US20090315090A1 (en) 2008-06-23 2009-12-24 Rolf Weis Isolation Trenches with Conductive Plates
US20100003782A1 (en) 2008-07-02 2010-01-07 Nishant Sinha Methods Of Forming A Non-Volatile Resistive Oxide Memory Cell And Methods Of Forming A Non-Volatile Resistive Oxide Memory Array
US8094477B2 (en) 2008-07-30 2012-01-10 Kabushiki Kaisha Toshiba Resistance change semiconductor storage device
US20100065836A1 (en) 2008-09-18 2010-03-18 Hynix Semiconductor Inc. Resistive memory device and method of fabricating the same
US20100084741A1 (en) 2008-10-08 2010-04-08 Dieter Andres Integrated Circuit
US20100110759A1 (en) 2008-11-03 2010-05-06 Seagate Technology Llc Programmable resistive memory cell with filament placement structure
US20100135061A1 (en) 2008-12-02 2010-06-03 Shaoping Li Non-Volatile Memory Cell with Ferroelectric Layer Configurations
US20100140578A1 (en) 2008-12-05 2010-06-10 Seagate Technology Llc Non volatile memory cells including a composite solid electrolyte layer
US20100163820A1 (en) 2008-12-26 2010-07-01 Min Seok Son Phase change memory device having a reduced contact area and method for manufacturing the same
US20100163829A1 (en) 2008-12-30 2010-07-01 Industrial Technology Research Institute Conductive bridging random access memory device and method of manufacturing the same
KR20100083402A (en) 2009-01-13 2010-07-22 삼성전자주식회사 Resistive random access memory device and method for fabricating the same
US20100178729A1 (en) 2009-01-13 2010-07-15 Yoon Hongsik Resistance-Type Random Access Memory Device Having Three-Dimensional Bit Line and Word Line Patterning
US20100193761A1 (en) 2009-01-30 2010-08-05 Seagate Technology Llc Programmable metallization memory cell with layered solid electrolyte structure
US20100193758A1 (en) 2009-01-30 2010-08-05 Seagate Technology Llc Programmable metallization memory cell with planarized silver electrode
US20100193762A1 (en) 2009-02-03 2010-08-05 Nanya Technology Corp. Non-volatile memory cell and fabrication method thereof
US8021897B2 (en) 2009-02-19 2011-09-20 Micron Technology, Inc. Methods of fabricating a cross point memory array
US20100232200A1 (en) 2009-03-10 2010-09-16 Shepard Daniel R Vertical switch three-dimensional memory array
US20100237442A1 (en) 2009-03-19 2010-09-23 International Business Machines Corporation Selectively self-assembling oxygen diffusion barrier
US20100259962A1 (en) 2009-04-08 2010-10-14 Tianhong Yan Three-Dimensional Array of Re-Programmable Non-Volatile Memory Elements Having Vertical Bit Lines and a Single-Sided Word Line Architecture

Non-Patent Citations (40)

* Cited by examiner, † Cited by third party
Title
Baek et al., "Multi-Layer cross-point Binary Oxide resistive Memory (OxRRAM) for Post-NAND Storage Application", IEEE, 2005.
Bedeschi et al., "A Bipolar-Selected Phase Change Memory Featuring Multi-Level Cell Storage", IEEE, vol. 44, No. 1, Jan. 2009, pp. 217-227.
Chen et al., "Non-Volatile Resistive Switching for Advanced Memory Applications", IEEE, 2005, 4 pages.
Choi et al., "Defect Structure and Electrical Properties of single-Crystal Ba0.03SR0.97TiO3", J. Am Ceram. Soc., 71, [4], pp. 201-205, 1988.
Courtade et al., "Microstructure and resistance switching in NiO binary oxide films obtained from Ni oxidation", IEEE, 2006, pp. 94-99.
Higaki et al., "Effects of Gas Phase Absorption into Si Substrates on Plasma doping Process" A208, Jan. 2004.
Ho et al., "A Highly Reliable Self-=Aligned Graded Oxide WOx Resistance Memory: Conduction Mechanisms and Reliability", 2007 Symposium on VLSI Technology Digest of Technical Papers, pp. 228-229.
Hosoi et al., "High Speed Unipolar Switching Resistance RAM (RRAM) Technology", Dec. 2006.
Hudgens et al., "Overview of Phase-Change Chalcogenide Nonvolatile Memory Technology", MRS Bulletin, Nov. 2004, pp. 829-832.
Ignatiev et al., "Resistance Non-volatile Memory-RRAM", Mater. Res. Soc. Symp. Proc., vol. 997, 2007, Materials Research Society, 9 pages.
Karg et al., "Nanoscale REsistive Memory Device using SrRiO3 Films", IEEE, 2007, pp. 68-70.
Kau et al., "A Stackable cross point phase change memory", IEEE, 2009, pp. 27.1.1-27.1.4.
Komori et al.;Disturbless Flash Memory due to High Boost Efficiency on BiCS Structure and Optimal Memory Film Stack for Ultra High Density Storage Device; Dec. 2008; pp. 851-854.
Kooij et al., "Photoselective Metal Deposition on Amorphous Silicon p-i-n Solar Cells", Electrochemical Society Letters, Journal of Electrochemical Society vol. 44, No. 10, Oct. 1997, pp. L271-L272.
Kozicki et al., "Non-Volatile Memory Based on Solid Electrolytes", Center for Solid State Electronics Research, Arizona State University, 8 pages, Nov. 2004.
Kozicki, "Memory Devices Based on Solid Electrolytes", Mater. Res. Soc. Symp. Proc., vol. 997, 2007, Materials Research Society, 10 pages.
Lee et al., "2-stack 1D-1R Cross-Point Structure with Oxide Diodes as Switch Elements for High Density Resistance RAM Applications", IEE, 2007, pp. 771-774.
Lee et al., "Resistance Switching of Al doped ZnO for Non-Volatile Memory Applications", Dept. of Materials Science and Engineering, Gwangju Institute of Science and Technology, 2 pages, May 2006.
Lin et al., "Effect of Top Electrode Material on REsistive Switching Properties of ZrO2 Film Memory Devices", IEEE, May 2007, vol. 28, No. 5, pp. 366-368.
Meyer et al., "Oxide Dual-Layer Memory Element for Scalable Non-Volatile Cross-Point Memory Technology", IEEE, 2008, 5 pages.
Miyashita et al., "A Novel Bit-Line Process using Poly-Si Masked Dual-Damascene (PMDD) for 0.13 um DRAMs and Beyond", IEEE, 2000, pp. 15.4.1-15.4.4.
Muller et al., "Emerging Non-Volatile Memory Technologies", IEEE, 2003, pp. 37-44.
Oh, "Full Integration of Highly Manufacturable 512Mb PRAM based on 90nm Technology" 2006, IEEE, 4 pages.
Pein et al., "Performance of the 3-D Pencil Flash EPROM Cell and Memory Array", IEEE, 1995, pp. 1982-1991.
Pellizzer et al., "A 90nm Phase Change Memory Technology for Stand-Alone Non-Volatile Memory Applications", IEEE, 2006, Symposium on VLSI Technology Digest of Technical Papers, 2 pages.
Peroskite RRAM Devices with Metal/Insulator/PCMO/Metal Heterostructures; Chen et al.; 2005; pp. 125-128.
Pirovano et al., "Self-Aligned u Trench Phase-Change Memory Cell Architecture for 90nm Technology and Beyond", IEEE, 2007, pp. 222-225.
Programmable metallization cell, Dec. 11, 2007; http://en.wikipedia.org/wiki/Programmable-metallization-cell on Dec. 13, 2011.
Scheck et al., "Selective Metal Electrodeposition Through Doping Modulation of Semiconductor Surfaces". Applied Physics Letters 86, 2005, 3 pgs.
U.S. Appl. No. 12/701,885, filed Feb. 8, 2010, Sandhu et al.
U.S. Appl. No. 12/765,606, filed Apr. 22, 2010, Tang et al.
U.S. Appl. No. 12/959,015, filed Dec. 2, 2010, Liu.
U.S. Appl. No. 12/979,189, filed Dec. 27, 2010, Sills et al.
U.S. Appl. No. 13/010,048, filed Jan. 20, 2011, Liu et al.
Website, http://en.wikipedia.org/wiki/Programmable-metallization-cell, 4 pages, Dec. 2007.
Wuttig, "Towards a Universal Memory?", Nature Materials, vol. 4, Apr. 2005, pp. 265-266.
Xing et al., "Characterization of AlGaN/GaN p-n Diodes with Selectively Regrown n-AlGaN by Metal-Organic Chemical-Vapor Deposition and its Application to GaN-Based Bipolar Transistors", Journal of Allpied Physics 97, 2005, 4 pgs.
Yih et al., "SiC/Si Heterojunction Diodes Fabricated by Self-Selective and by Blanket Rapid Thermal Chemical Vapor Deposition", IEEE vol. 41 No. 3, Mar. 1994, pp. 281-287.
Yoon et al., "Vertical Cross-Point Resistance Change Memory for Ultra-High Density Non-volatile Memory Applications", 2009 Symposium on VLSI Technology Digest of Technical Papers, pp. 26-27.
Yu et al., "Structure Effects on Resistive Switching of Al/TiOx/A1 Devices for RRAM Applications", IEEE, 2008, pp. 331-333.

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9343145B2 (en) 2008-01-15 2016-05-17 Micron Technology, Inc. Memory cells, memory cell programming methods, memory cell reading methods, memory cell operating methods, and memory devices
US11393530B2 (en) 2008-01-15 2022-07-19 Micron Technology, Inc. Memory cells, memory cell programming methods, memory cell reading methods, memory cell operating methods, and memory devices
US10790020B2 (en) 2008-01-15 2020-09-29 Micron Technology, Inc. Memory cells, memory cell programming methods, memory cell reading methods, memory cell operating methods, and memory devices
US10262734B2 (en) 2008-01-15 2019-04-16 Micron Technology, Inc. Memory cells, memory cell programming methods, memory cell reading methods, memory cell operating methods, and memory devices
US9805792B2 (en) 2008-01-15 2017-10-31 Micron Technology, Inc. Memory cells, memory cell programming methods, memory cell reading methods, memory cell operating methods, and memory devices
US9111788B2 (en) 2008-06-18 2015-08-18 Micron Technology, Inc. Memory device constructions, memory cell forming methods, and semiconductor construction forming methods
US9257430B2 (en) 2008-06-18 2016-02-09 Micron Technology, Inc. Semiconductor construction forming methods
US9559301B2 (en) 2008-06-18 2017-01-31 Micron Technology, Inc. Methods of forming memory device constructions, methods of forming memory cells, and methods of forming semiconductor constructions
US9666801B2 (en) 2008-07-02 2017-05-30 Micron Technology, Inc. Methods of forming a non-volatile resistive oxide memory cell and methods of forming a non-volatile resistive oxide memory array
US9343665B2 (en) 2008-07-02 2016-05-17 Micron Technology, Inc. Methods of forming a non-volatile resistive oxide memory cell and methods of forming a non-volatile resistive oxide memory array
US9036402B2 (en) * 2010-04-22 2015-05-19 Micron Technology, Inc. Arrays of vertically stacked tiers of non-volatile cross point memory cells
US20140226392A1 (en) * 2010-04-22 2014-08-14 Micron Technology, Inc. Arrays Of Vertically Stacked Tiers Of Non-Volatile Cross Point Memory Cells and Methods Of Reading A Data Value Stored By An Array Of Vertically Stacked Tiers Of Non-Volatile Cross Point Memory Cells
US9697873B2 (en) 2010-06-07 2017-07-04 Micron Technology, Inc. Memory arrays
US10656231B1 (en) 2010-06-07 2020-05-19 Micron Technology, Inc. Memory Arrays
US10859661B2 (en) 2010-06-07 2020-12-08 Micron Technology, Inc. Memory arrays
US9412421B2 (en) 2010-06-07 2016-08-09 Micron Technology, Inc. Memory arrays
US9887239B2 (en) 2010-06-07 2018-02-06 Micron Technology, Inc. Memory arrays
US9989616B2 (en) 2010-06-07 2018-06-05 Micron Technology, Inc. Memory arrays
US10241185B2 (en) 2010-06-07 2019-03-26 Micron Technology, Inc. Memory arrays
US10746835B1 (en) 2010-06-07 2020-08-18 Micron Technology, Inc. Memory arrays
US10613184B2 (en) 2010-06-07 2020-04-07 Micron Technology, Inc. Memory arrays
US9424920B2 (en) 2011-02-24 2016-08-23 Micron Technology, Inc. Memory cells, methods of forming memory cells, and methods of programming memory cells
US9368601B2 (en) 2014-02-28 2016-06-14 Sandisk Technologies Inc. Method for forming oxide below control gate in vertical channel thin film transistor
US9583539B2 (en) 2014-08-19 2017-02-28 Sandisk Technologies Llc Word line connection for memory device and method of making thereof
US9419058B1 (en) 2015-02-05 2016-08-16 Sandisk Technologies Llc Memory device with comb-shaped electrode having a plurality of electrode fingers and method of making thereof
US9698202B2 (en) 2015-03-02 2017-07-04 Sandisk Technologies Llc Parallel bit line three-dimensional resistive random access memory
US20210233961A1 (en) * 2019-04-16 2021-07-29 Micron Technology, Inc. Multi-component cell architectures for a memory device
US11637145B2 (en) * 2019-04-16 2023-04-25 Micron Technology, Inc. Multi-component cell architectures for a memory device
US11895850B2 (en) 2021-03-12 2024-02-06 Samsung Electronics Co., Ltd. Variable resistance memory device

Also Published As

Publication number Publication date
US20130235650A1 (en) 2013-09-12
US20140226392A1 (en) 2014-08-14
US9036402B2 (en) 2015-05-19
US8743589B2 (en) 2014-06-03
US20110261606A1 (en) 2011-10-27

Similar Documents

Publication Publication Date Title
US9036402B2 (en) Arrays of vertically stacked tiers of non-volatile cross point memory cells
US8760910B2 (en) Arrays of vertically stacked tiers of non-volatile cross point memory cells, methods of forming arrays of vertically stacked tiers of non-volatile cross point memory cells, and methods of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells
US11011529B2 (en) Memory arrays comprising vertically-alternating tiers of insulative material and memory cells and methods of forming a memory array comprising memory cells individually comprising a transistor and a capacitor
US11244952B2 (en) Array of capacitors, array of memory cells, methods of forming an array of capacitors, and methods of forming an array of memory cells
US20190333917A1 (en) Transistors, Arrays Of Transistors, Arrays Of Memory Cells Individually Comprising A Capacitor And An Elevationally-Extending Transistor, And Methods Of Forming An Array Of Transistors
KR101336413B1 (en) Integrated memory arrays, and methods of forming memory arrays
US20190237470A1 (en) Vertical 1t ferroelectric memory cells, memory arrays and methods of forming the same
US11367726B2 (en) Vertical digit lines for semiconductor devices
US11393820B2 (en) Vertical digit line for semiconductor devices
US20200212043A1 (en) Construction Of Integrated Circuitry, DRAM Circuitry, A Method Of Forming A Conductive Line Construction, A Method Of Forming Memory Circuitry, And A Method Of Forming DRAM Circuitry
US10355129B2 (en) Vertical transistors with sidewall gate air gaps and methods therefor
US11659716B2 (en) Memory circuitry and methods of forming memory circuitry
US10607998B1 (en) Integrated circuitry, DRAM circuitry, method of forming a plurality of conductive vias, and method of forming DRAM circuitry
US20210066135A1 (en) Array Of Vertical Transistors And Method Of Forming An Array Of Vertical Transistors
US20220278001A1 (en) Array Of Vertical Transistors, An Array Of Memory Cells Comprising An Array Of Vertical Transistors, And A Method Used In Forming An Array Of Vertical Transistors
US20220246622A1 (en) Integrated Circuitry, Memory Circuitry, Method Used In Forming Integrated Circuitry, And Method Used In Forming Memory Circuitry
US11563011B2 (en) Integrated circuitry, memory circuitry, method used in forming integrated circuitry, and method used in forming memory circuitry
US20200373307A1 (en) Integrated Circuity, DRAM Circuitry, Methods Used In Forming Integrated Circuitry, And Methods Used In Forming DRAM Circuitry
US20230371282A1 (en) Integrated Circuitry, Array Of Cross-Point Memory Cells, Method Used In Forming Integrated Circuitry
US20210005619A1 (en) Integrated Circuitry, Arrays Of Capacitors Of Integrated Circuitry, And Methods Used In The Fabrication Of Integrated Circuitry
CN112385039A (en) Integrated circuit system architecture

Legal Events

Date Code Title Description
AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SANDHU, GURTEJ S.;TANG, SANH D.;REEL/FRAME:024275/0157

Effective date: 20100421

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT, CALIFORNIA

Free format text: SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038669/0001

Effective date: 20160426

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGEN

Free format text: SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038669/0001

Effective date: 20160426

AS Assignment

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT, MARYLAND

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038954/0001

Effective date: 20160426

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038954/0001

Effective date: 20160426

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT, CALIFORNIA

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043079/0001

Effective date: 20160426

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGEN

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043079/0001

Effective date: 20160426

AS Assignment

Owner name: JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT, ILLINOIS

Free format text: SECURITY INTEREST;ASSIGNORS:MICRON TECHNOLOGY, INC.;MICRON SEMICONDUCTOR PRODUCTS, INC.;REEL/FRAME:047540/0001

Effective date: 20180703

Owner name: JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT, IL

Free format text: SECURITY INTEREST;ASSIGNORS:MICRON TECHNOLOGY, INC.;MICRON SEMICONDUCTOR PRODUCTS, INC.;REEL/FRAME:047540/0001

Effective date: 20180703

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT;REEL/FRAME:047243/0001

Effective date: 20180629

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT;REEL/FRAME:050937/0001

Effective date: 20190731

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT;REEL/FRAME:051028/0001

Effective date: 20190731

Owner name: MICRON SEMICONDUCTOR PRODUCTS, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT;REEL/FRAME:051028/0001

Effective date: 20190731

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8