US7846008B2 - Method and apparatus for improved chemical mechanical planarization and CMP pad - Google Patents

Method and apparatus for improved chemical mechanical planarization and CMP pad Download PDF

Info

Publication number
US7846008B2
US7846008B2 US11/697,622 US69762207A US7846008B2 US 7846008 B2 US7846008 B2 US 7846008B2 US 69762207 A US69762207 A US 69762207A US 7846008 B2 US7846008 B2 US 7846008B2
Authority
US
United States
Prior art keywords
pad
polishing
polishing pad
elements
guide plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related, expires
Application number
US11/697,622
Other versions
US20080248734A1 (en
Inventor
Rajeev Bajaj
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiquest Inc
Original Assignee
Semiquest Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from PCT/US2005/035979 external-priority patent/WO2006042010A1/en
Application filed by Semiquest Inc filed Critical Semiquest Inc
Priority to US11/697,622 priority Critical patent/US7846008B2/en
Priority to US11/968,442 priority patent/US20080318505A1/en
Priority to US12/141,876 priority patent/US20090011679A1/en
Publication of US20080248734A1 publication Critical patent/US20080248734A1/en
Assigned to SEMIQUEST INC. reassignment SEMIQUEST INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BAJAJ, RAJEEV
Application granted granted Critical
Publication of US7846008B2 publication Critical patent/US7846008B2/en
Assigned to 3M INNOVATIVE PROPERTIES COMPANY reassignment 3M INNOVATIVE PROPERTIES COMPANY SECURITY AGREEMENT Assignors: SEMIQUEST, INC.
Expired - Fee Related legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • B24B37/26Lapping pads for working plane surfaces characterised by the shape of the lapping pad surface, e.g. grooved

Definitions

  • the present invention relates to the field of chemical mechanical planarization (CMP) and to a CMP polishing pad utilized in CMP processing, in one instance a pad having uniform or near uniform polishing performance across its surface.
  • CMP chemical mechanical planarization
  • CMP chemical mechanical planarization
  • IC integrated circuit
  • CMP is an abrasive process used to remove these layers and polish the surface of a wafer flat to achieve the desired structure.
  • CMP may be performed on both oxides and metals and generally involves the use of chemical slurries applied via a polishing pad that is moved relative to the wafer (e.g., the pad may rotate circularly relative to the wafer).
  • the resulting smooth, flat surface is necessary to maintain the photolithographic depth of focus for subsequent steps and to ensure that the metal interconnects are not deformed over contour steps.
  • Damascene processing requires CMP to remove metals, such as tungsten or copper, from the top surface of a dielectric to define interconnect structures.
  • planarization/polishing performance of a pad/slurry combination is impacted by, among other things, the mechanical properties and slurry distribution ability of the polishing pad and the chemical properties and distribution of the slurry.
  • a polishing pad may be porous and/or include grooves to distribute slurry. However, this reduces the overall strength of the polishing pad, making it more flexible and thus reducing its planarization characteristic.
  • hard (i.e., stiff) pads provide good planarization, but are associated with poor with-in wafer non-uniformity (WIWNU) film removal.
  • Soft (i.e., flexible) pads provide polishing with good WIWNU, but poor planarization. In conventional CMP systems, therefore, harder pads are often placed on top of softer pads to improve WIWNU. Nevertheless, this approach tends to degrade planarization performance when compared to use of a hard pad alone.
  • FIG. 1A illustrates “dishing” as a result of applying a flexible polishing pad to wafer 100 .
  • the flexible polishing pad provides for a smooth surface but creates dishing 106 by over polishing softer elements, such as copper layer 104 , on the surface of substrate 102 .
  • dishing is an undesirable loss of metal thickness, leading to poor device performance.
  • Dishing can be reduced or eliminated through the use of a stiffer polishing pad, which can provide greater planarization.
  • Pads may be made stiffer by reducing the number of pores and/or grooves in the pad, however, this can lead to different consequences, for example poor slurry distribution.
  • the net effect may be to increase the number of surface defects 108 on the substrate 102 and/or copper layer 104 (e.g., by scratching and/or pitting the surface/layer), as shown for example in FIG. 1B which illustrates surface defects 108 that may result from application of a relatively stiff polishing pad to wafer 100 .
  • FIG. 1C shows a cross-section of a wafer 100 ′ having multiple dies thereon. Assume that a copper layer is present on the top surface of wafer 100 ′ and that FIG. 1C illustrates the wafer after CMP polishing with a hard pad has occurred. As can be seen, for those dies closer to the center of wafer, the effects of dishing 110 , 114 and erosion 112 , 116 are less severe than for dies near the edge of the wafer. This is due to the fact that the hard pad must compensate for WIWNU by over-polishing the dies that clear first (i.e., those near the edge of the wafer 100 ′).
  • FIG. 1D illustrates the surface of a post-CMP wafer 100 ′′ after polishing with a stacked pad (i.e., one in which a hard pad is placed over a softer pad).
  • a stacked pad i.e., one in which a hard pad is placed over a softer pad.
  • the dishing and erosion of the features at center and edge of the wafer is more severe than occurs near the center of the wafer 100 ′ illustrated in FIG. 1 C, but less so than occurs near the edge thereof. This is due to the fact that while the softer under-pad degrades planarization, polishing is more uniform, leading to more consistent overall performance across the entire surface of the wafer.
  • Complicating the optimization process is the ever more prevalent use of low-K materials in modern integrated circuits. Such materials are mechanically fragile and, therefore, require that CMP processes use low down force (i.e., low compressive forces when the wafer is held against the pad during polishing operations).
  • Typical down force pressures used in copper CMP are in the range of 3-5 psi, which is acceptable for processing copper—silicon dioxide interconnects and may be extendable to copper—carbon-doped silicon dioxide interconnects.
  • relatively high CMP down force improves WIWNU (by improving the contact between wafer and the pad).
  • polishing pads are typically made of urethanes, either in cast form and filled with micro-porous elements or from non-woven felt coated with polyurethanes.
  • the pad surface undergoes deformation due to polishing forces.
  • the pad surface therefore has to be “regenerated” through a conditioning process.
  • the conditioning process involves pressing a fine, diamond covered disc against the pad surface while the pad is rotated much like during the polishing processes.
  • the diamonds of the conditioning disc cut through and remove the top layer of the polishing pad, thereby exposing a fresh polishing pad surface underneath.
  • FIG. 2A illustrates a side cutaway view of a new polishing pad 200 .
  • Polishing pad 200 contains microelements 204 and grooves 206 , much like those found in commercially available polishing pads such as the IC1000 of Rhom & Haas, Inc.
  • FIG. 2B shows the surface 202 of polishing pad 200 after polishing. The top surface of the pad shows degradation 208 , especially around the microelements 204 where the edges are degraded due to plastic or viscous flow of the bulk urethane material.
  • FIG. 2C shows the surface 202 of the polishing pad after a conditioning process has been completed. Note the depth of grooves 206 is lower than was the case for the new pad illustrated in FIG. 2A due to material removal during conditioning.
  • a polishing pad configured in accordance with an embodiment of the present invention includes a guide plate having affixed thereto a porous slurry distribution layer on one side and a compressible under-layer on the other side.
  • a plurality of polishing elements interdigitated with one another through the slurry distribution layer and the guide plate, so as to be maintained in planar orientation with respect to one other and the guide plate, are affixed to the compressible under-layer with each polishing element protruding above the surface of the guide plate to which the slurry distribution layer is adjacent.
  • a membrane positioned between the guide plate and the slurry distribution layer may be included.
  • Such a membrane may be conductive or non-conductive membrane and may be fastened to the guide plate by an adhesive.
  • the membrane may be an ion exchange membrane.
  • the guide plate of the polishing pad may be made of a non-conducting material and may include holes in which individual polishing elements are accommodated. Some of the polishing elements may have circular cross sections, while others may have triangular cross sections or any other shape. In any event, the polishing elements may be made from any one or combination of: a thermally conducting material, an electrically conducting material, or a non-conducting material. For example, the polishing elements may be made of a conductive polymer polyaniline, carbon, graphite, or metal-filled polymer.
  • One or more of the polishing elements may be fashioned so as to make sliding contact with a wafer surface, while others may be fashioned so as to make rolling contact with a wafer surface (e.g., with a rolling tip made of a polymeric, metal oxide, or electrically conducting material).
  • the slurry distribution material may include a number of slurry flow resistant elements (e.g., pores) and be between 10 and 90 percent porosity.
  • the slurry distribution material is fastened to the guide plate by an adhesive.
  • the slurry distribution material may include multiple layers of different materials.
  • the slurry distribution material may include a surface layer having relatively large pores and a lower layer having relatively small pores. It is conceivable that the slurry distribution element and guide plate functions can be performed by a single material.
  • Such a material may be a guide plate having a open pore foam surface or grooves or baffles to modulate the slurry flow across the surface.
  • the polishing pad may also include wear sensors configured to provide indications of pad wear and/or end-of-life.
  • a polishing pad in a further embodiment of the present invention, includes a guide plate having a plurality of holes therein and being affixed to a compressible under-layer; and a plurality of polishing elements each affixed to the compressible under-layer and passing through a corresponding hole in the guide plate so as to be maintained in a substantially vertical orientation with respect to the compressible under-layer but being translatable in a vertical direction with respect to the guide plate.
  • the polishing pad may also include a slurry distribution material fastened to the guide plate by an adhesive.
  • the polishing elements may have circular and/or triangular cross sections and may be made from cast or molded polyurethane, polymer materials and/or PVA. In some cases, some or all of the polishing elements may contain abrasive materials.
  • One or more of the polishing elements may be fashioned so as to have a cylindrical body, with or without a circular base having a diameter larger than that of the cylindrical body. Some of the polishing elements may have an irregular tip or a dimpled tip.
  • the under-layer may be made from performance polyurethane.
  • the pad may include a pad wear sensor embedded at a depth from a top surface of the pad as measured from a working end of one or more of the polishing elements.
  • the pad wear sensor may be an optically transparent plug having a top surface covered with reflective coating; a number of optically transparent plugs embedded to different depths within the pad; an optically transparent conical plug mounted flush with the top surface of the pad surface; an optically transparent plug having a multi-step surface configured to be exposed to varying degrees as the pad wears; or an optically transparent plug containing screens with varying degrees of transmission arranged in order of reflectivity.
  • the pad wear sensor may be an electrochemical sensor containing two or more probes embedded in the pad, or a conductive plate embedded at a depth below the surface of the pad.
  • FIGS. 1A-1D illustrate the effects of dishing and erosion due to inconsistent planarization across a wafer during CMP operations.
  • FIGS. 2A-2C illustrate concepts of pad wear experienced by conventional polishing pads.
  • FIG. 3A is a cut-away side view of a circular polishing pad configured in accordance with one embodiment of the present invention for use in CMP operations.
  • FIG. 3B illustrates a polishing pad similar to that shown in FIG. 3A , but which includes a compressible under layer in accordance with a further embodiment of the present invention.
  • FIGS. 3C and 3D illustrate further profile views of various polishing pads configured in accordance with various embodiments of the present invention.
  • FIG. 4 is a top view of a polishing pad having interdigitated polishing elements between which slurry may flow in accordance with still another embodiment of the present invention.
  • FIGS. 5A-5D illustrate various shapes of polishing elements that may be used with polishing pads configured in accordance with embodiments of the present invention.
  • FIGS. 6A-6E show various optical sensor designs which may be used in conjunction with polishing pads configured in accordance with embodiments of the present invention.
  • FIG. 7A illustrates an electrochemical sensor positioned below a surface of a new pad in accordance with an embodiment of the present invention.
  • FIG. 7B shows the electrochemical sensor of FIG. 7A exposed as a result of pad wear.
  • FIG. 8A shows an example of a conductive plate embedded below the surface of a polishing pad in accordance with still a her embodiment of the present invention.
  • FIG. 8B shows an arrangement with an eddy current sensor held at the top surface of the pad shown in FIG. 8A to assist in determining pad wear in accordance with an embodiment of the present invention.
  • Described herein are improved CMP polishing pads and processes for polishing semiconductor wafers and structures layered thereon, including metal damascene structures on such wafers.
  • the present invention recognizes the impact of the physical characteristics of a polishing pad in the quality of CMP processing. Specifically, it is known that a more flexible polishing pad produces dishing while a harder pad with reduced slurry distribution produces more surface defects.
  • various polishing pad configurations e.g., with specific examples of geometric ranges, ratios, and materials
  • polishing processes are exemplified herein, it should be appreciated that the present invention can be equally applied to encompass other types of polishing pad fabrication materials and deposition removal techniques. Stated differently, the use of such other materials and techniques are deemed to be within the scope of the present invention as recited in the claims following this description.
  • an improved polishing pad having good planarization characteristics and being capable of providing uniform (or near uniform) pressure across a wafer during CMP operations, and a corresponding method of polishing a wafer using such a pad.
  • the pad is placed on a polish table while a wafer is pressed against the polishing pad with a suitable down force. Slurry is applied to the pad surface while it is rotated relative to the wafer.
  • the pad includes a slurry distribution layer disposed on a guide plate, which is itself mounted on a compressible layer. Polishing elements are mounted on the compressible layer and extend through holes in the guide plate. The polishing elements are therefore free to move in the vertical direction, independent of any neighboring elements. During polishing operations the polishing elements each apply local pressure to the wafer to achieve good planarity, while their independent functioning allows for good WIWNU.
  • the present invention includes polishing processes which involve pressing a wafer against the surface of an engineered, multi-stack polymeric pad in combination with a polishing fluid that may contain sub-micron particles and moving the wafer relative to the polishing pad under pressure so that the moving, pressurized contact results in planar removal of the surface of said wafer.
  • a polishing pad configured in accordance with an embodiment of the invention includes various elements: a polishing fluid distribution layer, polishing contacts or elements, a guide plate, and an optional elastic, resilient (i.e., compressible) under-layer.
  • the various pad elements are polymeric and the polishing elements may be made of an electrically conductive material such as a conductive polymer polyaniline commercially known as PaniTM (available under trade name ORMECOMTM, carbon, graphite or metal filled polymer.
  • the polishing elements may be made of a thermally conductive material, such as carbon, graphite or metal filled polymer.
  • the slurry distribution material may be an open cell foam and the compressible under-layer a closed cell foam. The slurry distribution function may also be accomplished by providing grooves on the guide plate or creating baffles such that slurry flow is modulated.
  • the polishing elements may make sliding contact or rolling contact with the wafer's surface.
  • one or more polishing elements may have a cylindrical body and a rolling tip.
  • the rolling tip may be made of varying materials, such as polymeric, metal oxide or an electrically conducting material.
  • a rolling tip polishing element may be incorporated into the pad material the same way as a sliding contact polishing element.
  • the present polishing pad is able to apply uniform (or near uniform) pressure across the entire surface of the wafer. This unique ability eliminates “hot spots” on the wafer which might cause local material removal rate variations or, in case of low-K materials, initiate material or interface failure damage. As will be evident to those of ordinary skill in the art, this structure also ensures good WIWNU at low down forces.
  • the polishing elements of the pad may be made of any suitable material such as polymer, metal, ceramic or combinations thereof, and are capable of independent or semi-independent movement in the vertical axis.
  • the polishing elements may be of different sizes and may be positioned with varying density across the pad surface.
  • a copper pad is made from elements that preferentially polish copper and is used to remove copper utilizing copper slurry.
  • a barrier pad may be made from elements that preferentially polish barrier materials, such as Ta/TaN or other such refractory metals, and is used to remove barrier materials utilizing barrier slurry.
  • a copper pad is placed on one platen and barrier pad is placed on another platen to remove copper and barrier materials sequentially, utilizing separate copper and barrier slurries or a single slurry.
  • a composite pad containing both copper and barrier removal elements is utilized to remove both copper and barrier materials on single polish platen.
  • the present invention recognizes the importance of individually optimizing two significant parameters in CMP performance, namely WIWNU and planarization, for low pressure processes, to be used in advanced copper polishing process.
  • conventional pads used in semiconductor processing are made from cast polyurethane or are felt coated urethane materials.
  • cast urethane pads with Shore D hardness in the range of 55-75 are used for applications requiring planarization.
  • One such hard pad, the IC1000TM made by Rhom and Haas, Inc. has a shore D hardness of 65. While such a pad provides good planarization, its WIWNU performance may not be adequate for all planarization tasks.
  • a hard pad is typically stacked with a softer under-pad such as the SUBA IVTM pad also made by Rhom and Haas, Inc.
  • the softer under-pad enables the top hard pad to provide global conformation of the pad surface against the wafer.
  • the overall rigidity of the pad stack is thus lower than the rigidity of the hard pad alone. While this may help improve WIWNU, it also causes degradation in planarization performance.
  • the present polishing pad overcomes the limitations of conventional pads by providing independently translatable polishing elements.
  • the compliance of the polishing pad is thus decoupled from its planarization capability as well as its slurry distribution capability.
  • Polishing elements are sized to be significantly larger than the feature scale in the circuits fashioned on the wafer, but smaller than the individual die sizes. This enables planarization at feature and array levels while providing compliance at the die and wafer levels.
  • a suitable material for the polishing elements of the present polishing pad is cast or molded polyurethane, such as DOW PellethaneTM 2201 65D. Other polymer materials such as TorlonTM or DelrinTM may also be used.
  • the polishing elements may be polymeric or may contain abrasive materials such as silica or alumina. In some cases, the polishing elements may be made of PVA to provide good cleaning ability to the pad.
  • the compliant under-layer of the present polishing pad is selected to provide compliance of the order of wafer level bow and warpage.
  • a suitable under-layer material may be performance polyurethane made by Rogers Corporation.
  • a guide plate limits movement of the polishing elements to only the vertical plane (i.e., towards or away from the wafer being polished), and may be made of suitable hard plastic, ceramic or metal. In one embodiment of the present invention the guide plate is made from polycarbonate.
  • polishing pads described herein may be used in a variety of steps associated with CMP processing. This includes utilization in a multi-step processes, wherein multiple polishing pads and slurries of varying characteristics are used in succession, to one step processes, where one polishing pad and one or more slurries are used throughout the entire polishing phase.
  • a pad configured with polyurethane polishing elements may be suitable for planarizing steps while a pad with polishing elements made from PVA may be suitable for buffing and cleaning steps.
  • the polishing pad may be configured with the capability to quantitatively determine wear of the pad's polishing surface or simply “end of pad life”.
  • an “end of pad life” sensor or more generally a “detection sensor” may be embedded in the pad at a predetermined depth from the top surface (i.e., as measured from the tip of the polishing elements). As the pad wears up to the preset thickness at which the sensor is placed or activated, the sensor detects the wear and provides input to the polishing system.
  • the end of life sensor may consist of an optically transparent cylindrical plug having a top surface covered with reflective coating.
  • the plug may be embedded in the pad such that the reflective end of the plug is positioned below the top surface of the pad by a predetermined height.
  • a light source and detector are placed in the platen of the polishing apparatus through an optically transparent window.
  • the reflective surface reflects back the light indicating the pad is still within its useful life.
  • the reflective surface will be abraded away and the light will be transmitted through the pad.
  • the resulting change in the reflected light signal intensity thus provides feedback illustrative of the pad wear. This change can be used to determine “end of pad life” (e.g., end of life may be indicated by the reflected signal intensity being at or below a previously established threshold).
  • the detection hardware may lie below the pad (and platen) or above the pad and that the optical insert can be appropriately modified to detect and interpret the reflected light signal.
  • One or multiple such plugs may be used to determine percentage of remaining pad life. For example, different plugs may be embedded to different depths, corresponding to 25%, 50%, 75% and 100% (or other increments) of pad life. In this way pad wear information can be provided.
  • a single conical plug may mounted flush with the pad surface such that the size of the plug opening exposed during pad usage provides information on the percentage of pad wear and, hence, pad life.
  • the plug may have a multi-step surface, which is exposed to varying degrees as the pad wears. The height of the steps may be calibrated to provide information in terms of percentage of pad wear.
  • the pad life sensor plug may contain screens with varying degrees of transmission arranged in order of reflectivity.
  • the top layer may have 100% reflectivity (e.g., full reflectivity for that plug) and be flush (or nearly so) with the new pad surface.
  • a screen with, say, 75% reflectivity may be embedded, and similarly at 50% of plug depth, a 50% reflectivity screen so embedded and at 75% of plug depth a 25% reflectivity screen so embedded.
  • these relative depths and reflectivity percentages may be varied to achieve similar functionality according to the designer's particular needs.
  • the incident beam will be completely reflected and pad life determined to be 100% (i.e., a new pad).
  • pad life determined to be 100% (i.e., a new pad).
  • the top reflecting layer is removed and the 75% (and lower) reflectivity screens are engaged.
  • the remaining pad life can be determined according to the intensity of the reflected signal.
  • a single element can therefore be used to detect and monitor pad life.
  • the senor may be an electrochemical sensor containing two or more probes embedded in the pad at a predetermined depth or depths from the top surface of the pad when new. As the pad wears, exposing the probes, slurry provides electrical connectivity between the probes, and resulting electrical signal paths formed thereby can be used to transmit or transport signals to a detector so as to detect pad wear and, eventually, end of pad life.
  • the senor may be a conductive plate embedded at a predetermined depth below the surface of a pad when new.
  • An external capacitive or eddy current sensor may be used to detect distance from the conductive plate, hence pad thickness or pad wear. This and other embodiments of the present invention are discussed further below.
  • FIG. 3A a cut-away side profile view of a circular polishing pad 300 used in CMP processing and configured according to one embodiment of the present invention is shown.
  • polishing elements are placed through holes in a guide plate and supported by (e.g., affixed to) a base, such as a compressible under-layer or other housing.
  • the polishing pad 300 rotates relative to the wafer surface being polished, the surface of the polishing pad making contact with the wafer (typically under pressure) at wafer contact surface 302 .
  • a slurry distribution material 304 provides flow control in the slurry pathways between polishing elements 306 .
  • the foundation of polishing pad is the guide plate 308 , which provides lateral support for the polishing elements 306 .
  • the guide plate may be made of a non-conducting material, such as a polymeric or polycarbonate material.
  • the guide plate 308 includes holes fabricated into or drilled out of the guide plate 308 to accommodate each of the polishing elements 306 .
  • the polishing elements 306 may be fixed to a surface other than the guide plate 308 (through which the polishing elements pass); held in place by an adhesive, such as double sided tape or epoxy.
  • polishing elements 306 may be affixed to a flexible under-layer (discussed below) or a housing (also discussed below), but are free to move in a vertical direction with respect to their long axis, through the holes in guide plate 308 .
  • the polishing elements may be constructed such that they have a base diameter larger than the diameter of the guide plate holes thru which they pass.
  • the body of the polishing elements may have a diameter “a” and the guide plate holes a diameter “b”, such that “b” is slightly larger than “a”, but nevertheless smaller than diameter “c”, which is the diameter of the base of the polishing element.
  • polishing elements will resemble a cylinder on top of a flat plate.
  • the depth and spacing of the holes throughout the guide plate 308 may be varied according to an optimized scheme tailored to specific CMP processes.
  • the polishing elements are each maintained in planar orientation with respect to one other and the guide plate.
  • the polishing elements 306 may protrude above surface of the guide plate 308 , as illustrated in FIG. 3A .
  • the polishing elements may be of varying geometric shapes (e.g., circular and/or triangular cross sections) and made from any one or combination of thermally or electrically conducting and non-conducting materials.
  • the polishing elements 306 may be made of an electrically or thermally conductive material, such as conductive polymer, polyaniline commercially known as PaniTM (trade name ORMECOMTM), carbon, graphite or metal filled polymer.
  • the polishing elements 206 may be conventional polishing elements that make sliding contact with the wafer or some or each element may include a rolling contact.
  • some or each polishing element 206 may have a cylindrical body and a rolling tip, similar to a ballpoint pen tip.
  • the rolling tip may be a polymeric, metal oxide or electrically conducting material.
  • the volume between the interdigitated polishing elements 306 may be at least partially filled with the slurry distribution material 304 .
  • the slurry distribution material 304 may include flow resistant elements such as baffles or grooves (not shown), or pores, to regulate slurry flow rate during CMP processing.
  • the porous slurry distribution material 304 has between 10 and 90 percent porosity and may be overlaid on guide plate 308 .
  • the slurry distribution material 304 may be fastened to the guide plate 308 by an adhesive, such as double sided tape.
  • the slurry distribution material 304 may be comprised of various layers of differing materials to achieve desired slurry flow rates at varying depths (from the polishing surface) of the slurry distribution material 304 .
  • a surface layer at the polishing surface may have larger pores to increase the amount and rate of slurry flow on the surface while a lower layer has smaller pores to keep more slurry near the surface layer to help regulate slurry flow.
  • the polishing pad 300 may also include a membrane 310 , located on the surface of the guide plate 308 and forming a barrier between the guide plate 308 and the slurry distribution material 304 and between each portion of the polishing elements 306 extending into the guide plate 308 and the interdigitated volume.
  • the membrane may be located below the guide plate 308 .
  • Membrane 310 may be a conductive or non-conductive membrane and fastened to the guide plate 308 by an adhesive, such as two-sided tape or epoxy.
  • the membrane 310 may be an ion exchange membrane that allows charge to pass but not liquid.
  • Polishing pad 300 may also include a housing 312 , configured such that the guide plate 308 , membrane 310 , polishing elements 306 , and slurry distribution material 304 are at least partially peripherally contained within the housing 312 .
  • the housing 312 may provide additional stability to the polishing pad 300 in addition to providing the interface to means for rotating or otherwise manipulating the pad 300 during polishing operations.
  • the housing 312 may be made of any rigid material, such as a polymer, metal, etc., and fastened to the guide plate 308 by an adhesive, such as double sided tape or epoxy.
  • the thickness 314 (T) of the polishing pad 300 affects the rigidity and physical characteristics of the polish pad during use.
  • the thickness may be 25 millimeters, however, this value may vary from 3 to 10 millimeters according to the materials used in constructing the polishing pad 300 and the type of CMP process to be performed.
  • Pad 300 A is similar in construction to pad 300 described with reference to FIG. 3A , but includes a compressible under-layer 316 .
  • the compressible under-layer 316 provides, among others features, a positive pressure directed toward the polishing surface of the pad when compressed.
  • the compression may vary around 10% at 5 psi (pounds per square inch), however, it will be appreciated that the compression may be varied dependent upon the materials used in constructing polishing pad 300 and the type of CMP process.
  • the compressible under-layer 316 may be formed of BONDTEXTM foam made by RBX Industries, Inc. or PoronTM Performance Urethane made by Rogers Corp.
  • the compressible under layer 316 may be contained within the housing 312 , external to housing 312 , or used in place of housing 312 .
  • FIG. 3C illustrates a cut-away side profile view of polishing pad 300 as used in CMP processing, according to one embodiment of the present invention.
  • the polishing pad 300 is placed on top of the polish table 318 , which rotates relative to the wafer being polished, the polishing elements of the polishing pad make contact with the wafer 320 .
  • the polishing elements 306 may protrude above the slurry distribution material 304 by, say, 2.5 millimeters or less. It will be appreciated, however, that this value may be greater than 2.5 millimeters depending on the material characteristics of the polishing elements 306 and the desired flow of slurry over the surface.
  • FIG. 4 illustrates a top down view of a polishing pad 400 , configured according to one embodiment of the present invention.
  • Polishing elements 406 are interdigitated throughout polishing pad 400 .
  • the slurry distribution material 404 is permeated throughout the volume created by polishing elements 406 protruding from the guide plate (not shown) and enclosed by the housing 412 . While the volume provides a slurry path, the slurry distribution material provides a mechanism to control slurry flow throughout the volume as discussed above.
  • the distribution of the polishing elements 406 may vary according to specific polishing/process requirements or characteristics.
  • the polishing elements 406 may have a density of between 30 and 80 percent of the total polishing pad surface area, as determined by the diameter (D) of each polishing elements 406 and the diameter of the polishing pad 400 .
  • the diameter D is at least 50 micrometers. In other embodiments, the diameter D may vary between 50 micrometers and 12 millimeters Typical diameters of the polishing elements are 3-10 mm.
  • FIGS. 5A-5D show different shapes of polishing elements that may be used in pads configured in accordance with the present invention.
  • the polishing elements may be constructed such that they have a base diameter larger than the diameter of the guide plate holes thru which they pass.
  • the body of the polishing elements may have a diameter a and the guide plate holes a diameter “b”, such that “b” is slightly larger than “a”, but nevertheless smaller than diameter “c”, which is the diameter of the base of the polishing element.
  • polishing elements will resemble a cylinder on top of a flat plate.
  • the depth and spacing of the holes throughout the guide plate may be varied according to an optimized scheme tailored to specific CMP processes.
  • Pad element density is directly related to the material removal rate performance: the higher the pad element density, the higher the removal rate. While a uniform polishing element density pad allows a uniform removal profile, one way to modify the removal profile is to tailor the polishing element density such that a desired removal profile can be achieved. For example, to achieve an edge-fast polish rate, the density of polishing elements may increased in the area where the edge of the wafer comes in contact with the pad. Similarly, removal rates may be increased in the center of the wafer by adjusting polishing element density appropriately. The polishing elements are each maintained in planar orientation with respect to one other and the guide plate.
  • FIG. 5A shows a polishing element 502 having a generally cylindrical shape.
  • FIG. 5B shows a polishing element 504 having a generally cylindrical body mounted on a larger circular base element.
  • FIG. 5C shows a polishing element 506 having a generally cylindrical body with an irregularly shaped polishing tip.
  • FIG. 5D shows a polishing element 508 having a generally cylindrical body with a dimpled polishing tip.
  • polishing pads configured in accordance with embodiments of the present invention incorporate sensors to determine fractional or complete end of pad life (e.g., pad wear leading to end of life).
  • Optical-, electrochemical- or current-based sensors can be used to determine such wear/end of life.
  • the sensors are incorporated into the pad, at one or more predetermined depths below the top surface thereof.
  • the sensors when exposed by pad wear, enable transmission of optical signals or, in case of electrochemical sensors, electrical conductivity to close circuits, thus enabling the transmission of such signals from the sensors to one or more detectors.
  • a conductive plate may be embedded below the top surface of the pad and the detector is placed above or below the pad. The thickness of pad between the plate and the sensor thus affects the signal strength as perceived by the detector and is used to determine fractional or complete end of pad life.
  • FIG. 6A is a cut-away side profile view of an optical sensor 602 embedded in a pad 604 .
  • the top surface of the optical sensor 606 is reflective to enable incident beam 608 to be reflected 610 back, while it is below the top surface.
  • Such sensors are useful for some embodiments of the present invention in which the polishing pad is configured with the capability to quantitatively determine wear of the pad's polishing surface or simply “end of pad life”.
  • optical sensor 602 may act as an “end of pad life” sensor, or more generally a “detection sensor” embedded in the pad 604 at a predetermined depth from the top surface (i.e., as measured from the tip of the polishing elements) thereof. As the pad wears up to the preset thickness at which the sensor is placed or activated, the sensor detects the wear and provides input to the polishing system.
  • the sensor 602 is an optically transparent cylindrical plug having a top surface covered with reflective coating.
  • the plug may be embedded in the pad 604 such that the reflective end of the plug is positioned below the top surface of the pad by a predetermined height.
  • a light source and detector are placed in the platen of the polishing apparatus through an optically transparent window.
  • the reflective surface reflects back the light indicating the pad is still within its useful life.
  • the reflective surface will be abraded away and the light will be transmitted through the pad.
  • the resulting change in the reflected light signal intensity thus provides feedback illustrative of the pad wear. This change can be used to determine “end of pad life” (e.g., end of life may be indicated by the reflected signal intensity being at or below a previously established threshold).
  • the detection hardware may lie below the pad (and platen) or above the pad and that the optical insert can be appropriately modified to detect and interpret the reflected light signal.
  • One or multiple such plugs may be used to determine percentage of remaining pad life. For example, different plugs may be embedded to different depths, corresponding to 25%, 50%, 75% and 100% (or other increments) of pad life. In this way pad wear information can be provided.
  • a single conical plug may mounted flush with the pad surface such that the size of the plug opening exposed during pad usage provides information on the percentage of pad wear and, hence, pad life.
  • the plug may have a multi-step surface, which is exposed to varying degrees as the pad wears. The height of the steps may be calibrated to provide information in terms of percentage of pad wear.
  • the pad life sensor plug may contain screens with varying degrees of transmission arranged in order of reflectivity.
  • the top layer may have 100% reflectivity (e.g., full reflectivity for that plug) and be flush (or nearly so) with the new pad surface.
  • a screen with, say, 75% reflectivity may be embedded, and similarly at 50% of plug depth, a 50% reflectivity screen so embedded and at 75% of plug depth a 25% reflectivity screen so embedded.
  • these relative depths and reflectivity percentages may be varied to achieve similar functionality according to the designer's particular needs.
  • FIGS. 6B-6E show examples of the various optical sensor designs discussed above, which may be used in conjunction with a polishing pad 604 in accordance with embodiments of the present invention.
  • FIG. 6B shows a multi-step optical sensor 612 with reflective surfaces 606 ′
  • FIG. 6C shows a single sensor 614 with multiple reflective surfaces 606 ′′
  • FIG. 6D shows another means for incorporating reflecting surfaces into a single sensor.
  • the reflecting surfaces 606 ′′′ comprise sides of a triangular cross-section sensor 616
  • FIG. 6E shows a variable area optical sensor 618 whereby the cross-section area ratio of reflective surfaces 616 , indicates the fractional pad life remaining.
  • sensors 612 , 614 , 616 and 618 can be incorporated in a polishing pad, flush with a top surface of the pad. Changes in reflected light signal intensity provide information on pad wear to determine end of pad life.
  • the end-of-life sensor may be an electrochemical sensor containing two or more probes embedded in the pad at a predetermined depth or depths from the top surface of the pad when new.
  • FIG. 7A illustrates an electrochemical sensor 702 positioned below a surface of a new pad 704 .
  • slurry provides electrical connectivity between the probes, and resulting electrical signal paths formed thereby can be used to transmit or transport signals to a detector so as to detect pad wear and, eventually, end of pad life.
  • FIG. 7B shows the electrochemical sensor exposed due to pad wear and probes 706 are connected by the presence of slurry element 708 . The continuity in the circuit indicates a certain pad wear has occurred.
  • the end-of-life sensor may be a conductive plate embedded at a predetermined depth below the surface of a pad when new.
  • An external capacitive or eddy current sensor may be used to detect distance from the conductive plate, hence pad thickness or pad wear.
  • FIG. 8A shows an example of this configuration with conductive plate 802 embedded below the pad surface 804 .
  • a capacitive sensor plate 806 is held at the top surface of the pad to determine separation, which is indicative of pad wear.
  • FIG. 8B shows this arrangement with eddy current sensor 808 held at the top surface of the pad to determine separation.

Abstract

A polishing pad includes a guide plate, a porous slurry distribution layer and a flexible under-layer. Polishing elements are interdigitated with one another through the slurry distribution layer and the guide plate. The polishing elements may be affixed to the compressible under-layer and pass through corresponding holes in the guide plate so as to be maintained in a substantially vertical orientation with respect to the compressible under-layer but be translatable in a vertical direction with respect to the guide plate. Optionally, a membrane may be positioned between the guide plate and the slurry distribution layer. The polishing pad may also include wear sensors to assist in determinations of pad wear and end-of-life.

Description

RELATED APPLICATIONS
This application is a continuation of and claims priority to PCT/US05/35979, filed 5 Oct. 2005, which claims the priority benefit of and incorporates by reference U.S. Provisional Application 60/616,944, filed 6 Oct. 2004, and U.S. Provisional Application 60/639,257, filed 27 Dec. 2004; and is a Continuation-in-Part of and claims priority to PCT/US05/35732, filed 5 Oct. 2005, which claims the priority benefit of and incorporates by reference U.S. Provisional Application No. 60/631,188, filed 29 Nov. 2004, and U.S. Provisional Application No. 60/639,257, filed 27 Dec. 2004; all of which are incorporated herein by reference.
FIELD OF THE INVENTION
The present invention relates to the field of chemical mechanical planarization (CMP) and to a CMP polishing pad utilized in CMP processing, in one instance a pad having uniform or near uniform polishing performance across its surface.
BACKGROUND OF THE INVENTION
In modern integrated circuit (IC) fabrication, layers of material are applied to embedded structures previously formed on semiconductor wafers. Chemical mechanical planarization (CMP) is an abrasive process used to remove these layers and polish the surface of a wafer flat to achieve the desired structure. CMP may be performed on both oxides and metals and generally involves the use of chemical slurries applied via a polishing pad that is moved relative to the wafer (e.g., the pad may rotate circularly relative to the wafer). The resulting smooth, flat surface is necessary to maintain the photolithographic depth of focus for subsequent steps and to ensure that the metal interconnects are not deformed over contour steps. Damascene processing requires CMP to remove metals, such as tungsten or copper, from the top surface of a dielectric to define interconnect structures.
The planarization/polishing performance of a pad/slurry combination is impacted by, among other things, the mechanical properties and slurry distribution ability of the polishing pad and the chemical properties and distribution of the slurry. Often a polishing pad may be porous and/or include grooves to distribute slurry. However, this reduces the overall strength of the polishing pad, making it more flexible and thus reducing its planarization characteristic. Typically, hard (i.e., stiff) pads provide good planarization, but are associated with poor with-in wafer non-uniformity (WIWNU) film removal. Soft (i.e., flexible) pads, on the other hand, provide polishing with good WIWNU, but poor planarization. In conventional CMP systems, therefore, harder pads are often placed on top of softer pads to improve WIWNU. Nevertheless, this approach tends to degrade planarization performance when compared to use of a hard pad alone.
FIG. 1A illustrates “dishing” as a result of applying a flexible polishing pad to wafer 100. The flexible polishing pad provides for a smooth surface but creates dishing 106 by over polishing softer elements, such as copper layer 104, on the surface of substrate 102. The consequence of dishing is an undesirable loss of metal thickness, leading to poor device performance.
Dishing can be reduced or eliminated through the use of a stiffer polishing pad, which can provide greater planarization. Pads may be made stiffer by reducing the number of pores and/or grooves in the pad, however, this can lead to different consequences, for example poor slurry distribution. The net effect may be to increase the number of surface defects 108 on the substrate 102 and/or copper layer 104 (e.g., by scratching and/or pitting the surface/layer), as shown for example in FIG. 1B which illustrates surface defects 108 that may result from application of a relatively stiff polishing pad to wafer 100.
Variations in the above-effects may also be present at different points across a wafer. FIG. 1C shows a cross-section of a wafer 100′ having multiple dies thereon. Assume that a copper layer is present on the top surface of wafer 100′ and that FIG. 1C illustrates the wafer after CMP polishing with a hard pad has occurred. As can be seen, for those dies closer to the center of wafer, the effects of dishing 110, 114 and erosion 112, 116 are less severe than for dies near the edge of the wafer. This is due to the fact that the hard pad must compensate for WIWNU by over-polishing the dies that clear first (i.e., those near the edge of the wafer 100′).
FIG. 1D illustrates the surface of a post-CMP wafer 100″ after polishing with a stacked pad (i.e., one in which a hard pad is placed over a softer pad). In this instance the dishing and erosion of the features at center and edge of the wafer (110″, 112″ and 114″, 116″, respectively) is more severe than occurs near the center of the wafer 100′ illustrated in FIG. 1C, but less so than occurs near the edge thereof. This is due to the fact that while the softer under-pad degrades planarization, polishing is more uniform, leading to more consistent overall performance across the entire surface of the wafer.
It is therefore the case that designing CMP polishing pads requires a trade-off between WIWNU and planarization characteristics of the pads. This trade-off has led to the development of polishing pads acceptable for processing dielectric layers (such as silicon dioxide) and metals such as tungsten (which is used for via interconnects in subtractive processing schemes). In copper processing, however, WIWNU directly impacts over-polishing (i.e., the time between complete removal of copper on any one area versus complete removal from across an entire wafer surface) and, hence, metal loss and, similarly, planarization as expressed by metal loss. This leads to variability in the metal remaining in the interconnect structures and impacts performance of the integrated circuit. It is therefore necessary that both planarity and WIWNU characteristics of a pad be optimized for best copper process performance.
Complicating the optimization process is the ever more prevalent use of low-K materials in modern integrated circuits. Such materials are mechanically fragile and, therefore, require that CMP processes use low down force (i.e., low compressive forces when the wafer is held against the pad during polishing operations). Typical down force pressures used in copper CMP are in the range of 3-5 psi, which is acceptable for processing copper—silicon dioxide interconnects and may be extendable to copper—carbon-doped silicon dioxide interconnects. Moreover, it is known that relatively high CMP down force improves WIWNU (by improving the contact between wafer and the pad). However, for semiconductor process technologies beyond 65 nm nodes (which envision the use of porous, low-K dielectric materials that are mechanically fragile and would be easily damaged by current CMP processes), the use of high down force is not a viable option. Indeed, high local stresses brought about by high down force can result in cracking of the low-K materials or even delamination of the low-K films from the wafer surface. At the same time, using low down force pressure during CMP (to achieve lower stresses) will lead to higher WIWNU, requiring longer polish times and resulting in higher metal losses. The trade-off balance discussed above must therefore take into account the presence of these low-K materials in modern semiconductor devices, and much industry attention is presently being focused on processing techniques that reduce the overall stress on the wafer surface during CMP.
Conventional polishing pads are typically made of urethanes, either in cast form and filled with micro-porous elements or from non-woven felt coated with polyurethanes. During polishing, the pad surface undergoes deformation due to polishing forces. The pad surface therefore has to be “regenerated” through a conditioning process. The conditioning process involves pressing a fine, diamond covered disc against the pad surface while the pad is rotated much like during the polishing processes. The diamonds of the conditioning disc cut through and remove the top layer of the polishing pad, thereby exposing a fresh polishing pad surface underneath.
These concepts are illustrated graphically in FIGS. 2A-2C. In particular, FIG. 2A illustrates a side cutaway view of a new polishing pad 200. Polishing pad 200 contains microelements 204 and grooves 206, much like those found in commercially available polishing pads such as the IC1000 of Rhom & Haas, Inc. FIG. 2B shows the surface 202 of polishing pad 200 after polishing. The top surface of the pad shows degradation 208, especially around the microelements 204 where the edges are degraded due to plastic or viscous flow of the bulk urethane material. FIG. 2C shows the surface 202 of the polishing pad after a conditioning process has been completed. Note the depth of grooves 206 is lower than was the case for the new pad illustrated in FIG. 2A due to material removal during conditioning.
Over multiple cycles of polishing and conditioning, it is usually the case that the overall thickness of a pad wears up to a point such that the pad needs to be replaced. It is evident to those practicing in the art that pad wear rates differ from pad to pad and may also differ from one batch of pads to another batch. Currently no quantitative method exists to determine pad wear, hence end of pad life. Instead, the end of pad life is typically based on visual inspection of the pad surface to check for remaining groove depth. In the case of an un-grooved pad, end of pad life decisions are typically based on the number of wafers polished or the time elapsed since the pad was first put in service. Because such metrics are not particularly accurate it is desirable that a consistent, quantitative means to determine “end of pad life” be implemented. That is, a method based on finite wear of the pad surface would be useful in establishing a consistent basis for pad changes.
SUMMARY OF THE INVENTION
A polishing pad configured in accordance with an embodiment of the present invention includes a guide plate having affixed thereto a porous slurry distribution layer on one side and a compressible under-layer on the other side. A plurality of polishing elements interdigitated with one another through the slurry distribution layer and the guide plate, so as to be maintained in planar orientation with respect to one other and the guide plate, are affixed to the compressible under-layer with each polishing element protruding above the surface of the guide plate to which the slurry distribution layer is adjacent. Optionally, a membrane positioned between the guide plate and the slurry distribution layer may be included. Such a membrane may be conductive or non-conductive membrane and may be fastened to the guide plate by an adhesive. In some cases, the membrane may be an ion exchange membrane.
The guide plate of the polishing pad may be made of a non-conducting material and may include holes in which individual polishing elements are accommodated. Some of the polishing elements may have circular cross sections, while others may have triangular cross sections or any other shape. In any event, the polishing elements may be made from any one or combination of: a thermally conducting material, an electrically conducting material, or a non-conducting material. For example, the polishing elements may be made of a conductive polymer polyaniline, carbon, graphite, or metal-filled polymer. One or more of the polishing elements may be fashioned so as to make sliding contact with a wafer surface, while others may be fashioned so as to make rolling contact with a wafer surface (e.g., with a rolling tip made of a polymeric, metal oxide, or electrically conducting material).
The slurry distribution material may include a number of slurry flow resistant elements (e.g., pores) and be between 10 and 90 percent porosity. Preferably, though not necessarily, the slurry distribution material is fastened to the guide plate by an adhesive. In some cases the slurry distribution material may include multiple layers of different materials. For example, the slurry distribution material may include a surface layer having relatively large pores and a lower layer having relatively small pores. It is conceivable that the slurry distribution element and guide plate functions can be performed by a single material. Such a material may be a guide plate having a open pore foam surface or grooves or baffles to modulate the slurry flow across the surface.
The polishing pad may also include wear sensors configured to provide indications of pad wear and/or end-of-life.
In a further embodiment of the present invention, a polishing pad includes a guide plate having a plurality of holes therein and being affixed to a compressible under-layer; and a plurality of polishing elements each affixed to the compressible under-layer and passing through a corresponding hole in the guide plate so as to be maintained in a substantially vertical orientation with respect to the compressible under-layer but being translatable in a vertical direction with respect to the guide plate. The polishing pad may also include a slurry distribution material fastened to the guide plate by an adhesive.
At least some of the polishing elements may have circular and/or triangular cross sections and may be made from cast or molded polyurethane, polymer materials and/or PVA. In some cases, some or all of the polishing elements may contain abrasive materials. One or more of the polishing elements may be fashioned so as to have a cylindrical body, with or without a circular base having a diameter larger than that of the cylindrical body. Some of the polishing elements may have an irregular tip or a dimpled tip. The under-layer may be made from performance polyurethane.
In various embodiments, the pad may include a pad wear sensor embedded at a depth from a top surface of the pad as measured from a working end of one or more of the polishing elements. The pad wear sensor may be an optically transparent plug having a top surface covered with reflective coating; a number of optically transparent plugs embedded to different depths within the pad; an optically transparent conical plug mounted flush with the top surface of the pad surface; an optically transparent plug having a multi-step surface configured to be exposed to varying degrees as the pad wears; or an optically transparent plug containing screens with varying degrees of transmission arranged in order of reflectivity. In still further embodiments, the pad wear sensor may be an electrochemical sensor containing two or more probes embedded in the pad, or a conductive plate embedded at a depth below the surface of the pad.
BRIEF DESCRIPTION OF THE DRAWINGS
The present invention is illustrated by way of example, and not limitation, in the figures of the accompanying drawings, in which:
FIGS. 1A-1D illustrate the effects of dishing and erosion due to inconsistent planarization across a wafer during CMP operations.
FIGS. 2A-2C illustrate concepts of pad wear experienced by conventional polishing pads.
FIG. 3A is a cut-away side view of a circular polishing pad configured in accordance with one embodiment of the present invention for use in CMP operations.
FIG. 3B illustrates a polishing pad similar to that shown in FIG. 3A, but which includes a compressible under layer in accordance with a further embodiment of the present invention.
FIGS. 3C and 3D illustrate further profile views of various polishing pads configured in accordance with various embodiments of the present invention.
FIG. 4 is a top view of a polishing pad having interdigitated polishing elements between which slurry may flow in accordance with still another embodiment of the present invention.
FIGS. 5A-5D illustrate various shapes of polishing elements that may be used with polishing pads configured in accordance with embodiments of the present invention.
FIGS. 6A-6E show various optical sensor designs which may be used in conjunction with polishing pads configured in accordance with embodiments of the present invention.
FIG. 7A illustrates an electrochemical sensor positioned below a surface of a new pad in accordance with an embodiment of the present invention.
FIG. 7B shows the electrochemical sensor of FIG. 7A exposed as a result of pad wear.
FIG. 8A shows an example of a conductive plate embedded below the surface of a polishing pad in accordance with still a her embodiment of the present invention.
FIG. 8B shows an arrangement with an eddy current sensor held at the top surface of the pad shown in FIG. 8A to assist in determining pad wear in accordance with an embodiment of the present invention.
DETAILED DESCRIPTION
Described herein are improved CMP polishing pads and processes for polishing semiconductor wafers and structures layered thereon, including metal damascene structures on such wafers. The present invention recognizes the impact of the physical characteristics of a polishing pad in the quality of CMP processing. Specifically, it is known that a more flexible polishing pad produces dishing while a harder pad with reduced slurry distribution produces more surface defects. Although various polishing pad configurations (e.g., with specific examples of geometric ranges, ratios, and materials) and polishing processes are exemplified herein, it should be appreciated that the present invention can be equally applied to encompass other types of polishing pad fabrication materials and deposition removal techniques. Stated differently, the use of such other materials and techniques are deemed to be within the scope of the present invention as recited in the claims following this description.
Also described herein are an improved polishing pad having good planarization characteristics and being capable of providing uniform (or near uniform) pressure across a wafer during CMP operations, and a corresponding method of polishing a wafer using such a pad. In one embodiment of the present invention, the pad is placed on a polish table while a wafer is pressed against the polishing pad with a suitable down force. Slurry is applied to the pad surface while it is rotated relative to the wafer. The pad includes a slurry distribution layer disposed on a guide plate, which is itself mounted on a compressible layer. Polishing elements are mounted on the compressible layer and extend through holes in the guide plate. The polishing elements are therefore free to move in the vertical direction, independent of any neighboring elements. During polishing operations the polishing elements each apply local pressure to the wafer to achieve good planarity, while their independent functioning allows for good WIWNU.
In addition to various polishing pad configurations, the present invention includes polishing processes which involve pressing a wafer against the surface of an engineered, multi-stack polymeric pad in combination with a polishing fluid that may contain sub-micron particles and moving the wafer relative to the polishing pad under pressure so that the moving, pressurized contact results in planar removal of the surface of said wafer. A polishing pad configured in accordance with an embodiment of the invention includes various elements: a polishing fluid distribution layer, polishing contacts or elements, a guide plate, and an optional elastic, resilient (i.e., compressible) under-layer. In some cases, the various pad elements are polymeric and the polishing elements may be made of an electrically conductive material such as a conductive polymer polyaniline commercially known as Pani™ (available under trade name ORMECOM™, carbon, graphite or metal filled polymer. In other embodiments, the polishing elements may be made of a thermally conductive material, such as carbon, graphite or metal filled polymer. The slurry distribution material may be an open cell foam and the compressible under-layer a closed cell foam. The slurry distribution function may also be accomplished by providing grooves on the guide plate or creating baffles such that slurry flow is modulated.
When the pad is in use (i.e., when it is moving relative to a wafer surface), the polishing elements may make sliding contact or rolling contact with the wafer's surface. In this latter case, one or more polishing elements may have a cylindrical body and a rolling tip. The rolling tip may be made of varying materials, such as polymeric, metal oxide or an electrically conducting material. A rolling tip polishing element may be incorporated into the pad material the same way as a sliding contact polishing element.
Moreover, by providing for independent movement of the polishing elements along a vertical axis, the present polishing pad is able to apply uniform (or near uniform) pressure across the entire surface of the wafer. This unique ability eliminates “hot spots” on the wafer which might cause local material removal rate variations or, in case of low-K materials, initiate material or interface failure damage. As will be evident to those of ordinary skill in the art, this structure also ensures good WIWNU at low down forces.
In varying embodiments of the present invention, the polishing elements of the pad may be made of any suitable material such as polymer, metal, ceramic or combinations thereof, and are capable of independent or semi-independent movement in the vertical axis. The polishing elements may be of different sizes and may be positioned with varying density across the pad surface. Also in varying embodiments of the invention, a copper pad is made from elements that preferentially polish copper and is used to remove copper utilizing copper slurry. A barrier pad may be made from elements that preferentially polish barrier materials, such as Ta/TaN or other such refractory metals, and is used to remove barrier materials utilizing barrier slurry.
In still another embodiment of the invention, a copper pad is placed on one platen and barrier pad is placed on another platen to remove copper and barrier materials sequentially, utilizing separate copper and barrier slurries or a single slurry. In a further embodiment of the invention, a composite pad containing both copper and barrier removal elements is utilized to remove both copper and barrier materials on single polish platen.
The present invention recognizes the importance of individually optimizing two significant parameters in CMP performance, namely WIWNU and planarization, for low pressure processes, to be used in advanced copper polishing process. As indicated above, conventional pads used in semiconductor processing are made from cast polyurethane or are felt coated urethane materials. Typically cast urethane pads with Shore D hardness in the range of 55-75 are used for applications requiring planarization. One such hard pad, the IC1000™ made by Rhom and Haas, Inc., has a shore D hardness of 65. While such a pad provides good planarization, its WIWNU performance may not be adequate for all planarization tasks.
In an attempt to improve WIWNU performance, a hard pad is typically stacked with a softer under-pad such as the SUBA IV™ pad also made by Rhom and Haas, Inc. The softer under-pad enables the top hard pad to provide global conformation of the pad surface against the wafer. The overall rigidity of the pad stack is thus lower than the rigidity of the hard pad alone. While this may help improve WIWNU, it also causes degradation in planarization performance.
Another problem with using a hard pad for polishing is that any non-uniformity in contact between the pad and the wafer surface also leads to non-uniform local pressure, which in turn may cause the local pressure to be higher than the material or interface strength of the low K dielectric. Harder pads may therefore exhibit higher degrees of damage to the low K dielectric. While the use of a softer under-pad provides more even pressure distribution, it may not be sufficient to eliminate all local pressure variations without compromising the planarization ability of the pad stack. There is, therefore a need for polishing pad that provides good planarity with good WIWNU through improved structural design.
The present polishing pad overcomes the limitations of conventional pads by providing independently translatable polishing elements. The compliance of the polishing pad is thus decoupled from its planarization capability as well as its slurry distribution capability. Polishing elements are sized to be significantly larger than the feature scale in the circuits fashioned on the wafer, but smaller than the individual die sizes. This enables planarization at feature and array levels while providing compliance at the die and wafer levels.
A suitable material for the polishing elements of the present polishing pad is cast or molded polyurethane, such as DOW Pellethane™ 2201 65D. Other polymer materials such as Torlon™ or Delrin™ may also be used. The polishing elements may be polymeric or may contain abrasive materials such as silica or alumina. In some cases, the polishing elements may be made of PVA to provide good cleaning ability to the pad.
The compliant under-layer of the present polishing pad is selected to provide compliance of the order of wafer level bow and warpage. A suitable under-layer material may be performance polyurethane made by Rogers Corporation.
As discussed further below, a guide plate limits movement of the polishing elements to only the vertical plane (i.e., towards or away from the wafer being polished), and may be made of suitable hard plastic, ceramic or metal. In one embodiment of the present invention the guide plate is made from polycarbonate.
The polishing pads described herein may be used in a variety of steps associated with CMP processing. This includes utilization in a multi-step processes, wherein multiple polishing pads and slurries of varying characteristics are used in succession, to one step processes, where one polishing pad and one or more slurries are used throughout the entire polishing phase. Alternatively, or in addition, a pad configured with polyurethane polishing elements may be suitable for planarizing steps while a pad with polishing elements made from PVA may be suitable for buffing and cleaning steps.
In some embodiments of the present invention, the polishing pad may be configured with the capability to quantitatively determine wear of the pad's polishing surface or simply “end of pad life”. For example, an “end of pad life” sensor, or more generally a “detection sensor” may be embedded in the pad at a predetermined depth from the top surface (i.e., as measured from the tip of the polishing elements). As the pad wears up to the preset thickness at which the sensor is placed or activated, the sensor detects the wear and provides input to the polishing system.
The end of life sensor may consist of an optically transparent cylindrical plug having a top surface covered with reflective coating. The plug may be embedded in the pad such that the reflective end of the plug is positioned below the top surface of the pad by a predetermined height. A light source and detector are placed in the platen of the polishing apparatus through an optically transparent window. When the light bean is incident on the plug of a new pad, the reflective surface reflects back the light indicating the pad is still within its useful life. However, when the pad has worn to a predetermined level and the top of the plug is approximately level with the now exposed pad surface, the reflective surface will be abraded away and the light will be transmitted through the pad. The resulting change in the reflected light signal intensity thus provides feedback illustrative of the pad wear. This change can be used to determine “end of pad life” (e.g., end of life may be indicated by the reflected signal intensity being at or below a previously established threshold).
The detection hardware may lie below the pad (and platen) or above the pad and that the optical insert can be appropriately modified to detect and interpret the reflected light signal. One or multiple such plugs may be used to determine percentage of remaining pad life. For example, different plugs may be embedded to different depths, corresponding to 25%, 50%, 75% and 100% (or other increments) of pad life. In this way pad wear information can be provided.
In another embodiment of the present invention a single conical plug may mounted flush with the pad surface such that the size of the plug opening exposed during pad usage provides information on the percentage of pad wear and, hence, pad life. In yet another embodiment the plug may have a multi-step surface, which is exposed to varying degrees as the pad wears. The height of the steps may be calibrated to provide information in terms of percentage of pad wear.
In still a further embodiment of the present invention, the pad life sensor plug may contain screens with varying degrees of transmission arranged in order of reflectivity. For example, the top layer may have 100% reflectivity (e.g., full reflectivity for that plug) and be flush (or nearly so) with the new pad surface. At 25% of plug depth, a screen with, say, 75% reflectivity may be embedded, and similarly at 50% of plug depth, a 50% reflectivity screen so embedded and at 75% of plug depth a 25% reflectivity screen so embedded. Of course these relative depths and reflectivity percentages may be varied to achieve similar functionality according to the designer's particular needs.
Initially with such a plug/screen arrangement, the incident beam will be completely reflected and pad life determined to be 100% (i.e., a new pad). As the pad wears, the top reflecting layer is removed and the 75% (and lower) reflectivity screens are engaged. As each such screen is exposed (and subsequently removed by further wear), the remaining pad life can be determined according to the intensity of the reflected signal. A single element can therefore be used to detect and monitor pad life.
In varying embodiments of the present invention, the sensor may be an electrochemical sensor containing two or more probes embedded in the pad at a predetermined depth or depths from the top surface of the pad when new. As the pad wears, exposing the probes, slurry provides electrical connectivity between the probes, and resulting electrical signal paths formed thereby can be used to transmit or transport signals to a detector so as to detect pad wear and, eventually, end of pad life.
In still other embodiments, the sensor may be a conductive plate embedded at a predetermined depth below the surface of a pad when new. An external capacitive or eddy current sensor may be used to detect distance from the conductive plate, hence pad thickness or pad wear. This and other embodiments of the present invention are discussed further below.
Referring now to FIG. 3A, a cut-away side profile view of a circular polishing pad 300 used in CMP processing and configured according to one embodiment of the present invention is shown. As discussed further below, in this polishing pad polishing elements are placed through holes in a guide plate and supported by (e.g., affixed to) a base, such as a compressible under-layer or other housing. In use, the polishing pad 300 rotates relative to the wafer surface being polished, the surface of the polishing pad making contact with the wafer (typically under pressure) at wafer contact surface 302. A slurry distribution material 304 provides flow control in the slurry pathways between polishing elements 306.
The foundation of polishing pad is the guide plate 308, which provides lateral support for the polishing elements 306. The guide plate may be made of a non-conducting material, such as a polymeric or polycarbonate material. In one embodiment of the present invention, the guide plate 308 includes holes fabricated into or drilled out of the guide plate 308 to accommodate each of the polishing elements 306. The polishing elements 306 may be fixed to a surface other than the guide plate 308 (through which the polishing elements pass); held in place by an adhesive, such as double sided tape or epoxy. For example, the polishing elements 306 may be affixed to a flexible under-layer (discussed below) or a housing (also discussed below), but are free to move in a vertical direction with respect to their long axis, through the holes in guide plate 308.
The polishing elements may be constructed such that they have a base diameter larger than the diameter of the guide plate holes thru which they pass. For example, the body of the polishing elements may have a diameter “a” and the guide plate holes a diameter “b”, such that “b” is slightly larger than “a”, but nevertheless smaller than diameter “c”, which is the diameter of the base of the polishing element. In essence then polishing elements will resemble a cylinder on top of a flat plate. In varying embodiments, the depth and spacing of the holes throughout the guide plate 308 may be varied according to an optimized scheme tailored to specific CMP processes. The polishing elements are each maintained in planar orientation with respect to one other and the guide plate.
The polishing elements 306 may protrude above surface of the guide plate 308, as illustrated in FIG. 3A. The polishing elements may be of varying geometric shapes (e.g., circular and/or triangular cross sections) and made from any one or combination of thermally or electrically conducting and non-conducting materials. For example, the polishing elements 306 may be made of an electrically or thermally conductive material, such as conductive polymer, polyaniline commercially known as Pani™ (trade name ORMECOM™), carbon, graphite or metal filled polymer. The polishing elements 206 may be conventional polishing elements that make sliding contact with the wafer or some or each element may include a rolling contact. For example, some or each polishing element 206 may have a cylindrical body and a rolling tip, similar to a ballpoint pen tip. The rolling tip may be a polymeric, metal oxide or electrically conducting material.
As indicated above, the volume between the interdigitated polishing elements 306 may be at least partially filled with the slurry distribution material 304. The slurry distribution material 304 may include flow resistant elements such as baffles or grooves (not shown), or pores, to regulate slurry flow rate during CMP processing. In varying embodiments, the porous slurry distribution material 304 has between 10 and 90 percent porosity and may be overlaid on guide plate 308. The slurry distribution material 304 may be fastened to the guide plate 308 by an adhesive, such as double sided tape. Additionally, the slurry distribution material 304 may be comprised of various layers of differing materials to achieve desired slurry flow rates at varying depths (from the polishing surface) of the slurry distribution material 304. For example, a surface layer at the polishing surface may have larger pores to increase the amount and rate of slurry flow on the surface while a lower layer has smaller pores to keep more slurry near the surface layer to help regulate slurry flow.
The polishing pad 300 may also include a membrane 310, located on the surface of the guide plate 308 and forming a barrier between the guide plate 308 and the slurry distribution material 304 and between each portion of the polishing elements 306 extending into the guide plate 308 and the interdigitated volume. In other cases, the membrane may be located below the guide plate 308. Membrane 310 may be a conductive or non-conductive membrane and fastened to the guide plate 308 by an adhesive, such as two-sided tape or epoxy. For example, the membrane 310 may be an ion exchange membrane that allows charge to pass but not liquid.
Polishing pad 300 may also include a housing 312, configured such that the guide plate 308, membrane 310, polishing elements 306, and slurry distribution material 304 are at least partially peripherally contained within the housing 312. The housing 312 may provide additional stability to the polishing pad 300 in addition to providing the interface to means for rotating or otherwise manipulating the pad 300 during polishing operations. The housing 312 may be made of any rigid material, such as a polymer, metal, etc., and fastened to the guide plate 308 by an adhesive, such as double sided tape or epoxy.
The thickness 314 (T) of the polishing pad 300 affects the rigidity and physical characteristics of the polish pad during use. In one embodiment, the thickness may be 25 millimeters, however, this value may vary from 3 to 10 millimeters according to the materials used in constructing the polishing pad 300 and the type of CMP process to be performed.
Turning now to FIG. 3B a polishing pad 200A is shown. Pad 300A is similar in construction to pad 300 described with reference to FIG. 3A, but includes a compressible under-layer 316. The compressible under-layer 316 provides, among others features, a positive pressure directed toward the polishing surface of the pad when compressed. Typically, the compression may vary around 10% at 5 psi (pounds per square inch), however, it will be appreciated that the compression may be varied dependent upon the materials used in constructing polishing pad 300 and the type of CMP process. For example, the compressible under-layer 316 may be formed of BONDTEX™ foam made by RBX Industries, Inc. or Poron™ Performance Urethane made by Rogers Corp. In varying embodiments, the compressible under layer 316 may be contained within the housing 312, external to housing 312, or used in place of housing 312.
FIG. 3C illustrates a cut-away side profile view of polishing pad 300 as used in CMP processing, according to one embodiment of the present invention. In use, the polishing pad 300 is placed on top of the polish table 318, which rotates relative to the wafer being polished, the polishing elements of the polishing pad make contact with the wafer 320.
In various embodiments, see, e.g., FIG. 3D, the polishing elements 306 may protrude above the slurry distribution material 304 by, say, 2.5 millimeters or less. It will be appreciated, however, that this value may be greater than 2.5 millimeters depending on the material characteristics of the polishing elements 306 and the desired flow of slurry over the surface.
FIG. 4 illustrates a top down view of a polishing pad 400, configured according to one embodiment of the present invention. Polishing elements 406 are interdigitated throughout polishing pad 400. The slurry distribution material 404 is permeated throughout the volume created by polishing elements 406 protruding from the guide plate (not shown) and enclosed by the housing 412. While the volume provides a slurry path, the slurry distribution material provides a mechanism to control slurry flow throughout the volume as discussed above.
The distribution of the polishing elements 406 may vary according to specific polishing/process requirements or characteristics. In varying embodiments, the polishing elements 406 may have a density of between 30 and 80 percent of the total polishing pad surface area, as determined by the diameter (D) of each polishing elements 406 and the diameter of the polishing pad 400. In one embodiment, the diameter D is at least 50 micrometers. In other embodiments, the diameter D may vary between 50 micrometers and 12 millimeters Typical diameters of the polishing elements are 3-10 mm.
FIGS. 5A-5D show different shapes of polishing elements that may be used in pads configured in accordance with the present invention. The polishing elements may be constructed such that they have a base diameter larger than the diameter of the guide plate holes thru which they pass. For example, the body of the polishing elements may have a diameter a and the guide plate holes a diameter “b”, such that “b” is slightly larger than “a”, but nevertheless smaller than diameter “c”, which is the diameter of the base of the polishing element. In essence then polishing elements will resemble a cylinder on top of a flat plate. In varying embodiments, the depth and spacing of the holes throughout the guide plate may be varied according to an optimized scheme tailored to specific CMP processes. Pad element density is directly related to the material removal rate performance: the higher the pad element density, the higher the removal rate. While a uniform polishing element density pad allows a uniform removal profile, one way to modify the removal profile is to tailor the polishing element density such that a desired removal profile can be achieved. For example, to achieve an edge-fast polish rate, the density of polishing elements may increased in the area where the edge of the wafer comes in contact with the pad. Similarly, removal rates may be increased in the center of the wafer by adjusting polishing element density appropriately. The polishing elements are each maintained in planar orientation with respect to one other and the guide plate.
FIG. 5A shows a polishing element 502 having a generally cylindrical shape. FIG. 5B shows a polishing element 504 having a generally cylindrical body mounted on a larger circular base element. FIG. 5C shows a polishing element 506 having a generally cylindrical body with an irregularly shaped polishing tip. FIG. 5D shows a polishing element 508 having a generally cylindrical body with a dimpled polishing tip.
As indicated above, some polishing pads configured in accordance with embodiments of the present invention incorporate sensors to determine fractional or complete end of pad life (e.g., pad wear leading to end of life). Optical-, electrochemical- or current-based sensors can be used to determine such wear/end of life. The sensors are incorporated into the pad, at one or more predetermined depths below the top surface thereof. The sensors, when exposed by pad wear, enable transmission of optical signals or, in case of electrochemical sensors, electrical conductivity to close circuits, thus enabling the transmission of such signals from the sensors to one or more detectors. In case of eddy current or capacitive sensors, a conductive plate may be embedded below the top surface of the pad and the detector is placed above or below the pad. The thickness of pad between the plate and the sensor thus affects the signal strength as perceived by the detector and is used to determine fractional or complete end of pad life.
FIG. 6A is a cut-away side profile view of an optical sensor 602 embedded in a pad 604. The top surface of the optical sensor 606 is reflective to enable incident beam 608 to be reflected 610 back, while it is below the top surface. Such sensors are useful for some embodiments of the present invention in which the polishing pad is configured with the capability to quantitatively determine wear of the pad's polishing surface or simply “end of pad life”. For example, optical sensor 602 may act as an “end of pad life” sensor, or more generally a “detection sensor” embedded in the pad 604 at a predetermined depth from the top surface (i.e., as measured from the tip of the polishing elements) thereof. As the pad wears up to the preset thickness at which the sensor is placed or activated, the sensor detects the wear and provides input to the polishing system.
The sensor 602 is an optically transparent cylindrical plug having a top surface covered with reflective coating. The plug may be embedded in the pad 604 such that the reflective end of the plug is positioned below the top surface of the pad by a predetermined height. A light source and detector are placed in the platen of the polishing apparatus through an optically transparent window. When the light beam is incident on the plug of a new pad, the reflective surface reflects back the light indicating the pad is still within its useful life. However, when the pad has worn to a predetermined level and the top of the plug is approximately level with the now exposed pad surface, the reflective surface will be abraded away and the light will be transmitted through the pad. The resulting change in the reflected light signal intensity thus provides feedback illustrative of the pad wear. This change can be used to determine “end of pad life” (e.g., end of life may be indicated by the reflected signal intensity being at or below a previously established threshold).
It should be apparent that the detection hardware may lie below the pad (and platen) or above the pad and that the optical insert can be appropriately modified to detect and interpret the reflected light signal. One or multiple such plugs may be used to determine percentage of remaining pad life. For example, different plugs may be embedded to different depths, corresponding to 25%, 50%, 75% and 100% (or other increments) of pad life. In this way pad wear information can be provided.
In another embodiment of the present invention a single conical plug may mounted flush with the pad surface such that the size of the plug opening exposed during pad usage provides information on the percentage of pad wear and, hence, pad life. In yet another embodiment the plug may have a multi-step surface, which is exposed to varying degrees as the pad wears. The height of the steps may be calibrated to provide information in terms of percentage of pad wear.
In still a further embodiment of the present invention, the pad life sensor plug may contain screens with varying degrees of transmission arranged in order of reflectivity. For example, the top layer may have 100% reflectivity (e.g., full reflectivity for that plug) and be flush (or nearly so) with the new pad surface. At 25% of plug depth, a screen with, say, 75% reflectivity may be embedded, and similarly at 50% of plug depth, a 50% reflectivity screen so embedded and at 75% of plug depth a 25% reflectivity screen so embedded. Of course these relative depths and reflectivity percentages may be varied to achieve similar functionality according to the designer's particular needs.
FIGS. 6B-6E show examples of the various optical sensor designs discussed above, which may be used in conjunction with a polishing pad 604 in accordance with embodiments of the present invention. Of course other configurations of optical sensors may also be used. In particular, FIG. 6B shows a multi-step optical sensor 612 with reflective surfaces 606′, FIG. 6C shows a single sensor 614 with multiple reflective surfaces 606″, FIG. 6D shows another means for incorporating reflecting surfaces into a single sensor. In this case the reflecting surfaces 606′″ comprise sides of a triangular cross-section sensor 616. FIG. 6E shows a variable area optical sensor 618 whereby the cross-section area ratio of reflective surfaces 616, indicates the fractional pad life remaining. It should be apparent to those of ordinary skill in the art that sensors 612, 614, 616 and 618 can be incorporated in a polishing pad, flush with a top surface of the pad. Changes in reflected light signal intensity provide information on pad wear to determine end of pad life.
In further embodiments of the present invention, the end-of-life sensor may be an electrochemical sensor containing two or more probes embedded in the pad at a predetermined depth or depths from the top surface of the pad when new. An example of such a configuration is shown in FIG. 7A, which illustrates an electrochemical sensor 702 positioned below a surface of a new pad 704. As the pad wears, exposing the probes, slurry provides electrical connectivity between the probes, and resulting electrical signal paths formed thereby can be used to transmit or transport signals to a detector so as to detect pad wear and, eventually, end of pad life. FIG. 7B shows the electrochemical sensor exposed due to pad wear and probes 706 are connected by the presence of slurry element 708. The continuity in the circuit indicates a certain pad wear has occurred.
In still other embodiments of the present invention, the end-of-life sensor may be a conductive plate embedded at a predetermined depth below the surface of a pad when new. An external capacitive or eddy current sensor may be used to detect distance from the conductive plate, hence pad thickness or pad wear. FIG. 8A shows an example of this configuration with conductive plate 802 embedded below the pad surface 804. A capacitive sensor plate 806 is held at the top surface of the pad to determine separation, which is indicative of pad wear. FIG. 8B shows this arrangement with eddy current sensor 808 held at the top surface of the pad to determine separation.
Thus, an improved CMP polishing pad and process for polishing semiconductor wafers and structures layered thereon, including metal damascene structures on such wafers, has been described. Although the present polishing pad and processes for using it have been discussed with reference to certain illustrated examples, it should be remembered that the scope of the present invention should not be limited by such examples. Instead, the true scope of the invention should be measured on in terms of the claims, which follow.

Claims (32)

1. A polishing pad, comprising: a guide plate having affixed thereto a porous slurry distribution layer on one side and a compressible under-layer on opposite side; and a plurality of polishing elements interdigitated with one another through the slurry distribution layer and the guide plate so as to be maintained in planar orientation with respect to one other and the guide plate, each polishing element being affixed to the compressible under-layer and protruding above a surface of the guide plate to which the slurry distribution layer is adjacent.
2. The polishing pad of claim 1, further comprising a membrane positioned between the guide plate and the slurry distribution layer.
3. The polishing pad of claim 2, wherein the membrane comprises a conductive membrane.
4. The polishing pad of claim 2, wherein the membrane comprises a non-conductive membrane.
5. The polishing pad of claim 2, wherein the membrane is fastened to the guide plate by an adhesive.
6. The polishing pad of claim 2, wherein the membrane comprises an ion exchange membrane.
7. The polishing pad of claim 1, wherein the guide plate is made of a non-conducting material.
8. The polishing pad of claim 1, wherein at least some of the polishing elements have circular cross sections.
9. The polishing pad of claim 1, wherein at least some of the polishing elements have triangular cross sections.
10. The polishing pad of claim 1, wherein the polishing elements are made from any one or combination of: a thermally conducting material, an electrically conducting material, or a non-conducting material.
11. The polishing pad of claim 10, wherein the polishing elements are made of one of: a conductive polymer polyaniline, carbon, graphite, or metal-filled polymer.
12. The polishing pad of claim 1, wherein one or more of the polishing elements are fashioned so as to make sliding contact with a wafer surface.
13. The polishing pad of claim 1, wherein one or more of the polishing elements are fashioned so as to make rolling contact with a wafer surface.
14. The polishing pad of claim 13, wherein the one or more of the polishing elements fashioned so as to make rolling contact with a wafer surface has a cylindrical body and a rolling tip.
15. The polishing pad of claim 14, wherein the rolling tips of the one or more of the polishing elements are made of one of the following materials: a polymeric, metal oxide, or electrically conducting material.
16. The polishing pad of claim 1, wherein the slurry distribution material includes a number of slurry flow resistant elements.
17. The polishing pad of claim 16, wherein the slurry distribution material has between 10 and 90 percent porosity.
18. The polishing pad of claim 1, wherein the slurry distribution material is fastened to the guide plate by an adhesive.
19. The polishing pad of claim 1, wherein the slurry distribution material includes multiple layers of different materials.
20. The polishing pad of claim 19, wherein the slurry distribution material comprises a surface layer having relatively large pores and a lower layer having relatively small pores.
21. The polishing pad of claim 1, further comprising a housing configured to at least partially peripherally contain the guide plate, the polishing elements, and the slurry distribution material therein.
22. The polishing pad of claim 1, wherein the polishing pad has a thickness of between 3 and 10 millimeters.
23. The polishing pad of claim 1, wherein the compressible under-layer is formed of a foam or resilient polymer configured to provide a positive pressure directed toward a polishing surface of the polishing pad when compressed.
24. The polishing pad of claim 1, wherein the polishing elements are distributed across a face of the polishing pad such that collectively the polishing elements have a density of between 30 to 80 percent of a total polishing pad surface area.
25. The polishing pad of claim 1, further comprising a pad wear sensor embedded at a depth from a top surface of the pad as measured from a working end of one or more of the polishing elements.
26. The polishing pad of claim 25, wherein the pad wear sensor comprises an optically transparent plug having a top surface covered with reflective coating.
27. The polishing pad of claim 25, wherein the pad wear sensor comprises a number of optically transparent plugs embedded to different depths within the pad.
28. The polishing pad of claim 25, wherein the pad wear sensor comprises an optically transparent conical plug mounted flush with the top surface of the pad surface.
29. The polishing pad of claim 25, wherein the pad wear sensor comprises an optically transparent plug having a multi-step surface configured to be exposed to varying degrees as the pad wears.
30. The polishing pad of claim 25, wherein the pad wear sensor comprises an optically transparent plug containing screens with varying degrees of transmission arranged in order of reflectivity.
31. The polishing pad of claim 25, wherein the pad wear sensor comprises an electrochemical sensor containing two or more probes embedded in the pad.
32. The polishing pad of claim 25, wherein the pad wear sensor comprises a conductive plate embedded at a depth below the surface of the pad.
US11/697,622 2004-11-29 2007-04-06 Method and apparatus for improved chemical mechanical planarization and CMP pad Expired - Fee Related US7846008B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US11/697,622 US7846008B2 (en) 2004-11-29 2007-04-06 Method and apparatus for improved chemical mechanical planarization and CMP pad
US11/968,442 US20080318505A1 (en) 2004-11-29 2008-01-02 Chemical mechanical planarization pad and method of use thereof
US12/141,876 US20090011679A1 (en) 2007-04-06 2008-06-18 Method of removal profile modulation in cmp pads

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US63118804P 2004-11-29 2004-11-29
US63925704P 2004-12-27 2004-12-27
PCT/US2005/035979 WO2006042010A1 (en) 2004-10-06 2005-10-05 Method and apparatus for improved chemical mechanical planarization
US11/697,622 US7846008B2 (en) 2004-11-29 2007-04-06 Method and apparatus for improved chemical mechanical planarization and CMP pad

Related Parent Applications (3)

Application Number Title Priority Date Filing Date
PCT/US2005/035979 Continuation WO2006042010A1 (en) 2004-10-06 2005-10-05 Method and apparatus for improved chemical mechanical planarization
PCT/US2005/035660 Continuation-In-Part WO2006057713A2 (en) 2004-11-29 2005-10-05 Electro-method and apparatus for improved chemical mechanical planarization pad with uniform polish performance
US57694207A Continuation-In-Part 2004-11-29 2007-10-16

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US11/968,442 Continuation-In-Part US20080318505A1 (en) 2004-11-29 2008-01-02 Chemical mechanical planarization pad and method of use thereof
US12/141,876 Continuation-In-Part US20090011679A1 (en) 2007-04-06 2008-06-18 Method of removal profile modulation in cmp pads

Publications (2)

Publication Number Publication Date
US20080248734A1 US20080248734A1 (en) 2008-10-09
US7846008B2 true US7846008B2 (en) 2010-12-07

Family

ID=39827371

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/697,622 Expired - Fee Related US7846008B2 (en) 2004-11-29 2007-04-06 Method and apparatus for improved chemical mechanical planarization and CMP pad

Country Status (1)

Country Link
US (1) US7846008B2 (en)

Cited By (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080164153A1 (en) * 2004-11-29 2008-07-10 Rajeev Bajaj Electro-Method and Apparatus for Improved Chemical Mechanical Planarization Pad with Uniform Polish Performance
US20090061744A1 (en) * 2007-08-28 2009-03-05 Rajeev Bajaj Polishing pad and method of use
US20130102231A1 (en) * 2009-12-30 2013-04-25 3M Innovative Properties Company Organic particulate loaded polishing pads and method of making and using the same
US9067297B2 (en) 2011-11-29 2015-06-30 Nexplanar Corporation Polishing pad with foundation layer and polishing surface layer
US9067298B2 (en) 2011-11-29 2015-06-30 Nexplanar Corporation Polishing pad with grooved foundation layer and polishing surface layer
US9296085B2 (en) 2011-05-23 2016-03-29 Nexplanar Corporation Polishing pad with homogeneous body having discrete protrusions thereon
US9373524B2 (en) * 2014-04-23 2016-06-21 International Business Machines Corporation Die level chemical mechanical polishing
US9597769B2 (en) 2012-06-04 2017-03-21 Nexplanar Corporation Polishing pad with polishing surface layer having an aperture or opening above a transparent foundation layer
US20170190018A1 (en) * 2016-01-05 2017-07-06 Fujikoshi Machinery Corp. Method of polishing work and method of dressing polishing pad
TWI600498B (en) * 2012-03-29 2017-10-01 Fujibo Holdings Inc Honing pad and honing pad manufacturing method
US9776361B2 (en) 2014-10-17 2017-10-03 Applied Materials, Inc. Polishing articles and integrated system and methods for manufacturing chemical mechanical polishing articles
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US10272540B2 (en) * 2015-05-29 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd System and method for polishing substrate
US10322491B2 (en) 2014-10-17 2019-06-18 Applied Materials, Inc. Printed chemical mechanical polishing pad
US10384330B2 (en) 2014-10-17 2019-08-20 Applied Materials, Inc. Polishing pads produced by an additive manufacturing process
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10399201B2 (en) 2014-10-17 2019-09-03 Applied Materials, Inc. Advanced polishing pads having compositional gradients by use of an additive manufacturing process
US10456886B2 (en) 2016-01-19 2019-10-29 Applied Materials, Inc. Porous chemical mechanical polishing pads
US20200055161A1 (en) * 2015-10-30 2020-02-20 Applied Materials, Inc. Apparatus and method of forming a polishing article that has a desired zeta potential
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10596763B2 (en) 2017-04-21 2020-03-24 Applied Materials, Inc. Additive manufacturing with array of energy sources
US10773509B2 (en) 2016-03-09 2020-09-15 Applied Materials, Inc. Pad structure and fabrication methods
US10821573B2 (en) 2014-10-17 2020-11-03 Applied Materials, Inc. Polishing pads produced by an additive manufacturing process
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US10875145B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Polishing pads produced by an additive manufacturing process
US10919123B2 (en) 2018-02-05 2021-02-16 Applied Materials, Inc. Piezo-electric end-pointing for 3D printed CMP pads
US11072050B2 (en) 2017-08-04 2021-07-27 Applied Materials, Inc. Polishing pad with window and manufacturing methods thereof
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US11524384B2 (en) 2017-08-07 2022-12-13 Applied Materials, Inc. Abrasive delivery polishing pads and manufacturing methods thereof
US11685014B2 (en) 2018-09-04 2023-06-27 Applied Materials, Inc. Formulations for advanced polishing pads
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US11806829B2 (en) 2020-06-19 2023-11-07 Applied Materials, Inc. Advanced polishing pads and related polishing pad manufacturing methods
US11813712B2 (en) 2019-12-20 2023-11-14 Applied Materials, Inc. Polishing pads having selectively arranged porosity
US11826876B2 (en) 2018-05-07 2023-11-28 Applied Materials, Inc. Hydrophilic and zeta potential tunable chemical mechanical polishing pads
US11851570B2 (en) 2019-04-12 2023-12-26 Applied Materials, Inc. Anionic polishing pads formed by printing processes
US11878389B2 (en) 2021-02-10 2024-01-23 Applied Materials, Inc. Structures formed using an additive manufacturing process for regenerating surface texture in situ
US11958162B2 (en) 2020-01-17 2024-04-16 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8177603B2 (en) * 2008-04-29 2012-05-15 Semiquest, Inc. Polishing pad composition
KR20110033277A (en) * 2008-07-18 2011-03-30 쓰리엠 이노베이티브 프로퍼티즈 캄파니 Polishing pad with floating elements and method of making and using the same
US8292692B2 (en) * 2008-11-26 2012-10-23 Semiquest, Inc. Polishing pad with endpoint window and systems and method using the same
EP2411181A1 (en) 2009-03-24 2012-02-01 Saint-Gobain Abrasives, Inc. Abrasive tool for use as a chemical mechanical planarization pad conditioner
WO2010141464A2 (en) * 2009-06-02 2010-12-09 Saint-Gobain Abrasives, Inc. Corrosion-resistant cmp conditioning tools and methods for making and using same
US20110097977A1 (en) * 2009-08-07 2011-04-28 Abrasive Technology, Inc. Multiple-sided cmp pad conditioning disk
EP2474025A2 (en) 2009-09-01 2012-07-11 Saint-Gobain Abrasives, Inc. Chemical mechanical polishing conditioner
CN102686362A (en) * 2009-12-30 2012-09-19 3M创新有限公司 Polishing pads including phase-separated polymer blend and method of making and using the same
WO2015023442A1 (en) 2013-08-10 2015-02-19 Applied Materials, Inc. Cmp pads having material composition that facilitates controlled conditioning
CN109420973B (en) * 2017-09-05 2020-11-17 联华电子股份有限公司 Wafer polishing disk and use method thereof
CN110614580B (en) * 2019-10-22 2021-11-19 西安奕斯伟材料科技有限公司 Polishing pad, preparation method thereof and chemical mechanical polishing equipment
KR20240018652A (en) * 2021-06-14 2024-02-13 어플라이드 머티어리얼스, 인코포레이티드 Polishing pads with interconnected pores

Citations (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5212910A (en) 1991-07-09 1993-05-25 Intel Corporation Composite polishing pad for semiconductor process
US5489233A (en) 1994-04-08 1996-02-06 Rodel, Inc. Polishing pads and methods for their use
US5607346A (en) 1993-05-14 1997-03-04 Wilson; Stuart M. Polishing tool component
US5609517A (en) * 1995-11-20 1997-03-11 International Business Machines Corporation Composite polishing pad
US5795218A (en) * 1996-09-30 1998-08-18 Micron Technology, Inc. Polishing pad with elongated microcolumns
US5893976A (en) 1994-10-28 1999-04-13 M.J. Bauer Company, Inc Method for treatment of water
US6019666A (en) 1997-05-09 2000-02-01 Rodel Holdings Inc. Mosaic polishing pads and methods relating thereto
US6024630A (en) 1995-06-09 2000-02-15 Applied Materials, Inc. Fluid-pressure regulated wafer polishing head
US6089965A (en) * 1998-07-15 2000-07-18 Nippon Pillar Packing Co., Ltd. Polishing pad
US6090475A (en) 1996-05-24 2000-07-18 Micron Technology Inc. Polishing pad, methods of manufacturing and use
JP2001071256A (en) 1999-08-31 2001-03-21 Shinozaki Seisakusho:Kk Method and device for grooving polishing pad, and polishing pad
US20010035354A1 (en) 2000-05-12 2001-11-01 Nu Tool Inc. Method of and apparatus for making electrical contact to wafer surface for full-face electroplating or electropolishing
US20010039175A1 (en) 2000-02-29 2001-11-08 Reza Golzarian Polishing pad surface on hollow posts
US6498101B1 (en) 2000-02-28 2002-12-24 Micron Technology, Inc. Planarizing pads, planarizing machines and methods for making and using planarizing pads in mechanical and chemical-mechanical planarization of microelectronic device substrate assemblies
US20030132120A1 (en) 2002-01-11 2003-07-17 Ismail Emesh Method and apparatus for the electrochemical deposition and planarization of a material on a workpiece surface
US20030153245A1 (en) 2002-01-17 2003-08-14 Homayoun Talieh Advanced chemical mechanical polishing system with smart endpoint detection
US6612916B2 (en) 2001-01-08 2003-09-02 3M Innovative Properties Company Article suitable for chemical mechanical planarization processes
US20030209528A1 (en) 1998-08-26 2003-11-13 Choo Dae-Ho Laser cutting apparatus and method
US20030220053A1 (en) 2000-02-17 2003-11-27 Applied Materials, Inc. Apparatus for electrochemical processing
US20040110381A1 (en) 2002-12-04 2004-06-10 Matsushita Electric Industrial Co., Ltd. Chemical mechanical polishing method and apparatus
US6752693B1 (en) 2002-07-26 2004-06-22 Lam Research Corporation Afferent-based polishing media for chemical mechanical planarization
US20040166779A1 (en) 2003-02-24 2004-08-26 Sudhakar Balijepalli Materials and methods for chemical-mechanical planarization
US20040163946A1 (en) 2000-02-17 2004-08-26 Applied Materials, Inc. Pad assembly for electrochemical mechanical processing
US6794605B2 (en) 2001-08-02 2004-09-21 Skc Co., Ltd Method for fabricating chemical mechanical polshing pad using laser
US20040214510A1 (en) 2003-04-23 2004-10-28 So Joseph K. Conductive polishing pad with anode and cathode
US20050092621A1 (en) 2000-02-17 2005-05-05 Yongqi Hu Composite pad assembly for electrochemical mechanical processing (ECMP)
US20050124262A1 (en) 2003-12-03 2005-06-09 Applied Materials, Inc. Processing pad assembly with zone control
US20050159084A1 (en) 2004-01-21 2005-07-21 Basol Bulent M. Chemical mechanical polishing method and apparatus for controlling material removal profile
US6962524B2 (en) 2000-02-17 2005-11-08 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US6964604B2 (en) 2000-06-23 2005-11-15 International Business Machines Corporation Fiber embedded polishing pad
US6986705B2 (en) 2004-04-05 2006-01-17 Rimpad Tech Ltd. Polishing pad and method of making same
US6988942B2 (en) 2000-02-17 2006-01-24 Applied Materials Inc. Conductive polishing article for electrochemical mechanical polishing
US7020306B2 (en) 2000-02-08 2006-03-28 Hitachi, Ltd. Polishing pad surface condition evaluation method and an apparatus thereof and a method of producing a semiconductor device
US20060079159A1 (en) 2004-10-08 2006-04-13 Markus Naujok Chemical mechanical polish with multi-zone abrasive-containing matrix
US7029747B2 (en) 2002-09-17 2006-04-18 Korea Polyol Co., Ltd. Integral polishing pad and manufacturing method thereof
US20060116051A1 (en) * 2000-09-29 2006-06-01 Strasbaugh, Inc. Polishing pad with built-in optical sensor
US7192340B2 (en) 2000-12-01 2007-03-20 Toyo Tire & Rubber Co., Ltd. Polishing pad, method of producing the same, and cushion layer for polishing pad

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02235156A (en) * 1989-03-08 1990-09-18 Canon Inc Information processor
US6692524B2 (en) * 2001-01-19 2004-02-17 Georges Baikoff Techniques and implants for correcting presbyopia

Patent Citations (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5212910A (en) 1991-07-09 1993-05-25 Intel Corporation Composite polishing pad for semiconductor process
US5607346A (en) 1993-05-14 1997-03-04 Wilson; Stuart M. Polishing tool component
US5489233A (en) 1994-04-08 1996-02-06 Rodel, Inc. Polishing pads and methods for their use
US5893976A (en) 1994-10-28 1999-04-13 M.J. Bauer Company, Inc Method for treatment of water
US6024630A (en) 1995-06-09 2000-02-15 Applied Materials, Inc. Fluid-pressure regulated wafer polishing head
US20020173255A1 (en) 1995-06-09 2002-11-21 Norman Shendon Chemical mechanical polishing retaining ring
US5609517A (en) * 1995-11-20 1997-03-11 International Business Machines Corporation Composite polishing pad
US6090475A (en) 1996-05-24 2000-07-18 Micron Technology Inc. Polishing pad, methods of manufacturing and use
US5795218A (en) * 1996-09-30 1998-08-18 Micron Technology, Inc. Polishing pad with elongated microcolumns
US6019666A (en) 1997-05-09 2000-02-01 Rodel Holdings Inc. Mosaic polishing pads and methods relating thereto
US6089965A (en) * 1998-07-15 2000-07-18 Nippon Pillar Packing Co., Ltd. Polishing pad
US20030209528A1 (en) 1998-08-26 2003-11-13 Choo Dae-Ho Laser cutting apparatus and method
JP2001071256A (en) 1999-08-31 2001-03-21 Shinozaki Seisakusho:Kk Method and device for grooving polishing pad, and polishing pad
US7020306B2 (en) 2000-02-08 2006-03-28 Hitachi, Ltd. Polishing pad surface condition evaluation method and an apparatus thereof and a method of producing a semiconductor device
US6962524B2 (en) 2000-02-17 2005-11-08 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US6988942B2 (en) 2000-02-17 2006-01-24 Applied Materials Inc. Conductive polishing article for electrochemical mechanical polishing
US20050092621A1 (en) 2000-02-17 2005-05-05 Yongqi Hu Composite pad assembly for electrochemical mechanical processing (ECMP)
US20040163946A1 (en) 2000-02-17 2004-08-26 Applied Materials, Inc. Pad assembly for electrochemical mechanical processing
US20030220053A1 (en) 2000-02-17 2003-11-27 Applied Materials, Inc. Apparatus for electrochemical processing
US6498101B1 (en) 2000-02-28 2002-12-24 Micron Technology, Inc. Planarizing pads, planarizing machines and methods for making and using planarizing pads in mechanical and chemical-mechanical planarization of microelectronic device substrate assemblies
US20010039175A1 (en) 2000-02-29 2001-11-08 Reza Golzarian Polishing pad surface on hollow posts
US20010035354A1 (en) 2000-05-12 2001-11-01 Nu Tool Inc. Method of and apparatus for making electrical contact to wafer surface for full-face electroplating or electropolishing
US6964604B2 (en) 2000-06-23 2005-11-15 International Business Machines Corporation Fiber embedded polishing pad
US20060116051A1 (en) * 2000-09-29 2006-06-01 Strasbaugh, Inc. Polishing pad with built-in optical sensor
US7192340B2 (en) 2000-12-01 2007-03-20 Toyo Tire & Rubber Co., Ltd. Polishing pad, method of producing the same, and cushion layer for polishing pad
US6612916B2 (en) 2001-01-08 2003-09-02 3M Innovative Properties Company Article suitable for chemical mechanical planarization processes
US6794605B2 (en) 2001-08-02 2004-09-21 Skc Co., Ltd Method for fabricating chemical mechanical polshing pad using laser
US20040232121A1 (en) 2001-08-02 2004-11-25 Inha Park Method for fabricating polishing pad using laser beam and mask
US20030132120A1 (en) 2002-01-11 2003-07-17 Ismail Emesh Method and apparatus for the electrochemical deposition and planarization of a material on a workpiece surface
US20060063469A1 (en) 2002-01-17 2006-03-23 Homayoun Talieh Advanced chemical mechanical polishing system with smart endpoint detection
US20030153245A1 (en) 2002-01-17 2003-08-14 Homayoun Talieh Advanced chemical mechanical polishing system with smart endpoint detection
US6752693B1 (en) 2002-07-26 2004-06-22 Lam Research Corporation Afferent-based polishing media for chemical mechanical planarization
US7029747B2 (en) 2002-09-17 2006-04-18 Korea Polyol Co., Ltd. Integral polishing pad and manufacturing method thereof
US20040110381A1 (en) 2002-12-04 2004-06-10 Matsushita Electric Industrial Co., Ltd. Chemical mechanical polishing method and apparatus
US20040166779A1 (en) 2003-02-24 2004-08-26 Sudhakar Balijepalli Materials and methods for chemical-mechanical planarization
US20040214510A1 (en) 2003-04-23 2004-10-28 So Joseph K. Conductive polishing pad with anode and cathode
US20050124262A1 (en) 2003-12-03 2005-06-09 Applied Materials, Inc. Processing pad assembly with zone control
US20050159084A1 (en) 2004-01-21 2005-07-21 Basol Bulent M. Chemical mechanical polishing method and apparatus for controlling material removal profile
US6986705B2 (en) 2004-04-05 2006-01-17 Rimpad Tech Ltd. Polishing pad and method of making same
US20060079159A1 (en) 2004-10-08 2006-04-13 Markus Naujok Chemical mechanical polish with multi-zone abrasive-containing matrix

Non-Patent Citations (9)

* Cited by examiner, † Cited by third party
Title
Bajaj, Rajeev, PCT/US05/35660, International Search Report and Written Opinion, Jun. 15, 2007, 8pp, International Searching Authority-US, Alexandria, Virginia.
Bajaj, Rajeev, PCT/US05/35732 filed Oct. 5, 2005, International Search Report and Written Opinion dated Nov. 28, 2006, 6pp, WO.
Bajaj, Rajeev, PCT/US05/35978, International Search Report and Written Opinion, Mar. 15, 2006, 10pp, International Searching Authority-US, Alexandria, Virginia.
Bajaj, Rajeev, PCT/US05/35979 filed Oct. 5, 2005, International Search Report and Written Opinion dated Feb. 24, 2006, 8pp, WO.
Bajaj, Rajeev; EP Application No. 05808056.5 filed Oct. 5, 2005; Extended EP Search Report; European Patent Office; Nov. 16, 2009; 4pp.
Bajaj, Rajeev; PCT/US05/35660 filed Oct. 5, 2005; International Preliminary Report on Patentability; WIPO; Jul. 19, 2007; 6pp.
Bajaj, Rajeev; PCT/US05/35732 filed Oct. 5, 2005; International Preliminary Report on Patentability; WIPO; Jun. 7, 2007; 5pp.
Bajaj, Rajeev; PCT/US05/35978 filed Oct. 5, 2005; International Preliminary Report on Patentability; WIPO; Jun. 7, 2007; 7pp.
Bajaj, Rajeev; PCT/US05/35979 filed Oct. 5, 2005; International Preliminary Report on Patentability; IPEA/US; Sep. 2006; 7pp.

Cited By (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8075745B2 (en) * 2004-11-29 2011-12-13 Semiquest Inc. Electro-method and apparatus for improved chemical mechanical planarization pad with uniform polish performance
US20080164153A1 (en) * 2004-11-29 2008-07-10 Rajeev Bajaj Electro-Method and Apparatus for Improved Chemical Mechanical Planarization Pad with Uniform Polish Performance
US20090061744A1 (en) * 2007-08-28 2009-03-05 Rajeev Bajaj Polishing pad and method of use
US20130102231A1 (en) * 2009-12-30 2013-04-25 3M Innovative Properties Company Organic particulate loaded polishing pads and method of making and using the same
US9296085B2 (en) 2011-05-23 2016-03-29 Nexplanar Corporation Polishing pad with homogeneous body having discrete protrusions thereon
US9931728B2 (en) 2011-11-29 2018-04-03 Cabot Microelectronics Corporation Polishing pad with foundation layer and polishing surface layer
US9067297B2 (en) 2011-11-29 2015-06-30 Nexplanar Corporation Polishing pad with foundation layer and polishing surface layer
US9067298B2 (en) 2011-11-29 2015-06-30 Nexplanar Corporation Polishing pad with grooved foundation layer and polishing surface layer
US9931729B2 (en) 2011-11-29 2018-04-03 Cabot Microelectronics Corporation Polishing pad with grooved foundation layer and polishing surface layer
TWI600498B (en) * 2012-03-29 2017-10-01 Fujibo Holdings Inc Honing pad and honing pad manufacturing method
US9597769B2 (en) 2012-06-04 2017-03-21 Nexplanar Corporation Polishing pad with polishing surface layer having an aperture or opening above a transparent foundation layer
US9373524B2 (en) * 2014-04-23 2016-06-21 International Business Machines Corporation Die level chemical mechanical polishing
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US10399201B2 (en) 2014-10-17 2019-09-03 Applied Materials, Inc. Advanced polishing pads having compositional gradients by use of an additive manufacturing process
US9776361B2 (en) 2014-10-17 2017-10-03 Applied Materials, Inc. Polishing articles and integrated system and methods for manufacturing chemical mechanical polishing articles
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US10322491B2 (en) 2014-10-17 2019-06-18 Applied Materials, Inc. Printed chemical mechanical polishing pad
US10384330B2 (en) 2014-10-17 2019-08-20 Applied Materials, Inc. Polishing pads produced by an additive manufacturing process
US11724362B2 (en) 2014-10-17 2023-08-15 Applied Materials, Inc. Polishing pads produced by an additive manufacturing process
US10821573B2 (en) 2014-10-17 2020-11-03 Applied Materials, Inc. Polishing pads produced by an additive manufacturing process
US11446788B2 (en) 2014-10-17 2022-09-20 Applied Materials, Inc. Precursor formulations for polishing pads produced by an additive manufacturing process
US10953515B2 (en) 2014-10-17 2021-03-23 Applied Materials, Inc. Apparatus and method of forming a polishing pads by use of an additive manufacturing process
US10493691B2 (en) 2014-10-17 2019-12-03 Applied Materials, Inc. Polishing articles and integrated system and methods for manufacturing chemical mechanical polishing articles
US10537974B2 (en) 2014-10-17 2020-01-21 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10875145B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Polishing pads produced by an additive manufacturing process
US10272540B2 (en) * 2015-05-29 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd System and method for polishing substrate
US20200055161A1 (en) * 2015-10-30 2020-02-20 Applied Materials, Inc. Apparatus and method of forming a polishing article that has a desired zeta potential
US10618141B2 (en) 2015-10-30 2020-04-14 Applied Materials, Inc. Apparatus for forming a polishing article that has a desired zeta potential
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10464186B2 (en) * 2016-01-05 2019-11-05 Fujikoshi Machinery Corp. Method of polishing work and method of dressing polishing pad
US20170190018A1 (en) * 2016-01-05 2017-07-06 Fujikoshi Machinery Corp. Method of polishing work and method of dressing polishing pad
US11772229B2 (en) 2016-01-19 2023-10-03 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10456886B2 (en) 2016-01-19 2019-10-29 Applied Materials, Inc. Porous chemical mechanical polishing pads
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10773509B2 (en) 2016-03-09 2020-09-15 Applied Materials, Inc. Pad structure and fabrication methods
US10596763B2 (en) 2017-04-21 2020-03-24 Applied Materials, Inc. Additive manufacturing with array of energy sources
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US11072050B2 (en) 2017-08-04 2021-07-27 Applied Materials, Inc. Polishing pad with window and manufacturing methods thereof
US11524384B2 (en) 2017-08-07 2022-12-13 Applied Materials, Inc. Abrasive delivery polishing pads and manufacturing methods thereof
US10919123B2 (en) 2018-02-05 2021-02-16 Applied Materials, Inc. Piezo-electric end-pointing for 3D printed CMP pads
US11826876B2 (en) 2018-05-07 2023-11-28 Applied Materials, Inc. Hydrophilic and zeta potential tunable chemical mechanical polishing pads
US11685014B2 (en) 2018-09-04 2023-06-27 Applied Materials, Inc. Formulations for advanced polishing pads
US11851570B2 (en) 2019-04-12 2023-12-26 Applied Materials, Inc. Anionic polishing pads formed by printing processes
US11813712B2 (en) 2019-12-20 2023-11-14 Applied Materials, Inc. Polishing pads having selectively arranged porosity
US11958162B2 (en) 2020-01-17 2024-04-16 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US11806829B2 (en) 2020-06-19 2023-11-07 Applied Materials, Inc. Advanced polishing pads and related polishing pad manufacturing methods
US11878389B2 (en) 2021-02-10 2024-01-23 Applied Materials, Inc. Structures formed using an additive manufacturing process for regenerating surface texture in situ

Also Published As

Publication number Publication date
US20080248734A1 (en) 2008-10-09

Similar Documents

Publication Publication Date Title
US7846008B2 (en) Method and apparatus for improved chemical mechanical planarization and CMP pad
US8075745B2 (en) Electro-method and apparatus for improved chemical mechanical planarization pad with uniform polish performance
US8066555B2 (en) Polishing pad
US7530880B2 (en) Method and apparatus for improved chemical mechanical planarization pad with pressure control and process monitor
EP1799402A1 (en) Method and apparatus for improved chemical mechanical planarization
US8292692B2 (en) Polishing pad with endpoint window and systems and method using the same
US8066552B2 (en) Multi-layer polishing pad for low-pressure polishing
KR100936594B1 (en) Polishing pad with recessed window
US6309277B1 (en) System and method for achieving a desired semiconductor wafer surface profile via selective polishing pad conditioning
US7654885B2 (en) Multi-layer polishing pad
WO2006057714A2 (en) Method and apparatus for improved chemical mechanical planarization pad with uniform polish performance
TW200824841A (en) Polishing pad with window having multiple portions
US20080318505A1 (en) Chemical mechanical planarization pad and method of use thereof
US7399516B2 (en) Long-life workpiece surface influencing device structure and manufacturing method
KR20210158808A (en) Cmp polishing pad with uniform window
US6832947B2 (en) CMP pad with composite transparent window
KR20070038293A (en) Chemical mechanical polishing apparatus
JP2003053657A (en) Polishing surface structural member and polishing device using the same
CN101048260A (en) Method and apparatus for improved chemical mechanical planarization
TW201338917A (en) Polishing pad
KR20040104666A (en) Polishing method and polishing system, and method for fabricating semiconductor device
JP2001212752A (en) Polishing body, polishing device, semiconductor device manufacturing method and semiconductor device
KR20050079096A (en) Pad for chemical mechanical polishing
KR20050006644A (en) A polishing pad of chemical and mechanical polishing apparatus
KR20100073530A (en) Method for detecting a replace period of a polishing pad

Legal Events

Date Code Title Description
AS Assignment

Owner name: SEMIQUEST INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:BAJAJ, RAJEEV;REEL/FRAME:025244/0815

Effective date: 20101023

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: 3M INNOVATIVE PROPERTIES COMPANY, MINNESOTA

Free format text: SECURITY AGREEMENT;ASSIGNOR:SEMIQUEST, INC.;REEL/FRAME:026526/0989

Effective date: 20070831

FEPP Fee payment procedure

Free format text: PAT HOLDER NO LONGER CLAIMS SMALL ENTITY STATUS, ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: STOL); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

REFU Refund

Free format text: REFUND - SURCHARGE, PETITION TO ACCEPT PYMT AFTER EXP, UNINTENTIONAL (ORIGINAL EVENT CODE: R2551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552)

Year of fee payment: 8

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20221207