US6309780B1 - Attenuated phase shift mask and a method for making the mask - Google Patents

Attenuated phase shift mask and a method for making the mask Download PDF

Info

Publication number
US6309780B1
US6309780B1 US09/333,316 US33331699A US6309780B1 US 6309780 B1 US6309780 B1 US 6309780B1 US 33331699 A US33331699 A US 33331699A US 6309780 B1 US6309780 B1 US 6309780B1
Authority
US
United States
Prior art keywords
layer
group
transitional metal
silicon nitride
phase shift
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US09/333,316
Inventor
Bruce W. Smith
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rochester Institute of Technology
Original Assignee
Rochester Institute of Technology
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/038,973 external-priority patent/US5939227A/en
Application filed by Rochester Institute of Technology filed Critical Rochester Institute of Technology
Priority to US09/333,316 priority Critical patent/US6309780B1/en
Assigned to ROCHESTER INSTITUTE OF TECHNOLOGY reassignment ROCHESTER INSTITUTE OF TECHNOLOGY ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SMITH, BRUCE W.
Application granted granted Critical
Publication of US6309780B1 publication Critical patent/US6309780B1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/32Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof

Definitions

  • This invention relates generally to an attenuated phase-shift mask, and more particularly, to an attenuated phase shift mask comprising one or more alternating layers of metal nitrides and silicon nitride suitable for use in lithography at or below 0.20 ⁇ m for wavelengths below 300 nm.
  • Lithography is a process for producing a pattern on a semiconductor wafer.
  • the pattern is produced by first exposing a pattern etched into a mask onto a semiconductor wafer coated with a resist material.
  • the projected image of the pattern changes the composition of the resist material on the semiconductor wafer which is then removed to leave a matching pattern on the semiconductor wafer for further processing.
  • the masks used in lithography each include a substrate which is coated with a film.
  • the mask needs to satisfy several different requirements. The challenge is in finding a material or materials for use as the film which will satisfy these requirements.
  • an attenuated phase shift mask For lithography at or below 0.20 ⁇ m and for use at wavelengths at or below 300 nm, an attenuated phase shift mask must have certain optical properties. These optical properties include a transmission between about 1% and 90%, an appropriate phase shift, e.g. a phase shift of about 180 degrees, a refractive index of between about 1.5 and 30, an extinction coefficient between about 0.1 and 1.0 and reflectivity below about 20 percent.
  • the attenuated phase shift mask must have suitable plasma etch characteristics with selectivity to the underlying substrate and to the resist material.
  • the film on the substrate of the attenuated phase shift mask must be made of a material or materials which can be etched to form the pattern to be replicated on semiconductor wafers without significant loss to the underlying substrate or to the resist material.
  • the film on the substrate of the attenuated phase shift mask must be able to withstand both short and long term exposure to the UV radiation without significant optical degradation.
  • damage to the film from exposure to radiation from the excimer laser can be shown to correlate with spatial and temporal peak power density as well as maximum power density and total energy density.
  • damage to the attenuated phase shift mask generally results from total energy density from the excimer laser. Damage from long term or cumulative exposure can result from thermo-chemicals effects, the migration of defects, damage to microscopic defects, or surface particle formation. This damage can detrimentally effect the optical properties of the attenuated phase shift mask. Understoichiometric films on substrates are particularly vulnerable to damage from exposure to radiation.
  • the attenuated phase shift mask in accordance with one embodiment of the present invention for use in lithography at or below 0.20 ⁇ m and for use at wavelengths below 300 nm includes a substrate with a first layer disposed on the substrate.
  • the layer comprises a group IV, V or VI transitional metal nitride and silicon nitride Si x N y .
  • the attenuated phase shift mask has a thickness between about 500 angstroms and 2000 angstroms, where the group IV, V or VI transitional metal nitride comprises about ten to forty percent of the layer.
  • the attenuated phase shift mask in accordance with the present invention provides a number of advantages including providing a mask with either a single layer or multiple layers with appropriate optical properties for use at deep ultraviolet wavelengths, i.e. wavelengths at or below about 300 nm. More specifically, the attenuated phase shift mask provides a transmission between about 1% and 90%, an appropriate phase shift, e.g. a phase shift of about 180 degrees, and a refractive index between about 1.5 and 3.0. Even though the attenuated phase shift mask may have multiple alternating layers, the use of sub-wavelength thicknesses for the film when it is comprised of the multiple layers allows the mask to closely approach the optical properties of a mask with a homogeneous layer of material.
  • the attenuated phase shift mask also provides suitable etch rates and selectivity.
  • the single layer or alternating layers of Si x N y and a group IV, V or VI transitional metal nitride on the substrate can be etched using techniques, such as plasma reactive ion etching, without significant loss of the underlying substrate or the resist material.
  • the attenuated phase shift mask is able to withstand both the short and long term effects of exposure to radiation from and excimer laser at or below 300 nm without significant optical degradation.
  • the attenuated phase shift mask in accordance with the present invention experiences a phase shift change of only about +/ ⁇ one degree or less, a transmission modification of only about 0.5 percent or less, and a change in refractive index of only about 0.6 percent or less.
  • FIG. 1 is a cross-sectional view of an attenuated phase shift mask in accordance with one embodiment of the present invention
  • FIG. 2A is a graph illustrating the refractive index and extinction for the attenuated phase shift mask shown in FIG. 1;
  • FIG. 2B is a graph illustrating the index of refraction for the attenuated phase shift mask shown in FIG. 1 as a function of wavelength;
  • FIG. 2C is a graph illustrating the index of transmission for the attenuated phase shift mask shown in FIG. 1 as a function of wavelength;
  • FIG. 3A is a graph illustrating the etch rates of TaN, SiO 2 , Si 3 N 4 , and a resist material as a function of pressure;
  • FIG. 3B is a graph illustrating the selectivity of TaN and Si 3 N 4 to SiO 2 and to resist as a function of pressure
  • FIG. 3C is a graph illustrating the etch rates of TaN, SiO 2 and a resist material as a function of oxygen flow
  • FIG. 3D is a graph illustrating the etch rates of TaN, Si 3 N 4 , Sio 2 and a resist material as a function of hydrogen flow;
  • FIG. 3E is a graph illustrating the selectivity of TaN and Si 3 N 4 to SiO 2 and to a resist material as a function of hydrogen flow;
  • FIG. 4A is a graph illustrating the absorbance change in the attenuated phase shift mask shown in FIG. 1 as a function of wavelength;
  • FIG. 4B is a graph illustrating the refractive index change in the attenuated phase shift mask shown in FIG. 1 as a function of wavelength;
  • FIG. 5 is a graph illustrating the index of refraction for molybdenum nitride as a function of wavelength for use with silicon nitride for an attenuated phase shift mask
  • FIG. 6 is a graph illustrating the index of refraction for niobium nitride as a function of wavelength for use with silicon nitride for an attenuated phase shift mask
  • FIG. 7 is a graph illustrating the index of refraction for a 193 nm attenuated phase shift mask with molybdenum nitride and silicon nitride deposited as a single layer as a function of wavelength;
  • FIG. 8 is a graph illustrating the transmittance of the phase shift mask shown in FIG. 7 as a function of wavelength
  • FIG. 9 is a graph illustrating the transmission of a 193 nm attenuated phase shift mask made up of TiN combined with Si 3 N 4 ;
  • FIG. 10 is a graph illustrating the transmission of a 193 nm attenuated phase shift mask made up of WN combined with Si 3 N 4 ;
  • FIG. 11 is a graph illustrating the transmission of a 193 nm attenuated phase shift mask made up of NbN combined with Si 3 N 4 .
  • the attenuated phase shift mask 10 in accordance with one embodiment of the present invention for use in lithography is illustrated in FIG. 1 .
  • the attenuated phase shift mask 10 includes a substrate 12 , a first layer 14 disposed on the substrate, and a second layer 16 disposed on the first layer 14 .
  • the first layer 14 is a group IV, V or VI transitional metal nitride and the second layer 16 is Si x N y or the first layer 14 is Si x N y and the second layer 16 is a group IV, V or VI transitional metal nitride.
  • the mask may include a third layer 18 disposed on the second layer 16 and a fourth layer 20 disposed on the second layer 16 .
  • the third layer 18 is a group IV, V or VI transitional metal nitride if the second layer 16 is Si x N y and is Si x N y if the second layer 16 is a group IV, V or VI transitional metal.
  • the fourth layer 20 is a group IV, V or VI transitional metal nitride if the third layer 18 is Si x N y and is Si x N y if the third layer 18 is a group IV, V or VI transitional metal.
  • the attenuated phase shift mask 10 in accordance with the present invention provides a number of advantages including providing a mask with appropriate optical characteristics, excellent etch selectivity with respect to the substrate and resist material, and the ability to withstand radiation at or below 300 nm without significant optical degradation.
  • the attenuated phase shift mask 10 includes the substrate 12 .
  • the substrate 12 is made of fused silica, although other types of materials, such as quartz, fluorides, or other glasses, can be used as the substrate 12 as needed or desired.
  • the substrate 12 has a thickness between about 500 angstroms and 2000 angstroms.
  • the film includes a first layer 14 of silicon nitride is disposed on the substrate 12 , a second layer 16 of tantalum nitride is disposed on the first layer 14 of silicon nitride, a third layer 18 of silicon nitride is disposed on the second layer 16 of tantalum nitride, and a fourth layer 20 of tantalum nitride is disposed on the third layer 18 of silicon nitride.
  • the mask could have more than four layers or could have as few as one layer of each material as needed or desired. Additionally, the order in which the silicon nitride and tantalum nitride are formed on the substrate can be switched as needed or desired. Further, although tantalum nitride (TaN) and silicon nitride (Si 3 N 4 ) are shown, any group IV, V or VI transitional metal nitride can be used and any silicon nitride (Si x N y ) can be used.
  • the layers 14 , 16 , 18 , and 20 of the mask 10 not only have the appropriate optical properties for lithography at or below 0.20 ⁇ m and for use at wavelengths below 300 nm, but also are made from materials which are radiation stable and etch selective.
  • the materials for the layers 14 , 16 , 18 , and 20 remain optically stable even when exposed to the short and long terms effects of radiation from a source, such as an excimer laser, under which the mask 10 is normally exposed during their useful life and do not experience a significant loss to the underlying substrate or to the resist material when etched.
  • radiation stable materials for the mask 10 do not experience a transmission change of more than about 0.5% during the useful life of the mask 10 and have an etch selectivity of about 5:1 or more with respect to the substrate 12 and 2:1 or more with respect to the resist material.
  • FIGS. 2A-2C The optical properties of the attenuated phase shift mask with the substrate 12 and the alternating four layers 14 , 16 , 18 and 20 are illustrated in FIGS. 2A-2C and are set forth in the table below:
  • reflectivity of the film for the attenuated phase shift mask 10 in this example is 19%, this number can be reduced simply by placing the lower index layer at the top surface, i.e. the surface opposite from the substrate 12 .
  • the attenuated phase shift mask 10 has a thickness T ranging between about 500 angstroms and 2000 angstroms. More preferably, the attenuated phase shift mask 10 has a thickness T of about 600 angstrom.
  • the use of a sub-wavelength thickness for the combined thickness of the layers 14 , 16 , 18 , and 20 of the attenuated phase shift mask 10 allows the mask 10 to approach the optical properties of a mask with a homogeneous or continuous layer of material.
  • Each layer 16 and 20 of tantalum nitride has a thickness t 1 and each layer 14 and 18 of silicon nitride as a thickness of t 2 .
  • the thickness t 1 ranges between about five angstroms and fifty angstroms and the thickness t 2 ranges between about thirty angstroms and three-hundred angstroms.
  • the ratio of the thickness of the silicon nitride layer to the tantalum nitride is about 85 to 15 (85:15).
  • the layers 14 , 16 , 18 , and 20 that make up the attenuated phase shift mask 10 can be made so thin that the mask 10 or film is essentially continuous.
  • the thickness of each layer 14 , 16 , 18 , and 20 is on the order of a few angstroms.
  • such a mask 10 can be created by sputter deposition in a system with multiple targets powered and rotating the substrate under targets at a high rate compared to the deposition rate.
  • the desired ratios of metallic nitride (any group IV, V or VI transitional metal nitride) to silicon nitride (Si x N y ) can be incorporated into the attenuated phase shift mask 10 using co-deposition methods. This can be accomplished through use of a mosaic or a composite target.
  • the target can be elemental (that is group IV, V, or VI metal nitride and silicon nitride). Deposition is accomplished from a single target and the resulting attenuated phase shift mask 10 is homogeneous.
  • the optical constants and composite film transmittance of a single layer film of MoN/Si 3 N 4 in accordance with this embodiment are illustrated in FIGS. 7 and 8.
  • the composite MoN/Si 3 N 4 attenuated phase shift mask 10 has a phase shift thickness at 193 nm of 646 angstrom.
  • MoN is used
  • other metallic nitrides such as TiN, WN, NbN, TaN, ZrN, CrN, and other nitrides of groups IV, V, or V can also be used.
  • these metallic nitrides can be deposited in multiple layers instead of as a single continuous layer.
  • FIGS. 9-11 show the transmission plots for 193 nm attenuated phase shift films using TiN, WN, and NbN with Si 3 N 4 . Referring to FIG.
  • the optical constants of a film of MoN deposited in layers is illustrated in FIG. 5 .
  • an attenuated phase shift mask 10 results when the MoN comprises between about ten to forty percent of the combination of MoN and Si x N y .
  • the optical constants of a film of NbN is illustrated.
  • an attenuated phase shift mask 10 results when the NbN comprises between about ten to forty percent of the combination of NbN and Si x N y .
  • the attenuated phase shift mask 10 in accordance with the present invention provides several advantages, including providing appropriate optical properties for lithography at or below 0.20 ⁇ m for wavelengths below 300 nm.
  • the attenuated phase shift mask 10 also provides a suitable etch rate and selectivity.
  • the mask 10 is etched with a fluorine-based etching plasma, such as SF 6 or CF 4 combined with oxygen or hydrogen which allows for maximum etch rate, selectivity, and anisotropy for the attenuated phase shift mask 10 .
  • the attenuated phase shift mask 10 is also able to withstand both the short and long term effects of exposure to radiation from an excimer laser at or below 300 nm without significant optical degradation.
  • Deposition of materials to from the films on the substrates was carried out by rf magnetrom sputtering of 8′′ targets in argon with nitrogen or oxygen. Films were sputtered at power levels between 500 W and 1500 W with an evacuated pressure of ⁇ 1 ⁇ 10 ⁇ 7 Torr onto fused silica substrates approximately 1.5 ⁇ 1.5 ⁇ 0.090′′. Prior to sputtering, substrates were cleaned and dehydrated to reduce reactivity with oxygen. Films were deposited without additional substrate heating.
  • Optical evaluation of reflectance and transmittance was carried out with UV/visible spectrophotometry at wavelengths from 190 to 900 nm using a Perkin Elmer Lambda 11 spectrophotometer. From these measurements, refractive index and extinction coefficient data was extracted through solution of Fresnel equations. Additionally, spectroscopic ellipsometry was conducted on TaN and Si 3 N 4 films using a Woollam WVASE system. Thickness measurement was performed using profilometry (Dektak) and atomic force microscopy.
  • Plasma etching was carried out in a modified Plasma Therm RIE system utilizing a single wafer parallel plate chamber.
  • the lower electrode is 15.2 cm in diameter and was not cooled during operation.
  • the electrode was modified to allow for uniform etching of small fused silica samples.
  • the chamber was not load locked and was pumped down typically below 10 ⁇ 5 torr before processing.
  • Samples of thermal silicon dioxide (SiO 2 over silicon) were etched for evaluation of selectivity. Etch rates were determined by measuring etch step heights on a Dektak profilometer.
  • the etch rates of TaN, SiO 2 , and resist material as a function of pressure from 150 to 250 mTorr and the etch rate of Si 3 N 4 at 200 mTorr and the selectivity of TaN to SiO 2 and TaN to resist material are illustrated.
  • the etch rates of TaN, SiO 2 and resist decrease with pressure, but selectivity of TaN to SiO 2 and TaN to resist material is maximum near 200 mTorr at 2.5:1 and 0.5:1 respectively.
  • the contribution of oxygen to etching the film of layers 14 , 16 , 18 , and 20 for attenuated phase shift mask 10 was investigated next. As illustrated, the addition of oxygen, increases the etch rate of SiO 2 , but decreases the etch rate of tantalum nitride TaN. The increase in the etch rate of SiO 2 can be attributed to an increase in fluorine radicals. The detrimental decrease in the etch rate of tantalum nitride may be due to dilution and the lower volatility of tantalum oxifluorides compared to tantalum fluorides.
  • the addition of hydrogen to the fluorine etch process was also investigated for Si 3 N 4 , TaN, resist material, and SiO 2 for hydrogen flow from 0 to 6 sccm at 200 W, 200 mTorr, and 30 sccm SF6.
  • SiO 2 the addition of hydrogen can be offset by the liberation of oxygen and thus has little effect on etch rate.
  • the etching of other films can be impacted as hydrogen (H 2 ) scavenges fluorine. This can modify the availability of other gas constituents and help increase physical etch activity.
  • selectivity ratios of TaN and Si 3 N 4 to resist material and to SiO 2 are illustrated. As the graph illustrates, selectivity values are maximized at a hydrogen flow of 2 sccm.
  • Etch results for the film of layers 14 , 16 , 18 , and 20 on a substrate 12 for attenuated phase shift mask 10 are summarized in the table below:
  • an attenuated phase shift mask 10 with a film of alternating layers 14 , 16 , 18 , and 20 of tantalum nitride and silicon nitride on a substrate 12 can allow for high etch rates in SF 6 /H plasma chemistries with adequate selectivity to both fused silica and resist material.
  • the etching process and testing results of the film of layers 14 , 16 , 18 , and 20 on a substrate 12 for attenuated phase shift mask 10 described above along with other tests are explained in greater detail in Bruce Smith, et al., “Plasma reactive ion etching of 193 nm attenuated phase shift mask materials,” J. Vac. Sci. Technol. B. (1997), which is herein incorporated by reference.
  • Attenuated phase shift masks including an attenuated phase shift mask 10 with a film of alternating layers 14 , 16 , 18 , and 20 of tantalum nitride and silicon nitride on a substrate 12 .
  • a Cymer CX2LS excimer laser running at 193 nm with ArF with pulse width near 20 ns at 100 Hz repetition rate was used as the source of radiation. Radiation at 193 nm was selected because it was likely to lead to the greatest extent of modification to the masks via cumulative damage mechanisms and oxidative effects.
  • the attenuated phase shift masks were subjected to cumulative exposure levels that would typically be experienced during the lifetime of an attenuated phase shift mask.
  • FIG. 4A a plot of the resulting changes in absorbance of five different films on substrates, including a film of alternating layers 14 , 16 , 18 , and 20 of tantalum nitride and silicon nitride on a substrate 12 .
  • the values in the graph were determined from n and k values fitted to measured data.
  • an absorbance change of 0.049 corresponds to a 0.5% transmission change.
  • the stoichiometric TaN/Si 3 N 4 film i.e. the film of alternating layers 14 , 16 , 18 , and 20 of tantalum nitride and silicon nitride on a substrate 12
  • FIG. 4B a plot of the resulting changes in absorbance of five different films on substrates, including a film of alternating layers 14 , 16 , 18 , and 20 of tantalum nitride and silicon nitride on a substrate 12 , is illustrated. As illustrated in the graph, the film of alternating layers 14 , 16 , 18 , and 20 of tantalum nitride and silicon nitride on a substrate 12 only experienced a refractive index change of 0.0056.
  • the attenuated phase shift mask 10 with a film of alternating layers 14 , 16 , 18 , and 20 of tantalum nitride and silicon nitride on a substrate 12 shows no significant modification to optical properties and is attractive as a stable material for mask application.
  • an attenuated phase shift mask for use in lithography at or below 0.20 ⁇ m and for use at wavelengths below 300 nm to be considered viable, the mask must have appropriate optical properties, suitable plasma etch characteristics and selectivities, and the ability to withstand short and long term exposure to radiation from an excimer laser without significant optical degradation.
  • an attenuated phase shift mask with a film comprising continuous or alternating layers of group IV, V, or VI metal nitrides and Si 3 N 4 on a substrate satisfies each of these requirements.

Abstract

The attenuated phase shift mask in accordance with one embodiment of the present invention for use in lithography at or below 0.20 μm and for use at wavelengths below 300 nm includes a substrate with a layer deposited on the substrate. The layer comprises a group IV, V or VI transitional metal nitride and silicon nitride SixNy. The attenuated phase shift mask has a thickness between about 500 angstroms and 2000 angstroms, where the group IV, V or VI transitional metal nitride comprises about ten to forty percent of the layer.

Description

This is a continuation-in-part application of patent application Ser. No. 09/038,973, filed Mar. 9, 1998, now U.S. Pat. No. 5,939,277.
FIELD OF INVENTION
This invention relates generally to an attenuated phase-shift mask, and more particularly, to an attenuated phase shift mask comprising one or more alternating layers of metal nitrides and silicon nitride suitable for use in lithography at or below 0.20 μm for wavelengths below 300 nm.
BACKGROUND OF THE INVENTION
Lithography is a process for producing a pattern on a semiconductor wafer. The pattern is produced by first exposing a pattern etched into a mask onto a semiconductor wafer coated with a resist material. The projected image of the pattern changes the composition of the resist material on the semiconductor wafer which is then removed to leave a matching pattern on the semiconductor wafer for further processing.
Typically, the masks used in lithography each include a substrate which is coated with a film. Depending upon the particular lithography application, the mask needs to satisfy several different requirements. The challenge is in finding a material or materials for use as the film which will satisfy these requirements.
For lithography at or below 0.20 μm and for use at wavelengths at or below 300 nm, an attenuated phase shift mask must have certain optical properties. These optical properties include a transmission between about 1% and 90%, an appropriate phase shift, e.g. a phase shift of about 180 degrees, a refractive index of between about 1.5 and 30, an extinction coefficient between about 0.1 and 1.0 and reflectivity below about 20 percent.
Additionally, the attenuated phase shift mask must have suitable plasma etch characteristics with selectivity to the underlying substrate and to the resist material. In other words, the film on the substrate of the attenuated phase shift mask must be made of a material or materials which can be etched to form the pattern to be replicated on semiconductor wafers without significant loss to the underlying substrate or to the resist material.
Since the intended application of the attenuated phase shift mask is for use with energetic ultra violet (UV) radiation from an excimer laser, the film on the substrate of the attenuated phase shift mask must be able to withstand both short and long term exposure to the UV radiation without significant optical degradation. Typically, damage to the film from exposure to radiation from the excimer laser can be shown to correlate with spatial and temporal peak power density as well as maximum power density and total energy density. Since single laser pulse energy levels from the excimer laser for lithography at or below 0.20 μm are typically low, damage to the attenuated phase shift mask generally results from total energy density from the excimer laser. Damage from long term or cumulative exposure can result from thermo-chemicals effects, the migration of defects, damage to microscopic defects, or surface particle formation. This damage can detrimentally effect the optical properties of the attenuated phase shift mask. Understoichiometric films on substrates are particularly vulnerable to damage from exposure to radiation.
As yet, an appropriate material or materials for use as the film on the substrate of the attenuated phase shift mask for lithography at or below 0.20 μm and for use at wavelengths below 300 nm has not been found.
SUMMARY OF THE INVENTION
The attenuated phase shift mask in accordance with one embodiment of the present invention for use in lithography at or below 0.20 μm and for use at wavelengths below 300 nm includes a substrate with a first layer disposed on the substrate. The layer comprises a group IV, V or VI transitional metal nitride and silicon nitride SixNy. The attenuated phase shift mask has a thickness between about 500 angstroms and 2000 angstroms, where the group IV, V or VI transitional metal nitride comprises about ten to forty percent of the layer.
The attenuated phase shift mask in accordance with the present invention provides a number of advantages including providing a mask with either a single layer or multiple layers with appropriate optical properties for use at deep ultraviolet wavelengths, i.e. wavelengths at or below about 300 nm. More specifically, the attenuated phase shift mask provides a transmission between about 1% and 90%, an appropriate phase shift, e.g. a phase shift of about 180 degrees, and a refractive index between about 1.5 and 3.0. Even though the attenuated phase shift mask may have multiple alternating layers, the use of sub-wavelength thicknesses for the film when it is comprised of the multiple layers allows the mask to closely approach the optical properties of a mask with a homogeneous layer of material.
The attenuated phase shift mask also provides suitable etch rates and selectivity. The single layer or alternating layers of SixNy and a group IV, V or VI transitional metal nitride on the substrate can be etched using techniques, such as plasma reactive ion etching, without significant loss of the underlying substrate or the resist material.
Further, the attenuated phase shift mask is able to withstand both the short and long term effects of exposure to radiation from and excimer laser at or below 300 nm without significant optical degradation. When exposed to prolonged radiation, the attenuated phase shift mask in accordance with the present invention experiences a phase shift change of only about +/−one degree or less, a transmission modification of only about 0.5 percent or less, and a change in refractive index of only about 0.6 percent or less. The stoichiometric nature of the single layer or alternating layers of SixNy and a metal nitride on the substrate, makes the attenuated phase shift mask inherently less prone to damage.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a cross-sectional view of an attenuated phase shift mask in accordance with one embodiment of the present invention;
FIG. 2A is a graph illustrating the refractive index and extinction for the attenuated phase shift mask shown in FIG. 1;
FIG. 2B is a graph illustrating the index of refraction for the attenuated phase shift mask shown in FIG. 1 as a function of wavelength;
FIG. 2C is a graph illustrating the index of transmission for the attenuated phase shift mask shown in FIG. 1 as a function of wavelength;
FIG. 3A is a graph illustrating the etch rates of TaN, SiO2, Si3N4, and a resist material as a function of pressure;
FIG. 3B is a graph illustrating the selectivity of TaN and Si3N4 to SiO2 and to resist as a function of pressure;
FIG. 3C is a graph illustrating the etch rates of TaN, SiO2 and a resist material as a function of oxygen flow;
FIG. 3D is a graph illustrating the etch rates of TaN, Si3N4, Sio2 and a resist material as a function of hydrogen flow;
FIG. 3E is a graph illustrating the selectivity of TaN and Si3N4 to SiO2 and to a resist material as a function of hydrogen flow;
FIG. 4A is a graph illustrating the absorbance change in the attenuated phase shift mask shown in FIG. 1 as a function of wavelength;
FIG. 4B is a graph illustrating the refractive index change in the attenuated phase shift mask shown in FIG. 1 as a function of wavelength;
FIG. 5 is a graph illustrating the index of refraction for molybdenum nitride as a function of wavelength for use with silicon nitride for an attenuated phase shift mask;
FIG. 6 is a graph illustrating the index of refraction for niobium nitride as a function of wavelength for use with silicon nitride for an attenuated phase shift mask;
FIG. 7 is a graph illustrating the index of refraction for a 193 nm attenuated phase shift mask with molybdenum nitride and silicon nitride deposited as a single layer as a function of wavelength;
FIG. 8 is a graph illustrating the transmittance of the phase shift mask shown in FIG. 7 as a function of wavelength;
FIG. 9 is a graph illustrating the transmission of a 193 nm attenuated phase shift mask made up of TiN combined with Si3N4;
FIG. 10 is a graph illustrating the transmission of a 193 nm attenuated phase shift mask made up of WN combined with Si3N4; and
FIG. 11 is a graph illustrating the transmission of a 193 nm attenuated phase shift mask made up of NbN combined with Si3N4.
DETAILED DESCRIPTION
An attenuated phase shift mask 10 in accordance with one embodiment of the present invention for use in lithography is illustrated in FIG. 1. The attenuated phase shift mask 10 includes a substrate 12, a first layer 14 disposed on the substrate, and a second layer 16 disposed on the first layer 14. The first layer 14 is a group IV, V or VI transitional metal nitride and the second layer 16 is SixNy or the first layer 14 is SixNy and the second layer 16 is a group IV, V or VI transitional metal nitride. The mask may include a third layer 18 disposed on the second layer 16 and a fourth layer 20 disposed on the second layer 16. The third layer 18 is a group IV, V or VI transitional metal nitride if the second layer 16 is SixNy and is SixNy if the second layer 16 is a group IV, V or VI transitional metal. The fourth layer 20 is a group IV, V or VI transitional metal nitride if the third layer 18 is SixNy and is SixNy if the third layer 18 is a group IV, V or VI transitional metal. The attenuated phase shift mask 10 in accordance with the present invention provides a number of advantages including providing a mask with appropriate optical characteristics, excellent etch selectivity with respect to the substrate and resist material, and the ability to withstand radiation at or below 300 nm without significant optical degradation.
Referring to FIG. 1, the attenuated phase shift mask 10 includes the substrate 12. In this particular embodiment, the substrate 12 is made of fused silica, although other types of materials, such as quartz, fluorides, or other glasses, can be used as the substrate 12 as needed or desired. Preferably, the substrate 12 has a thickness between about 500 angstroms and 2000 angstroms.
A stacked approach of layers made of radiation stable and good etch selectivity materials has been taken to form a TaN/SixNy film on the substrate 12, where stoichiometric nitrides allow for appropriate optical properties. In this particular embodiment, the film includes a first layer 14 of silicon nitride is disposed on the substrate 12, a second layer 16 of tantalum nitride is disposed on the first layer 14 of silicon nitride, a third layer 18 of silicon nitride is disposed on the second layer 16 of tantalum nitride, and a fourth layer 20 of tantalum nitride is disposed on the third layer 18 of silicon nitride. Although in this particular embodiment four alternating layers 14, 16, 18, and 20 of silicon nitride and tantalum nitride are illustrated, the mask could have more than four layers or could have as few as one layer of each material as needed or desired. Additionally, the order in which the silicon nitride and tantalum nitride are formed on the substrate can be switched as needed or desired. Further, although tantalum nitride (TaN) and silicon nitride (Si3N4) are shown, any group IV, V or VI transitional metal nitride can be used and any silicon nitride (SixNy) can be used. One of the advantages the present invention is that the layers 14, 16, 18, and 20 of the mask 10 not only have the appropriate optical properties for lithography at or below 0.20 μm and for use at wavelengths below 300 nm, but also are made from materials which are radiation stable and etch selective. In other words, the materials for the layers 14, 16, 18, and 20 remain optically stable even when exposed to the short and long terms effects of radiation from a source, such as an excimer laser, under which the mask 10 is normally exposed during their useful life and do not experience a significant loss to the underlying substrate or to the resist material when etched. By way of example only, radiation stable materials for the mask 10 do not experience a transmission change of more than about 0.5% during the useful life of the mask 10 and have an etch selectivity of about 5:1 or more with respect to the substrate 12 and 2:1 or more with respect to the resist material.
The optical properties of the attenuated phase shift mask with the substrate 12 and the alternating four layers 14, 16, 18 and 20 are illustrated in FIGS. 2A-2C and are set forth in the table below:
n k thickness
Film (193) (193) (Å) Transmission Reflectivity
TaN/SiN 2.62 0.57 595 11% 19%
Although reflectivity of the film for the attenuated phase shift mask 10 in this example is 19%, this number can be reduced simply by placing the lower index layer at the top surface, i.e. the surface opposite from the substrate 12.
Preferably, the attenuated phase shift mask 10 has a thickness T ranging between about 500 angstroms and 2000 angstroms. More preferably, the attenuated phase shift mask 10 has a thickness T of about 600 angstrom. The use of a sub-wavelength thickness for the combined thickness of the layers 14, 16, 18, and 20 of the attenuated phase shift mask 10 allows the mask 10 to approach the optical properties of a mask with a homogeneous or continuous layer of material. Each layer 16 and 20 of tantalum nitride has a thickness t1 and each layer 14 and 18 of silicon nitride as a thickness of t2. In this particular embodiment, the thickness t1 ranges between about five angstroms and fifty angstroms and the thickness t2 ranges between about thirty angstroms and three-hundred angstroms. Preferably, the ratio of the thickness of the silicon nitride layer to the tantalum nitride is about 85 to 15 (85:15).
As discussed above, the layers 14, 16, 18, and 20 that make up the attenuated phase shift mask 10 can be made so thin that the mask 10 or film is essentially continuous. In such an embodiment, the thickness of each layer 14, 16, 18, and 20 is on the order of a few angstroms. By way of example only, such a mask 10 can be created by sputter deposition in a system with multiple targets powered and rotating the substrate under targets at a high rate compared to the deposition rate.
In yet another embodiment, the desired ratios of metallic nitride (any group IV, V or VI transitional metal nitride) to silicon nitride (SixNy) can be incorporated into the attenuated phase shift mask 10 using co-deposition methods. This can be accomplished through use of a mosaic or a composite target. The target can be elemental (that is group IV, V, or VI metal nitride and silicon nitride). Deposition is accomplished from a single target and the resulting attenuated phase shift mask 10 is homogeneous. By way of example only, the optical constants and composite film transmittance of a single layer film of MoN/Si3N4 in accordance with this embodiment are illustrated in FIGS. 7 and 8. More specifically, the composite MoN/Si3N4 attenuated phase shift mask 10 has a phase shift thickness at 193 nm of 646 angstrom. Although in this example MoN is used, other metallic nitrides such as TiN, WN, NbN, TaN, ZrN, CrN, and other nitrides of groups IV, V, or V can also be used. Additionally, these metallic nitrides can be deposited in multiple layers instead of as a single continuous layer. By way of example, FIGS. 9-11 show the transmission plots for 193 nm attenuated phase shift films using TiN, WN, and NbN with Si3N4. Referring to FIG. 9, the transmission of a 193 nm attenuated phase shift mask made up of TiN combined with Si3N4. A pi phase shift is achieved at 652 Å and transmission at 193 nm is 8.5%. Referring to FIG. 10, The transmission of a 193 nm attenuated phase shift mask made up of WN combined with Si3N4. A pi phase shift is achieved at 613 Å and transmission at 193 nm is 6.44%. Referring to FIG. 11, the transmission of a 193 nm attenuated phase shift mask made up of NbN combined with Si3N4. A pi phase shift is achieved at 597 Å and transmission at 193 nm is 8.3%.
By way of example only, the optical constants of a film of MoN deposited in layers is illustrated in FIG. 5. In this example, an attenuated phase shift mask 10 results when the MoN comprises between about ten to forty percent of the combination of MoN and SixNy. In FIG. 6, the optical constants of a film of NbN is illustrated. In this example, an attenuated phase shift mask 10 results when the NbN comprises between about ten to forty percent of the combination of NbN and SixNy.
The attenuated phase shift mask 10 in accordance with the present invention provides several advantages, including providing appropriate optical properties for lithography at or below 0.20 μm for wavelengths below 300 nm. The attenuated phase shift mask 10 also provides a suitable etch rate and selectivity. Preferably, the mask 10 is etched with a fluorine-based etching plasma, such as SF6 or CF4 combined with oxygen or hydrogen which allows for maximum etch rate, selectivity, and anisotropy for the attenuated phase shift mask 10. The attenuated phase shift mask 10 is also able to withstand both the short and long term effects of exposure to radiation from an excimer laser at or below 300 nm without significant optical degradation. These advantages are illustrated in the experiments discussed below.
EXPERIMENTS
Background for Experiments
Deposition of materials to from the films on the substrates was carried out by rf magnetrom sputtering of 8″ targets in argon with nitrogen or oxygen. Films were sputtered at power levels between 500 W and 1500 W with an evacuated pressure of −1×10−7 Torr onto fused silica substrates approximately 1.5×1.5×0.090″. Prior to sputtering, substrates were cleaned and dehydrated to reduce reactivity with oxygen. Films were deposited without additional substrate heating.
Optical evaluation of reflectance and transmittance was carried out with UV/visible spectrophotometry at wavelengths from 190 to 900 nm using a Perkin Elmer Lambda 11 spectrophotometer. From these measurements, refractive index and extinction coefficient data was extracted through solution of Fresnel equations. Additionally, spectroscopic ellipsometry was conducted on TaN and Si3N4 films using a Woollam WVASE system. Thickness measurement was performed using profilometry (Dektak) and atomic force microscopy.
Plasma etching was carried out in a modified Plasma Therm RIE system utilizing a single wafer parallel plate chamber. The lower electrode is 15.2 cm in diameter and was not cooled during operation. The electrode was modified to allow for uniform etching of small fused silica samples. The chamber was not load locked and was pumped down typically below 10−5 torr before processing. Samples of thermal silicon dioxide (SiO2 over silicon) were etched for evaluation of selectivity. Etch rates were determined by measuring etch step heights on a Dektak profilometer. Etch gases studied included fluorine, chlorine, and bromine chemistries (Cl2CCl4, HBr, Cl2/CF4, BCl3, SF6, CF4, and combinations with Ar and H).
Examination of Etch Rates and Selectivity
An investigation of the etching properties of the film of layers 14, 16, 18, and 20 on a substrate 12 for attenuated phase shift mask 10 was conducted by evaluating the etch properties of TaN and Si3N4 and etch selectivity to SiO2 and resist material when etched with SF6. In this experiment, the power was held constant at about 200 Watts and the flow of SF6 was 30 sccm.
Referring to FIGS. 3A and 3B, the etch rates of TaN, SiO2, and resist material as a function of pressure from 150 to 250 mTorr and the etch rate of Si3N4 at 200 mTorr and the selectivity of TaN to SiO2 and TaN to resist material are illustrated. As these graphs illustrate, the etch rates of TaN, SiO2 and resist decrease with pressure, but selectivity of TaN to SiO2 and TaN to resist material is maximum near 200 mTorr at 2.5:1 and 0.5:1 respectively.
Referring to FIG. 3C, the contribution of oxygen to etching the film of layers 14, 16, 18, and 20 for attenuated phase shift mask 10 was investigated next. As illustrated, the addition of oxygen, increases the etch rate of SiO2, but decreases the etch rate of tantalum nitride TaN. The increase in the etch rate of SiO2 can be attributed to an increase in fluorine radicals. The detrimental decrease in the etch rate of tantalum nitride may be due to dilution and the lower volatility of tantalum oxifluorides compared to tantalum fluorides.
Referring to FIG. 3D, the addition of hydrogen to the fluorine etch process was also investigated for Si3N4, TaN, resist material, and SiO2 for hydrogen flow from 0 to 6 sccm at 200 W, 200 mTorr, and 30 sccm SF6. When etching SiO2, the addition of hydrogen can be offset by the liberation of oxygen and thus has little effect on etch rate. However, the etching of other films can be impacted as hydrogen (H2) scavenges fluorine. This can modify the availability of other gas constituents and help increase physical etch activity.
Referring to FIG. 3E, the selectivity ratios of TaN and Si3N4 to resist material and to SiO2 are illustrated. As the graph illustrates, selectivity values are maximized at a hydrogen flow of 2 sccm.
Etch results for the film of layers 14, 16, 18, and 20 on a substrate 12 for attenuated phase shift mask 10 are summarized in the table below:
Etch Fused
Film chemistry silica Resist Comments
TaN/SiN SF6/H >5:1 >2:1 Good selectivity
As this investigation illustrates, an attenuated phase shift mask 10 with a film of alternating layers 14, 16, 18, and 20 of tantalum nitride and silicon nitride on a substrate 12 can allow for high etch rates in SF6/H plasma chemistries with adequate selectivity to both fused silica and resist material. The etching process and testing results of the film of layers 14, 16, 18, and 20 on a substrate 12 for attenuated phase shift mask 10 described above along with other tests are explained in greater detail in Bruce Smith, et al., “Plasma reactive ion etching of 193 nm attenuated phase shift mask materials,” J. Vac. Sci. Technol. B. (1997), which is herein incorporated by reference.
Examination of Optical Degradation From Exposure
An investigation of the stability of attenuated phase shift masks, including an attenuated phase shift mask 10 with a film of alternating layers 14, 16, 18, and 20 of tantalum nitride and silicon nitride on a substrate 12, was conducted. A Cymer CX2LS excimer laser running at 193 nm with ArF with pulse width near 20 ns at 100 Hz repetition rate was used as the source of radiation. Radiation at 193 nm was selected because it was likely to lead to the greatest extent of modification to the masks via cumulative damage mechanisms and oxidative effects. The attenuated phase shift masks were subjected to cumulative exposure levels that would typically be experienced during the lifetime of an attenuated phase shift mask. Using a 25 mJ/cm2 resist sensitivity value and 40% throughout for a five times (5×) reduction optical system, a 2.5 mW/cm nominal mask plane irradiance is required for 100 pulse wafer exposures. Films were subjected to 25 mW/cm2 at 100 Hz to deliver exposure energy density values up to 3×103 J/cm2. Transmission, reflectance, and ellipsometric measurements were made on the attenuated phase shift masks before and after exposure to 193 nm radiation.
Referring to FIG. 4A, a plot of the resulting changes in absorbance of five different films on substrates, including a film of alternating layers 14, 16, 18, and 20 of tantalum nitride and silicon nitride on a substrate 12, is illustrated. The values in the graph were determined from n and k values fitted to measured data. For a 10% transmitting film in an attenuated phase shift mask, an absorbance change of 0.049 corresponds to a 0.5% transmission change. As illustrated in the graph, the stoichiometric TaN/Si3N4 film (i.e. the film of alternating layers 14, 16, 18, and 20 of tantalum nitride and silicon nitride on a substrate 12) exhibits no optical degradation at the levels of radiation tested.
Referring to FIG. 4B, a plot of the resulting changes in absorbance of five different films on substrates, including a film of alternating layers 14, 16, 18, and 20 of tantalum nitride and silicon nitride on a substrate 12, is illustrated. As illustrated in the graph, the film of alternating layers 14, 16, 18, and 20 of tantalum nitride and silicon nitride on a substrate 12 only experienced a refractive index change of 0.0056. Accordingly, when exposed to short and long term radiation from an excimer laser, the attenuated phase shift mask 10 with a film of alternating layers 14, 16, 18, and 20 of tantalum nitride and silicon nitride on a substrate 12 shows no significant modification to optical properties and is attractive as a stable material for mask application.
The investigation of the effects short and long term radiation from an excimer laser on the attenuated phase shift mask 10 with a film of alternating layers 14, 16, 18, and 20 of tantalum nitride and silicon nitride on a substrate 12 as well as on other attenuated phase shift masks is explained in greater detail in Bruce Smith, et al., “Plasma reactive ion etching of 193 nm attenuated phase shift mask materials” J. Vac. Sci. Technol. B 15 [6] p. 2444 (1997) and Bruce Smith, et al., “The Effects of Excimer Laser Radiation on Attenuated Phase-Shift Masking Materials” Id. at 2259 which are herein incorporated by reference.
Summary
For an attenuated phase shift mask for use in lithography at or below 0.20 μm and for use at wavelengths below 300 nm to be considered viable, the mask must have appropriate optical properties, suitable plasma etch characteristics and selectivities, and the ability to withstand short and long term exposure to radiation from an excimer laser without significant optical degradation. As illustrated in the examples above, an attenuated phase shift mask with a film comprising continuous or alternating layers of group IV, V, or VI metal nitrides and Si3N4 on a substrate satisfies each of these requirements.
Having thus described the basic concept of the invention, it will be rather apparent to those skilled in the art that the foregoing detailed disclosure is intended to be presented by way of example only, and is not limiting. Various alterations, improvements, and modifications will occur and are intended to those skilled in the art, though not expressly stated herein. These alterations, improvements, and modifications are intended to be suggested hereby, and are within the spirit and scope of the invention. Accordingly, the invention is limited only by the following claims and equivalents thereto.

Claims (97)

What is claimed is:
1. An attenuated phase shift mask for use in lithography comprising:
a substrate; and
a layer comprising a group IV, V or VI transitional metal nitride and silicon nitride SixNy disposed on the substrate.
2. The attenuated phase shift mask according to claim 1 wherein the group IV, V or VI transitional metal nitride comprises MoN and the silicon nitride SixNy comprises Si3N4.
3. The attenuated phase shift mask according to claim 1 wherein the group IV, V or VI transitional metal nitride comprises NbN and the silicon nitride SixNy comprises Si3N4.
4. The attenuated phase shift mask according to claim 1 wherein the group IV, V or VI transitional metal nitride comprises TiN and the silicon nitride SixNy comprises Si3N4.
5. The attenuated phase shift mask according to claim 1 wherein the group IV, V or VI transitional metal nitride comprises WN and the silicon nitride SixNy comprises Si3N4.
6. The attenuated phase shift mask according to claim 1 wherein the mask has a thickness between about 500 angstroms and 2000 angstroms.
7. The attenuated phase shift mask according to claim 1 wherein the layer is continuous.
8. The attenuated phase shift mask according to claim 1 wherein the group IV, V or VI transitional metal nitride comprises about ten to forty percent of the layer.
9. The attenuated phase shift mask according to claim 1 wherein the layer is radiation stable and etch selective.
10. The attenuated phase shift mask according to claim 9 wherein the layer has an etch selectivity of about 5:1 or more to the substrate and 2:1 or more to the resist material.
11. The attenuated phase shift mask according to claim 1 wherein the layer experiences a transmission change of no more than about 0.5% during the useful life of the mask.
12. A method for making an attenuated phase shift mask for use in lithography, the method comprising:
providing a substrate; and
co-depositing a group IV, V or VI transitional metal nitride and silicon nitride SixNy on the substrate to form a single layer.
13. The method according to claim 12 wherein the group IV, V or VI transitional metal nitride comprises MoN and the silicon nitride SixNy comprises Si3N4.
14. The method according to claim 12 wherein the group IV, V or VI transitional metal nitride comprises NbN and the silicon nitride SixNy comprises Si3N4.
15. The method according to claim 12 wherein the group IV, V or VI transitional metal nitride comprises TiN and the silicon nitride SixNy comprises Si3N4.
16. The method according to claim 12 wherein the group IV, V or VI transitional metal nitride comprises WN and the silicon nitride SixNy comprises Si3N4.
17. The method according to claim 12 wherein the mask is formed to have a thickness between about 500 angstroms and 2000 angstroms.
18. The method according to claim 12 wherein the layer is continuous.
19. The method according to claim 12 wherein the group IV, V or VI transitional metal nitride comprises about ten to forty percent of the layer.
20. The method according to according to claim 12 wherein the layer is radiation stable and etch selective.
21. The method according to claim 20 wherein the layer has an etch selectivity of about 5:1 or more to the substrate and 2:1 or more to the resist material.
22. The method according to claim 12 wherein the layer experiences a transmission change of no more than about 0.5% during the useful life of the mask.
23. A lithography system for producing a pattern on a semiconductor wafer, the lithography system comprising:
a source of radiation;
a mask comprising a substrate with a layer disposed on at least a portion of the substrate, wherein the layer comprises a group IV, V or VI transitional metal nitride and silicon nitride SixNy, the source of radiation positioned to direct at least a portion of the radiation at the mask.
24. The lithography system according to claim 23 wherein the group IV, V or VI transitional metal nitride comprises MoN and the silicon nitride SixNy comprises Si3N4.
25. The lithography system according to claim 23 wherein the group IV, V or VI transitional metal nitride comprises NbN and the silicon nitride SixNy comprises Si3N4.
26. The lithography system according to claim 23 wherein the group IV, V or VI transitional metal nitride comprises TiN and the silicon nitride SixNy comprises Si3N4.
27. The lithography system according to claim 23 wherein the group IV, V or VI transitional metal nitride comprises WN and the silicon nitride SixNy comprises Si3N4.
28. The lithography system according to claim 23 wherein the mask has a thickness between about 500 angstroms and 2000 angstroms.
29. The lithography system according to claim 23 wherein the layer is continuous.
30. The lithography system according to claim 23 wherein the group IV, V or VI transitional metal nitride comprises about ten to forty percent of the layer.
31. The lithography system according to claim 23 wherein the layer is radiation stable and etch selective.
32. The lithography system according to claim 30 wherein the layer has an etch selectivity of about 5:1 or more to the substrate and 2:1 or more to the resist material.
33. The lithography system according to claim 23 wherein the layer experiences a transmission change of no more than about 0.5% during the useful life of the mask.
34. The lithography system according to claim 23 further comprising a projection system positioned between the mask and the wafer.
35. An attenuated phase shift mask for use in lithography comprising a layer comprising a group IV, V or VI transitional metal nitride and silicon nitride SixNy.
36. The attenuated phase shift mask according to claim 35 wherein the group IV, V or VI transitional metal nitride comprises MoN and the silicon nitride SixNy comprises Si3N4.
37. The attenuated phase shift mask according to claim 35 wherein the group IV, V or VI transitional metal nitride comprises NbN and the silicon nitride SixNy comprises Si3N4.
38. The attenuated phase shift mask according to claim 35 wherein the group IV, V or VI transitional metal nitride comprises TiN and the silicon nitride SixNy comprises Si3N4.
39. The attenuated phase shift mask according to claim 35 wherein the group IV, V or VI transitional metal nitride comprises WN and the silicon nitride SixNy comprises Si3N4.
40. The attenuated phase shift mask according to claim 35 wherein the mask has a thickness between about 500 angstroms and 2000 angstroms.
41. The attenuated phase shift mask according to claim 35 further comprising a substrate, the layer disposed at least partially on the substrate.
42. The attenuated phase shift mask according to claim 35 wherein the group IV, V or VI transitional metal nitride comprises about ten to forty percent of the layer.
43. The attenuated phase shift mask according to according to claim 35 wherein the layer is radiation stable and etch selective.
44. The attenuated phase shift mask according to claim 43 wherein the layer has an etch selectivity of about 5:1 or more to an underlying substrate and 2:1 or more to a resist material under the mask.
45. The attenuated phase shift mask according to claim 35 wherein the layer experiences a transmission change of no more than about 0.5% during the useful life of the mask.
46. A method for making an attenuated phase shift mask for use in lithography, the method comprising forming a single layer comprising a group IV, V or VI transitional metal nitride and silicon nitride SixNy.
47. The method according to claim 46 wherein the group IV, V or VI transitional metal nitride comprises MoN and the silicon nitride SixNy comprises Si3N4.
48. The method according to claim 46 wherein the group IV, V or VI transitional metal nitride comprises NbN and the silicon nitride SixNy comprises Si3N4.
49. The method according to claim 46 wherein the group IV, V or VI transitional metal nitride comprises TiN and the silicon nitride SixNy comprises Si3N4.
50. The method according to claim 46 wherein the group IV, V or VI transitional metal nitride comprises WN and the silicon nitride SixNy comprises Si3N4.
51. The method according to claim 46 wherein the mask is formed to have a thickness between about 500 angstroms and 2000 angstroms.
52. The method according to claim 46 further comprising providing a substrate, wherein the single layer is formed at least partially on the substrate.
53. The method according to claim 46 wherein the group IV, V or VI transitional metal nitride comprises about ten to forty percent of the layer.
54. The method according to according to claim 46 wherein the layer is radiation stable and etch selective.
55. The method according to claim 54 wherein the layer has an etch selectivity of about 5:1 or more to an underlying substrate and 2:1 or more to a resist material under the mask.
56. The method according to claim 46 wherein the layer experiences a transmission change of no more than about 0.5% during the useful life of the mask.
57. An attenuated phase shift mask comprising:
a first layer; and
a second layer disposed at least partially on the first layer, wherein the first layer comprises a group IV, V or VI transitional metal nitride and the second layer comprises silicon nitride SixNy or the first layer comprises silicon nitride SixNy and the second layer comprises a group IV, V or VI transitional metal nitride.
58. The attenuated phase shift mask according to claim 57 further comprising a third layer disposed at least partially on the second layer, the third layer comprises a group IV, V or VI transitional metal nitride if the second layer comprises silicon nitride SixNy and comprises silicon nitride SixNy if the second layer comprises a group IV, V or VI transitional metal.
59. The attenuated phase shift mask according to claim 58 further comprising a fourth layer disposed at least partially on the third layer, the fourth layer comprises a group IV, V or VI transitional metal nitride if the third layer comprises silicon nitride SixNy and comprises silicon nitride SixNy if the third layer comprises a group IV, V or VI transitional metal.
60. The attenuated phase shift mask according to claim 57 wherein the group IV, V or VI transitional metal nitride comprises MoN and the silicon nitride SixNy comprises Si3N4.
61. The attenuated phase shift mask according to claim 57 wherein the group IV, V or VI transitional metal nitride comprises NbN and the silicon nitride SixNy comprises Si3N4.
62. The attenuated phase shift mask according to claim 57 wherein the group IV, V or VI transitional metal nitride comprises TiN and the silicon nitride SixNy comprises Si3N4.
63. The attenuated phase shift mask according to claim 57 wherein the group IV, V or VI transitional metal nitride comprises WN and the silicon nitride SixNy comprises Si3N4.
64. The attenuated phase shift mask according to claim 57 wherein the group IV, V or VI transitional metal nitride comprises about ten to forty percent of the mask.
65. The attenuated phase shift mask according to claim 57 wherein the mask has a thickness between about 500 angstroms and 2000 angstroms.
66. The attenuated phase shift mask according to claim 57 wherein the ratio of the thickness of the silicon nitride SixNy to the group IV, V or VI transitional metal nitride is about 85:15.
67. The attenuated phase shift mask according to claim 57 wherein the mask is radiation stable and etch selective.
68. The attenuated phase shift mask according to claim 67 wherein the mask has an etch selectivity of about 5:1 or more to an underlying substrate and 2:1 or more to an imaging material under the mask.
69. The attenuated phase shift mask according to claim 57 wherein the mask experiences a transmission change of no more than about 0.5% during the useful life of the mask.
70. A method for making an attenuated phase shift mask in lithography, the method comprising disposing a second layer at least partially on a first layer, wherein the first layer comprises a group IV, V or VI transitional metal nitride and the second layer comprises silicon nitride SixNy or the first layer comprises silicon nitride SixNy and the second layer comprises a group IV, V or VI transitional metal nitride.
71. The method according to claim 70 further comprising disposing a third layer at least partially on the second layer, the third layer comprising a group IV, V or VI transitional metal nitride if the second layer comprises silicon nitride SixNy and comprises silicon nitride SixNy second layer comprises a group IV, V or VI transitional metal.
72. The method according to claim 71 further comprising disposing a fourth layer at least partially on the third layer, the fourth layer comprises a group IV, V or VI transitional metal nitride if the third layer comprises SixNy and comprises silicon nitride SixNy if the third layer comprises a group IV, V or VI transitional metal.
73. The method according to claim 70 wherein the group IV, V or VI transitional metal nitride comprises TaN and the silicon nitride SixNy comprises Si3N4.
74. The method according to claim 70 wherein the group IV, V or VI transitional metal nitride comprises MoN and the silicon nitride SixNy comprises Si3N4.
75. The method according to claim 70 wherein the group IV, V or VI transitional metal nitride comprises NbN and the silicon nitride SixNy comprises Si3N4.
76. The method according to claim 70 wherein the group IV, V or VI transitional metal nitride comprises TiN and the silicon nitride SixNy comprises Si3N4.
77. The method according to claim 70 wherein the group IV, V or VI transitional metal nitride comprises WN and the silicon nitride SixNy comprises Si3N4.
78. The method according to claim 70 wherein the group IV, V or VI transitional metal nitride comprises about ten to forty percent of the mask.
79. The method according to claim 70 wherein the mask is formed to have a thickness between about 500 angstroms and 2000 angstroms.
80. The method according to claim 70 wherein the mask is formed so that the ratio of the thickness of the SixNy to the group IV, V or VI transitional metal nitride is about 85:15.
81. The method according to according to claim 70 wherein the mask is radiation stable and etch selective.
82. The method according to claim 80 wherein the mask has an etch selectivity of about 5:1 or more to an underlying substrate and 2:1 or more to an imaging material.
83. The method according to claim 70 wherein the mask experiences a transmission change of no more than about 0.5% during the useful life of the mask.
84. A lithography system for producing a pattern on a semiconductor wafer, the lithography system comprising:
a substrate;
a first layer disposed at least partially on the substrate;
a second layer disposed at least partially on the first layer, wherein the first layer comprises a group IV, V or VI transitional metal nitride and the second layer comprises silicon nitride SixNy or the first layer comprises silicon nitride SixNy and the second layer comprises a group IV, V or VI transitional metal nitride; and
a source of radiation, the radiation directed at least partially at the mask.
85. The lithography system according to claim 84 further comprising:
a third layer disposed at least partially on the second layer, the third layer comprises a group IV, V or VI transitional metal nitride if the second layer comprises silicon nitride SixNy and comprises silicon nitride SixNy if the second layer comprises a group IV, V or VI transitional metal; and
a fourth layer disposed at least partially on the third layer, the fourth layer is a group IV, V or VI transitional metal nitride if the third layer is silicon nitride SixNy and is silicon nitride SixNy if the third layer is a group IV, V or VI transitional metal.
86. The lithography system according to claim 84 wherein the group IV, V or VI transitional metal nitride comprises TaN and the silicon nitride SixNy comprises Si3N4.
87. The lithography system according to claim 84 wherein the group IV, V or VI transitional metal nitride comprises MoN and the silicon nitride SixNy comprises Si3N4.
88. The lithography system according to claim 84 wherein the group IV, V or VI transitional metal nitride comprises NbN and the silicon nitride SixNy comprises Si3N4.
89. The lithography system according to claim 84 wherein the group IV, V or VI transitional metal nitride comprises TiN and the silicon nitride SixNy comprises Si3N4.
90. The lithography system according to claim 84 wherein the group IV, V or VI transitional metal nitride comprises WN and the silicon nitride SixNy comprises Si3N4.
91. The lithography system according to claim 84 wherein the group IV, V or VI transitional metal nitride comprises about ten to forty percent of the mask.
92. The lithography system according to claim 84 wherein the mask has a thickness between about 500 angstroms and 2000 angstroms.
93. The lithography system according to claim 84 wherein the ratio of the thickness of the silicon nitride SixNy to the group IV, V or VI transitional metal nitride is about 85:15.
94. The lithography system according to claim 84 wherein the first and second layer are radiation stable and etch selective.
95. The lithography system according to claim 94 wherein the first and second layers have an etch selectivity of about 5:1 or more to the substrate and 2:1 or more to an imaging material.
96. The lithography system according to claim 94 wherein the radiation stable first and second layers experience a transmission change of no more than about 0.5% during the useful life of the mask.
97. The attenuated phase shift mask according to claim 57 wherein the group IV, V or VI transitional metal nitride comprises TaN and the silicon nitride SixNy comprises Si3N4.
US09/333,316 1998-03-09 1999-06-15 Attenuated phase shift mask and a method for making the mask Expired - Lifetime US6309780B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/333,316 US6309780B1 (en) 1998-03-09 1999-06-15 Attenuated phase shift mask and a method for making the mask

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/038,973 US5939227A (en) 1998-03-09 1998-03-09 Multi-layered attenuated phase shift mask and a method for making the mask
US09/333,316 US6309780B1 (en) 1998-03-09 1999-06-15 Attenuated phase shift mask and a method for making the mask

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/038,973 Continuation-In-Part US5939227A (en) 1998-03-09 1998-03-09 Multi-layered attenuated phase shift mask and a method for making the mask

Publications (1)

Publication Number Publication Date
US6309780B1 true US6309780B1 (en) 2001-10-30

Family

ID=46256510

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/333,316 Expired - Lifetime US6309780B1 (en) 1998-03-09 1999-06-15 Attenuated phase shift mask and a method for making the mask

Country Status (1)

Country Link
US (1) US6309780B1 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020009653A1 (en) * 1999-07-14 2002-01-24 Ulvac Coating Corporation And Mitsubishi Denki Kabushiki Kaisha Phase shifter film and process for the same
US6451217B1 (en) * 1998-06-09 2002-09-17 Speedfam-Ipec Co., Ltd. Wafer etching method
US20030077520A1 (en) * 1998-10-08 2003-04-24 Smith Bruce W. Mask for projection photolithography at or below about 160 nm and a method thereof
WO2003087948A1 (en) * 2002-04-12 2003-10-23 International Business Machines Corporation Attenuated embedded phase shift photomask blanks
US6641958B2 (en) * 2000-07-13 2003-11-04 Shin-Etsu Chemical Co., Ltd. Phase shift mask blank, phase shift mask, and methods of manufacture
US6682860B2 (en) 2002-04-12 2004-01-27 International Business Machines Corporation Attenuated embedded phase shift photomask blanks
US6694275B1 (en) * 2000-06-02 2004-02-17 Timbre Technologies, Inc. Profiler business model
US20040086788A1 (en) * 2002-04-26 2004-05-06 Hoya Corporation Halftone-type phase-shift mask blank, and halftone-type phase-shift mask
US20100119958A1 (en) * 2008-11-11 2010-05-13 Taiwan Semiconductor Manufacturing Co., Ltd. Mask blank, mask formed from the blank, and method of forming a mask

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6087047A (en) * 1997-12-19 2000-07-11 Hoya Corporation Phase shift mask and phase shift mask blank

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6087047A (en) * 1997-12-19 2000-07-11 Hoya Corporation Phase shift mask and phase shift mask blank

Non-Patent Citations (20)

* Cited by examiner, † Cited by third party
Title
A. Callegari et al., "Optical Properties Of Hydrogenated Amorphous-Carbon Film For Attenuated Phase-Shift Mask Applications", J. Vac. Sci. Tehnol. B, vol. 11, No. 6, pp. 2697-2699 (1993).
B. W. Smith & S. Turgut, "Phase-Shift Mask Issues for 193 nm Lithography", SPIE, vol. 2197, pp. 201-210, (1994).
B. W. Smith et al., "Attenuated Phase Shift Mask Materials for 248 and 193 nm Lithography", J. Vac. Sci. Technol. B., Vo. 14, No. 6, pp. 3719-3723 (1996).
B. W. Smith et al., "Investigation Into Excimer Laser Radiation Damage of Deep Ultraviolet Optical Phase Masking Films", J. Vac. Sci. Technol. B., vol. 15, No. 6, pp. 2444-2447 (1997).
B. W. Smith et al., "The Effects of Excimer Laser Radiation on Attenuated Phase-Shift Masking Material", SPIE, vol. 3051, pp. 236-244, (1997).
B. W. Smith, et al., "Plasma Reactive Ion Etching of 193 nm Attenuated Phase Shift Mask Materials", J. Vac. Sci. Technol. B., vol. 15, No. 6, pp. 2259-2447 (1997).
C. Pierrat et al., "Dry Etched Molybdenum Silicide Photomasks For Submicron Integrated Circuit Fabrication", J. Vac. Sci. Technol. B., vol. 9, No. 6, pp. 3132-3137 (1991).
D. Lide, Ph.D., "The Elements", CRC Handbook of Chemistry and Physics, 71st Edition, pp. 4-3504-37 (1990).
D. Mixon et al., "Patterning Of X-Ray Masks Using The Negative-Acting Resist P (SI-CMS)", J. Vac. Sci. Technol. B., vol. 11, No. 6, pp. 2834-2838 (1993).
E. Reichmanis et al., "Resist Design Concepts For 193 NM Lithography: Opportunities For Innovation And Invention", J. Vac. Sci. Technol. B., vol. 15, No. 6, pp. 2528-2533 (1997).
J. Ushioda et al., "Chromium Fluoride Attenuated Phase-Shifting Mask For Argon Fluoride Excimer Laser Lithography", Jpn. J. Appl. Phys., vol. 35, pp. 6356-6359 (1996).
J. W. Lutze et al., "Anisotripic Reactive Ion Etching of Aluminum Using C12, BCI3, and CH4 Gases", J. Electronchem. Soc., vol. 137, No. 1, pp. 249-252 (1990).
L. Zavyalova et al., "Optical Properties of Thin Films to 190 nm," Semiconductor Research Corporation ("SRC") Publications: Z98209 Abstract, pp. 1-4 (1998).
M. Nakajima et al., Attenuated Phase-Shifting Mask With A Single-Layer Absorptive Shifter of CrO, CrON, MoSiO and MoSiON Film, SPIE, vol. 2197, pp. 111-121 (1994).
P. Carcia et al., "Materials Screening For Attenuating Embedded Phase-Shift Photoblanks For DUV and 193 NM Photolithography", SPIE, vol. 2884, pp. 255-263 (1996).
P. Panjan et al., "Optical Properties of Nitride Coatings Deposited At Low Substrate Temperatures", Vacuum, vol. 40, pp. 161-164 (1990).
R. Kostelak et al., "Imaging Characterics of Attenuated Phase Shifting Masks", Proc. Of the OCG Interface Conference, pp. 125-144 (1993).
S. Ito et al., "Optimization of Optical Properties For Single-Layer Halftone Masks", SPIE, vol. 2197, pp. 99-110 (1994).
S. Ito et al., "Passivate SiNx Halftone Phase Shifting Mask For Deep Ultraviolet Exposure", J. Vac. Sci. Technol. B., vol. 14, No. 6, pp. 4199-4204 (1996).
Website http://www.src.org/Semiconductor Research Corporation ("SRC") Publications: Z98209 Abstract for Optical Properties of Thin Films to 190 nm, L. Zavyalova, B. W. Smith, A. Bourov, and Fonseca, Rochester Institute of Technology, Jun. 30, 1998, 4 pp., (Pub Z98209): Task 403.002.

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6451217B1 (en) * 1998-06-09 2002-09-17 Speedfam-Ipec Co., Ltd. Wafer etching method
US20030077520A1 (en) * 1998-10-08 2003-04-24 Smith Bruce W. Mask for projection photolithography at or below about 160 nm and a method thereof
US6835505B2 (en) 1998-10-08 2004-12-28 Rochester Institute Of Technology Mask for projection photolithography at or below about 160 nm and a method thereof
US20020009653A1 (en) * 1999-07-14 2002-01-24 Ulvac Coating Corporation And Mitsubishi Denki Kabushiki Kaisha Phase shifter film and process for the same
US7282308B2 (en) 1999-07-14 2007-10-16 Ulvac Coating Corporation Phase shifter film and process for the same
US20070009810A1 (en) * 1999-07-14 2007-01-11 Ulvac Coating Corporation Phase shifter film and process for the same
US7090947B2 (en) * 1999-07-14 2006-08-15 Ulvac Coating Corporation Phase shifter film and process for the same
US6694275B1 (en) * 2000-06-02 2004-02-17 Timbre Technologies, Inc. Profiler business model
US6641958B2 (en) * 2000-07-13 2003-11-04 Shin-Etsu Chemical Co., Ltd. Phase shift mask blank, phase shift mask, and methods of manufacture
US20040170907A1 (en) * 2002-04-12 2004-09-02 International Business Machines Corporation Attenuated embedded phase shift photomask blanks
US6730445B2 (en) 2002-04-12 2004-05-04 International Business Machines Corporation Attenuated embedded phase shift photomask blanks
US6979518B2 (en) 2002-04-12 2005-12-27 International Business Machines Corporation Attenuated embedded phase shift photomask blanks
CN1646994B (en) * 2002-04-12 2010-04-28 国际商业机器公司 Attenuated embedded phase shift photomask blanks
US6682860B2 (en) 2002-04-12 2004-01-27 International Business Machines Corporation Attenuated embedded phase shift photomask blanks
KR100781621B1 (en) 2002-04-12 2007-12-07 인터내셔널 비지네스 머신즈 코포레이션 Attenuated embedded phase shift photomask blanks
WO2003087948A1 (en) * 2002-04-12 2003-10-23 International Business Machines Corporation Attenuated embedded phase shift photomask blanks
US20040086788A1 (en) * 2002-04-26 2004-05-06 Hoya Corporation Halftone-type phase-shift mask blank, and halftone-type phase-shift mask
US7011910B2 (en) * 2002-04-26 2006-03-14 Hoya Corporation Halftone-type phase-shift mask blank, and halftone-type phase-shift mask
US20100119958A1 (en) * 2008-11-11 2010-05-13 Taiwan Semiconductor Manufacturing Co., Ltd. Mask blank, mask formed from the blank, and method of forming a mask

Similar Documents

Publication Publication Date Title
US5939227A (en) Multi-layered attenuated phase shift mask and a method for making the mask
US6316167B1 (en) Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
Schattenburg et al. Optically matched trilevel resist process for nanostructure fabrication
US7029803B2 (en) Attenuating phase shift mask blank and photomask
US7410733B2 (en) Dual-layer EUV mask absorber with trenches having opposing sidewalls that are straight and parallel
US5998100A (en) Fabrication process using a multi-layer antireflective layer
US5981075A (en) Optical articles and devices with a thin film containing krypton, xenon, or radon atoms
US5916712A (en) Halftone phase shift photomask, halftone phase shift photomask blank, and method of producing the same
US7589024B2 (en) Process for producing semiconductor integrated circuit device
US7378197B2 (en) Method of patterning photoresist on a wafer using a reflective mask with a multi-layer ARC
JP3321100B2 (en) Method of forming antireflection layer in semiconductor device
US5733713A (en) Method of manufacturing semiconductor device
US6309780B1 (en) Attenuated phase shift mask and a method for making the mask
US6979518B2 (en) Attenuated embedded phase shift photomask blanks
US6835505B2 (en) Mask for projection photolithography at or below about 160 nm and a method thereof
US6946199B2 (en) Optical, additional films and optical elements
JPH1092742A (en) Anti-reflective composition containing germanium and pattern forming method using the same
US6682860B2 (en) Attenuated embedded phase shift photomask blanks
JP3332230B2 (en) Halftone phase shift photomask and blank for halftone phase shift photomask
Takahashi et al. Smooth low-stress sputtered tantalum and tantalum alloy films for the absorber material of reflective-type EUVL
Smith et al. Effects of excimer laser radiation on attenuated phase-shift masking materials
Chey et al. Novel Si-based composite thin films for 193/157-nm attenuated phase-shift mask (APSM) applications
Ohta et al. Fabrication of reliable x-ray mask using high-temperature deposited SiN membrane by low-pressure chemical vapor deposition system
KR20010082981A (en) Phase shift mask using CrAlO(N)F as a phase shift material and manufacturing method thereof
KR20010095837A (en) Phase shift mask having controlled transmittance with respect to a predetermined wavelength of light by using energy trap and manufacturing method thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: ROCHESTER INSTITUTE OF TECHNOLOGY, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SMITH, BRUCE W.;REEL/FRAME:010040/0620

Effective date: 19990611

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAT HOLDER NO LONGER CLAIMS SMALL ENTITY STATUS, ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: STOL); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

REFU Refund

Free format text: REFUND - SURCHARGE, PETITION TO ACCEPT PYMT AFTER EXP, UNINTENTIONAL (ORIGINAL EVENT CODE: R2551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FEPP Fee payment procedure

Free format text: PAT HOLDER CLAIMS SMALL ENTITY STATUS, ENTITY STATUS SET TO SMALL (ORIGINAL EVENT CODE: LTOS); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

REFU Refund

Free format text: REFUND - PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: R1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 8

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

SULP Surcharge for late payment
FPAY Fee payment

Year of fee payment: 12