US4393013A - Vapor mass flow control system - Google Patents

Vapor mass flow control system Download PDF

Info

Publication number
US4393013A
US4393013A US06/288,360 US28836081A US4393013A US 4393013 A US4393013 A US 4393013A US 28836081 A US28836081 A US 28836081A US 4393013 A US4393013 A US 4393013A
Authority
US
United States
Prior art keywords
pressure
container
carrier gas
flow
temperature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US06/288,360
Inventor
Joseph C. McMenamin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Security Pacific National Bank
Versum Materials US LLC
Original Assignee
JC Schumacher Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by JC Schumacher Co filed Critical JC Schumacher Co
Priority to US06/288,360 priority Critical patent/US4393013A/en
Priority to US06/484,198 priority patent/US4436674A/en
Application granted granted Critical
Publication of US4393013A publication Critical patent/US4393013A/en
Assigned to SECURITY PACIFIC NATIONAL BANK reassignment SECURITY PACIFIC NATIONAL BANK ASSIGNMENT OF ASSIGNORS INTEREST. Assignors: J.C. SCHUMACHER COMPANY
Assigned to AIR PRODUCTS AND CHEMICALS, INC., A CORP. OF DE. reassignment AIR PRODUCTS AND CHEMICALS, INC., A CORP. OF DE. ASSIGNMENT OF ASSIGNORS INTEREST. Assignors: SCHUMACHER, J.C.
Assigned to J. C. SCHUMACHER COMPANY reassignment J. C. SCHUMACHER COMPANY RELEASED BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: SECURITY PACIFIC NATIONAL BANK.
Anticipated expiration legal-status Critical
Assigned to VERSUM MATERIALS US, LLC reassignment VERSUM MATERIALS US, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AIR PRODUCTS AND CHEMICALS, INC.
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J4/00Feed or outlet devices; Feed or outlet control devices
    • B01J4/008Feed or outlet control devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • C23C16/4482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material by bubbling of carrier gas through liquid source material
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/16Feed and outlet means for the gases; Modifying the flow of the gases
    • C30B31/165Diffusion sources
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S261/00Gas and liquid contact apparatus
    • Y10S261/65Vaporizers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/2496Self-proportioning or correlating systems
    • Y10T137/2514Self-proportioning flow systems
    • Y10T137/2534Liquid level response

Definitions

  • This invention relates to an improved system for controlling the flow of vapor transported by a carrier gas from a bubbler to a using system.
  • the control system is particularly useful in connection with high purity liquid source material used in the manufacturing of semiconductor devices.
  • the fabrication of semiconductor electronic devices includes many steps which require the transport of particular atoms or molecules to the surfaces of wafer substrates, usually maintained at elevated temperatures. In many of the steps, the most common method for accomplishing this is to transport the vapors from a liquid chemical source by a carrier gas stream into a reaction chamber of the using system. Consistent device performance depends strongly on accurate vapor delivery rates and extremely low levels of impurities, particularly metallics.
  • an ultra-high purity liquid source material is provided in a bubbler, and a suitable carrier gas stream is bubbled through the liquid and then transported to the point of use.
  • a suitable carrier gas stream is bubbled through the liquid and then transported to the point of use.
  • the thermal conductivity mass flow meter monitors the vapor flow from a liquid source bubbler by taking the ratio of the thermal-conductivity of the carrier gas and vapor mixture flowing out of the bubbler, to the thermal-conductivity of the carrier gas flowing into the bubbler (see for example U.S. Pat. No. 3,650,151).
  • the main drawback of this method is the introduction of metallic contamination in the vapor stream.
  • the design and construction of the thermal mass flow meter has necessitated the use of metaliic parts, usually stainless steel, in the chemical vapor path.
  • the temperature controlled bubbler method maintains constant vapor mass flow by closely controlling the bubbler temperature and the mass flow rate of the carrier gas stream.
  • improved bubblers such as those illustrated in U.S. Pat. Nos. 4,134,514 and 4,140,735 eliminate many contamination and deterioration problems by using only high-purity quartz and teflon in contact with the vapors, and by eliminating chemical handling problems.
  • the main drawback to this method has been fluctuations in the vapor mass flow such that the output has not always been sufficiently satisfactory and has required considerable trial and error adjusting of the carrier gas stream. As a result of inadequate controls, there is a significant and frequent loss of partially finished goods.
  • the present invention relates to such an improvement for the temperature controlled type bubbler system.
  • vapor mass flow By using this formula and properly measuring the relevant parameters, temperature, pressure, level and carrier gas flow, an accurate determination of the vapor mass flow can be obtained.
  • One or more of these parameters may be regulated through a feed back loop to control the vapor mass flow to any desired value within the available range of the parameters.
  • changes in the variables are combined by an electronic flow controller which provides an output signal for adjusting the valve controlling the carrier gas flow, which provides the fastest adjustment speed.
  • a signal is provided to a temperature controller for maintaining the bubbler temperature at a desired point. It has been found that with such a system, the accuracy of the vapor mass flow may be controlled to within one percent variation.
  • the flow controller provides an alarm signal, if the level of liquid in the bubbler drops below a certain minimum required to obtain adequate saturation of the carrier gas. Additionally, the alarm indicates an overpressure condition and closes the input valve to interrupt the flow of carrier gas, if an overpressure condition should occur within the bubbler.
  • a safety relief valve is also provided to relieve the pressure within the bubbler at a predetermined level.
  • FIG. 1 is a block diagram indicating the overall arrangement and operation of the system.
  • FIG. 2 is a block diagram indicating a mass flow approximation circuit of the electronic controller.
  • a vaporizer bubbler 10 having an inlet tube 12 which enters through the upper wall of the bubbler and terminates at an open end near the bottom of the bubbler so that carrier gas exiting from the inlet tube will bubble upwardly through a quantity of liquid 14 within the bubbler container.
  • the bubbler further has an outlet tube 16 in the upper wall of the bubbler in communication with the vapor space above the liquid in the bubbler.
  • the bubbler is preferably made of high purity quartz material which will not react with the liquid or the carrier gas. Further details of two suitable bubblers may be obtained from U.S. Pat. Nos. 4,134,514 and 4,140,735.
  • the bubbler inlet tube is connected by a manually operated valve 18 to a carrier gas inlet line 20.
  • the upper end of the inlet line is connected to a suitable carrier gas input source.
  • a filter 22 Moving downstream from the carrier gas input, there is positioned a filter 22, a carrier gas flow meter 24, a carrier gas flow control valve 26, a check valve 28, a three-way vent valve 30, and a pressure relief valve 32.
  • the bubbler outlet tube 16 is connected by manually operated valve 34 to an outlet line 36 past a shut-off valve 38 for connection to a using system (not shown).
  • a typical using system is a batch of semiconductor wafers in a furnace in which the bubbler liquid vapor is to be deposited at an elevated temperature.
  • a vapor mass flow controller 40 receives a variety of input information and provides an output signal for controlling the flow control valve 26.
  • One input is the temperature of the bubbler liquid 14 as measured by a temperature sensor 42 which includes a probe 42a extending into a thin-walled well 44 formed in the bubbler. The probe makes good thermal contact with the well by means of a heat transfer fluid positioned within the well.
  • the bubbler is positioned in a container or shell 46 for maintaining the bubbler at a desired temperature.
  • the temperature controller 48 connected to the vapor mass flow controller 40 provides heat or extracts heat, to the container 46 to monitor the bubbler at a desired level.
  • the container 46 and the controller 48 form a unit often referred to as a source-temperature controller (STC).
  • STC source-temperature controller
  • a pressure sensor or transducer 50 senses the pressure in the upper portion of the bubbler above the liquid and feeds a pressure input to the flow controller 40.
  • the pressure transducer preferably includes an isolating diaphragm 52 which is exposed on one side to the bubbler interior, with the other side of the diaphragm confining a non-compressible fluid in the upper portion of the pressure sensor.
  • the diaphragm 52 is preferably made of teflon or some other suitable material which can resist the chemicals to be confined within the bubbler, while not introducing any contamination into the source material.
  • a suitable sensor 54 senses the level of the liquid in the bubbler and provides a level input signal to the flow controller 40.
  • the sensor provides information for calculating the vapor flow and for determining when the chemical supply is depleted.
  • the level can be determined by knowing the starting level and keeping track in the mass flow controller of the total volume of vapor lost to the carrier gas stream.
  • Another method which can be used is to sense the level by means of light emitting and detecting devices on the inner walls of the container 46.
  • Suitable means 56 is provided for furnishing temperature set point information to the flow controller 40.
  • means 58 provides a vapor flow set point value to the controller.
  • the carrier gas flow meter 24 is connected to provide carrier gas flow information to the controller.
  • an alarm 60 is provided to receive a signal from the controller if certain undesired conditions should occur such as an overpressure condition in the bubbler or a lack of sufficient liquid in the bubbler.
  • the alarm means 60 is further connected to the inlet and outlet valves 30 and 38.
  • the bubbler is first connected to the system as shown, the manually operated valves 18 and 34 being connected to the bubbler inlet and outlet tubes in a manner to prevent contamination with respect to the bubbler liquid.
  • the manually operated valves 18 and 34 being connected to the bubbler inlet and outlet tubes in a manner to prevent contamination with respect to the bubbler liquid.
  • the temperature set point means 56 is adjusted to provide the desired temperature information to the controller 40. Similarly the desired vapor flow information is provided to the controller by the component 58. No pressure information need be provided by the user since the controller is preset for a standard of 760 millimeters of mercury.
  • the temperature variation is relatively small with respect to a nominal temperature provided by the temperature set point means, and the pressure variation is also relatively small with respect to atmospheric pressure.
  • the formula for computing the vapor mass flow, m can be simplified in the following approximate formula, which is essentially a four-dimensional linear approximation of the formula expressed above:
  • A,B,C,D,E Positive constants computed for each chemical and nominal operating conditions
  • FIG. 2 shows a mass flow approximation circuit.
  • the variables in the system are amplified and combined to produce a multiplier for combining with the carrier gas mass flow to provide the approximate vapor mass flow.
  • the electronics for such a system can be fabricated relatively inexpensively such that the controller becomes a very practical but yet highly accurate device.
  • the carrier gas is applied to the input line 20 with the vent valve 30 in open position so that the carrier gas is vented.
  • the valve 38 is opened, and the vent valve 30 moved to permit carrier gas flow into the bubbler.
  • the valves 18 and 34 having been primarily employed to facilitate installation or removal of the bubbler without loss or contamination of chemicals.
  • the system is then in operation; and as variations in the sensed parameters occur, the information is sent to the controller which instantly provides an output signal to the automatic flow control valve 26 to adjust the valve in a manner to maintain a uniform flow of the vaporized source material 14 transported from the bubbler by the carrier gas to the using system.
  • the bubbler might contain POCl 3 , at a pressure of 760 mmHg.
  • An inert carrier gas such as nitrogen is provided at a flow rate of 0 to 500 standard cubic centimeters per minute.
  • ⁇ P is likely to be within the range of -50 to +152 mHg, with an approximation error of less than 2 percent in its worst case. Because the bubbler temperature is controlled so that its deviation is small, and the pressure deviation is similarly generally small, the nominal operating conditions are well within the approximation range of the approximate linear formula expressed above, and therefore the approximation error is much less than one percent.
  • the input valve 30 closes, and the output valve 38 opens, if it was not already open, to eliminate the overpressure condition. If valve 38 was open, it is locked open to prevent it from being closed while the overpressure condition exists. Additional safety is afforded by the pressure relief valve 32 which vents to the vapor output to prevent bubbler explosion in case of valve failure.
  • the check valve 28 prevents corrosive bubbler liquid from reaching the carrier flow meter.
  • the foregoing system provides a reliable and practical means for maintaining the accurate vapor mass flow which is necessary in many chemical processes, particularly that used in the fabrication of semiconductor devices.

Abstract

A system for precisely controlling the mass flow rate of vapor from a bubbler by a carrier gas stream.

Description

This application is a continuation, of application Ser. No. 151,741, filed May 20, 1980 now abandoned.
BACKGROUND OF THE INVENTION
This invention relates to an improved system for controlling the flow of vapor transported by a carrier gas from a bubbler to a using system. The control system is particularly useful in connection with high purity liquid source material used in the manufacturing of semiconductor devices.
The fabrication of semiconductor electronic devices includes many steps which require the transport of particular atoms or molecules to the surfaces of wafer substrates, usually maintained at elevated temperatures. In many of the steps, the most common method for accomplishing this is to transport the vapors from a liquid chemical source by a carrier gas stream into a reaction chamber of the using system. Consistent device performance depends strongly on accurate vapor delivery rates and extremely low levels of impurities, particularly metallics.
Typically, an ultra-high purity liquid source material is provided in a bubbler, and a suitable carrier gas stream is bubbled through the liquid and then transported to the point of use. The previous methods of vapor flow control that have been customarily used are the thermal-conductivity mass flow meter and the temperature controlled vaporizer bubbler; however, neither method has been entirely satisfactory.
The thermal conductivity mass flow meter monitors the vapor flow from a liquid source bubbler by taking the ratio of the thermal-conductivity of the carrier gas and vapor mixture flowing out of the bubbler, to the thermal-conductivity of the carrier gas flowing into the bubbler (see for example U.S. Pat. No. 3,650,151). The main drawback of this method is the introduction of metallic contamination in the vapor stream. The design and construction of the thermal mass flow meter has necessitated the use of metaliic parts, usually stainless steel, in the chemical vapor path. Because of the highly corrosive nature of many of the commonly used chemical vapors (especially in the presence of trace levels of moisture contamination) the metallic parts slowly deteriorate and the resulting metallic impurities are carried with the source vapor to the wafers. This leads not only to wafer contamination and low device yields, but also to drift and failure in the mass flow controller caused by the chemical deterioration. In addition the cost of the meters themselves is not a small problem in that they are costly, in the area of $2,000, and must be frequently repaired and replaced. This is particularly so with high carrier gas flow, such as in fiber optic applications.
The temperature controlled bubbler method maintains constant vapor mass flow by closely controlling the bubbler temperature and the mass flow rate of the carrier gas stream. Recently introduced improved bubblers, such as those illustrated in U.S. Pat. Nos. 4,134,514 and 4,140,735 eliminate many contamination and deterioration problems by using only high-purity quartz and teflon in contact with the vapors, and by eliminating chemical handling problems. The main drawback to this method has been fluctuations in the vapor mass flow such that the output has not always been sufficiently satisfactory and has required considerable trial and error adjusting of the carrier gas stream. As a result of inadequate controls, there is a significant and frequent loss of partially finished goods. In addition, there have been some reported instances of connections being broken or bubblers exploding because of improperly high pressures of the carrier gas streams. This results in danger to operating personnel because of the corrosive nature of the liquid source material, as well as the possible loss of the expensive work in progress, such as a batch of semiconductor wafers. Accordingly, a need exists for improved flow control of such a bubbler system. The present invention relates to such an improvement for the temperature controlled type bubbler system.
SUMMARY OF THE INVENTION
In the operation of temperature controlled bubbler systems, it had been assumed that maintaining a reasonably accurate control of the temperature of the liquid source material and monitoring the flow of the carrier gas would provide sufficient consistency of the vapor flow, since the saturation point of the carrier gas varies with temperature. However, close analysis has revealed a number of sources of error.
For example, it had been assumed that there was no need to monitor pressure in that the using system was at atmospheric pressure and that therefore the vapor pressure within the bubbler was essentially at atmospheric. It has been learned that simple changes in atmospheric pressure can produce an undesirable error in the vapor mass flow. Further, the existence of valves downstream from the bubbler can introduce back pressure variations such that the bubbler vapor pressure is above atmospheric pressure. Related to this, simply the length of the fluid line from the bubbler to the using system introduces variations in back pressure.
Also, it has been discovered that variation in the size of the opening through which the gas passes in exiting the bubbler can introduce pressure variations that result in a source of error. Typically, a thin glass seal in the bubbler inlet had been broken by magnetically raising a small metal ball and dropping it to break the seal. Variations in the size of the opening can affect the accuracy of the system, and use of the present invention accomodates such variations.
It has further been found that certain errors are introduced into the system by temperature variations that are not sufficiently accomodated by the means employed for maintaining the liquid source material at constant temperature. For example, sudden ambient temperature changes, such as that caused by opening a nearby oven door, can introduce temporary errors that cannot be quickly corrected by a temperature controller. Further, in some uses of the system such as the fabrication of fiber optics, a relatively large flow of carrier gas is required. It has been found that this increased carrier gas flow rate can introduce significant evaporative cooling such that ten to fifteen minutes may be required to stabilize the temperature. This of course results in the loss of very expensive bubbler vapor as well as delaying the manufacturing process.
Related to the high carrier gas flow rate situation, it was commonly thought that an increased flow rate would result in the carrier gas not being saturated as it bubbled through the liquid source material; however, while this is true with extremely high flow rates, analysis has shown that the rates in question do not result in inadequate saturation, but that instead variations are caused by some of the other sources of error referred to above.
The operation of the vapor mass flow controller of the present invention is based on the theory that accurate vapor mass flow from a liquid source bubbler can be obtained, if the temperature and pressure of the bubbler and the carrier gas mass flow are known. In addition to these factors, an empirically observed correction factor, depending on bubbler geometry, temperature, liquid level, and flow rate must be applied. It should be noted that the change in correction factor due to bubbler geometry is small enough that negligible change is for normal bubbler manufacturing tolerances, such that the correction factor normally only needs be determined for a particular design. This is important in that it makes the system more useful. The concept is mathematically expressed as follows: ##EQU1## where m=Vapor mass flow
Fc =Carrier gas mass flow
p=Total bubbler pressure ##EQU2## T=Bubbler temperature L=Liquid level in bubbler
P=.sub.δe (β-γ/T)=partial pressure of the chemical vapor; and α, β, γ, and δ=constants for each chemical
By using this formula and properly measuring the relevant parameters, temperature, pressure, level and carrier gas flow, an accurate determination of the vapor mass flow can be obtained. One or more of these parameters may be regulated through a feed back loop to control the vapor mass flow to any desired value within the available range of the parameters.
In a preferred example of the invention, changes in the variables are combined by an electronic flow controller which provides an output signal for adjusting the valve controlling the carrier gas flow, which provides the fastest adjustment speed. In addition, a signal is provided to a temperature controller for maintaining the bubbler temperature at a desired point. It has been found that with such a system, the accuracy of the vapor mass flow may be controlled to within one percent variation.
As additional features of the invention, the flow controller provides an alarm signal, if the level of liquid in the bubbler drops below a certain minimum required to obtain adequate saturation of the carrier gas. Additionally, the alarm indicates an overpressure condition and closes the input valve to interrupt the flow of carrier gas, if an overpressure condition should occur within the bubbler. A safety relief valve is also provided to relieve the pressure within the bubbler at a predetermined level.
SUMMARY OF THE DRAWINGS
FIG. 1 is a block diagram indicating the overall arrangement and operation of the system; and
FIG. 2 is a block diagram indicating a mass flow approximation circuit of the electronic controller.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
Referring to FIG. 1, there is schematically illustrated a vaporizer bubbler 10 having an inlet tube 12 which enters through the upper wall of the bubbler and terminates at an open end near the bottom of the bubbler so that carrier gas exiting from the inlet tube will bubble upwardly through a quantity of liquid 14 within the bubbler container. The bubbler further has an outlet tube 16 in the upper wall of the bubbler in communication with the vapor space above the liquid in the bubbler. The bubbler is preferably made of high purity quartz material which will not react with the liquid or the carrier gas. Further details of two suitable bubblers may be obtained from U.S. Pat. Nos. 4,134,514 and 4,140,735.
The bubbler inlet tube is connected by a manually operated valve 18 to a carrier gas inlet line 20. The upper end of the inlet line is connected to a suitable carrier gas input source. Moving downstream from the carrier gas input, there is positioned a filter 22, a carrier gas flow meter 24, a carrier gas flow control valve 26, a check valve 28, a three-way vent valve 30, and a pressure relief valve 32.
The bubbler outlet tube 16 is connected by manually operated valve 34 to an outlet line 36 past a shut-off valve 38 for connection to a using system (not shown). A typical using system is a batch of semiconductor wafers in a furnace in which the bubbler liquid vapor is to be deposited at an elevated temperature.
A vapor mass flow controller 40 receives a variety of input information and provides an output signal for controlling the flow control valve 26. One input is the temperature of the bubbler liquid 14 as measured by a temperature sensor 42 which includes a probe 42a extending into a thin-walled well 44 formed in the bubbler. The probe makes good thermal contact with the well by means of a heat transfer fluid positioned within the well.
The bubbler is positioned in a container or shell 46 for maintaining the bubbler at a desired temperature. The temperature controller 48 connected to the vapor mass flow controller 40 provides heat or extracts heat, to the container 46 to monitor the bubbler at a desired level. Together, the container 46 and the controller 48 form a unit often referred to as a source-temperature controller (STC).
A pressure sensor or transducer 50 senses the pressure in the upper portion of the bubbler above the liquid and feeds a pressure input to the flow controller 40. The pressure transducer preferably includes an isolating diaphragm 52 which is exposed on one side to the bubbler interior, with the other side of the diaphragm confining a non-compressible fluid in the upper portion of the pressure sensor. The diaphragm 52 is preferably made of teflon or some other suitable material which can resist the chemicals to be confined within the bubbler, while not introducing any contamination into the source material.
A suitable sensor 54 senses the level of the liquid in the bubbler and provides a level input signal to the flow controller 40. The sensor provides information for calculating the vapor flow and for determining when the chemical supply is depleted. The level can be determined by knowing the starting level and keeping track in the mass flow controller of the total volume of vapor lost to the carrier gas stream. Another method which can be used is to sense the level by means of light emitting and detecting devices on the inner walls of the container 46.
Suitable means 56 is provided for furnishing temperature set point information to the flow controller 40. Similarly, means 58 provides a vapor flow set point value to the controller. Also, the carrier gas flow meter 24 is connected to provide carrier gas flow information to the controller. As an additional feature of the system, an alarm 60 is provided to receive a signal from the controller if certain undesired conditions should occur such as an overpressure condition in the bubbler or a lack of sufficient liquid in the bubbler. The alarm means 60 is further connected to the inlet and outlet valves 30 and 38.
Operation
In operation, the bubbler is first connected to the system as shown, the manually operated valves 18 and 34 being connected to the bubbler inlet and outlet tubes in a manner to prevent contamination with respect to the bubbler liquid. The details of one suitable manner for making connections to a bubbler are described in U.S. Pat. No. 4,134,514.
The temperature set point means 56 is adjusted to provide the desired temperature information to the controller 40. Similarly the desired vapor flow information is provided to the controller by the component 58. No pressure information need be provided by the user since the controller is preset for a standard of 760 millimeters of mercury. The temperature variation is relatively small with respect to a nominal temperature provided by the temperature set point means, and the pressure variation is also relatively small with respect to atmospheric pressure. Thus, the formula for computing the vapor mass flow, m, can be simplified in the following approximate formula, which is essentially a four-dimensional linear approximation of the formula expressed above:
m*=AF.sub.c (1+BΔT-CΔP-DF.sub.c +EΔL)
m*=Approximate vapor mass flow
Fc =Carrier gas mass flow
ΔT=Temperature variation from nominal=(T-To)
ΔP=Pressure variation from nominal=(P-Po)
ΔL=Change in liquid level=-∫m*dt
A,B,C,D,E=Positive constants computed for each chemical and nominal operating conditions
This approximate formula can be easily implemented in analogue or digital electronics obvious to one skilled in that art. However, to further assist in understanding the electronics required by the controller, refer to the block diagram of FIG. 2, which shows a mass flow approximation circuit. As can be seen, the variables in the system are amplified and combined to produce a multiplier for combining with the carrier gas mass flow to provide the approximate vapor mass flow. The electronics for such a system can be fabricated relatively inexpensively such that the controller becomes a very practical but yet highly accurate device.
Once the initial information has been fed to the controller and the bubbler is suitably connected to the system, the carrier gas is applied to the input line 20 with the vent valve 30 in open position so that the carrier gas is vented. After a short stabilization period, the valve 38 is opened, and the vent valve 30 moved to permit carrier gas flow into the bubbler. The valves 18 and 34 having been primarily employed to facilitate installation or removal of the bubbler without loss or contamination of chemicals. The system is then in operation; and as variations in the sensed parameters occur, the information is sent to the controller which instantly provides an output signal to the automatic flow control valve 26 to adjust the valve in a manner to maintain a uniform flow of the vaporized source material 14 transported from the bubbler by the carrier gas to the using system.
In a typical example of the system used in connection with the fabrication of semiconductors, the bubbler might contain POCl3, at a pressure of 760 mmHg. An inert carrier gas, such as nitrogen is provided at a flow rate of 0 to 500 standard cubic centimeters per minute. A pressure variation, ΔP is likely to be within the range of -50 to +152 mHg, with an approximation error of less than 2 percent in its worst case. Because the bubbler temperature is controlled so that its deviation is small, and the pressure deviation is similarly generally small, the nominal operating conditions are well within the approximation range of the approximate linear formula expressed above, and therefore the approximation error is much less than one percent.
If an overpressure condition is detected by the alarm means 60, the input valve 30 closes, and the output valve 38 opens, if it was not already open, to eliminate the overpressure condition. If valve 38 was open, it is locked open to prevent it from being closed while the overpressure condition exists. Additional safety is afforded by the pressure relief valve 32 which vents to the vapor output to prevent bubbler explosion in case of valve failure. The check valve 28 prevents corrosive bubbler liquid from reaching the carrier flow meter.
The foregoing system provides a reliable and practical means for maintaining the accurate vapor mass flow which is necessary in many chemical processes, particularly that used in the fabrication of semiconductor devices.

Claims (9)

What is claimed is:
1. A method for improving the accuracy in supplying a continuous uniform mass flow of vaporized material from a chemical vapor delivery system which includes a container partially filled with material to be vaporized and applied to a vapor using system, said container being at substantially atmospheric pressure, means for ducting a carrier gas through said material to transport said vaporized material, a valve for controlling the flow of said carrier gas to said container, and means for controlling the temperature of the material in said container, said method comprising:
sensing the relatively small deviation from nominal atmospheric pressure of the total gaseous pressure in said container, which includes pressure variations therein caused by conditions downstream from the container;
generating a signal utilizing said pressure deviation;
transmitting said signal to said flow control valve, said signal adjusting said flow control valve to control the carrier gas flow to provide said continuous, uniform mass flow of said vaporized material with reduced error in said mass flow which would otherwise be caused by said pressure deviation.
2. The method of claim 1 including the steps of:
sensing the temperature of said material to be vaporized,
comparing said sensed temperature with a nominal temperature to determine a temperature differential;
generating a signal in a vapor mas flow controller utilizing said temperature differential in a computation by the controller which demands an increase in carrier gas flow in response to more negative differentials; and
combining said temperature differential signal with said pressure differential signal to generate said signal for providing said continuous uniform mass flow of said vaporized material.
3. The method of claim 1 or 2 including:
sensing the level of said material in said container;
determining changes in said sensed levels;
combining said changes in said sensed levels with said pressure differential to generate said signal for providing said continuous uniform mass flow of said vaporized material to said using system.
4. In a chemical vapor delivery system including a container partially filled with material to be vaporized and applied to a using system, said container being at substantially atmospheric pressure, means for ducting a carrier gas through said material to transport said material in vaporized form, valve means for controlling the flow of said carrier gas, and means for sensing and controlling the temperature of said material in said container, the improvement for providing a continuous uniform mas flow of vapor to the using system comprising:
sensing the deviation from nominal atmospheric pressure of the total gaseous pressure in said container, which includes pressure variations therein caused by conditions downstream from the container, sensing the carrier gas flow rate, sensing the level of material in said container, and utilizing the sensed temperature, pressure, flow rate, and material level to control the valve means to provide continuous uniform vapor mass flow in accordance with the approximate formula:
m*=AF.sub.c (1+BΔT-CΔP-DF.sub.c +EΔL)
wherein
m*=Approximate vapor mass flow
Fc =Carrier gas mass flow
ΔT=Temperature variation from nominal=(T-To)
ΔP=Pressure variation from nominal=(P-Po)
ΔL=Change in liquid level=∫m* dt
A,B,C,D,E,=Positive constants computed for each chemical and nominal operating conditions.
5. A chemical vapor delivery system comprising:
a bubbler container for holding a quantity of high purity liquid to be vaporized and applied to a using system, said container being at substantially atmospheric pressure;
means for transporting a carrier gas through said liquid to transport the vaporized material to the using system;
means for sensing the carrier gas flow rate;
valve means for controlling the flow of said carrier gas;
means for sensing and controlling the temperature of said liquid;
means for sensing the total gaseous pressure in said container which includes pressure variations therein caused by conditions downstream from the container; and
controller means connected to receive the sensed carrier gas flow rate, the sensed temperature and the sensed pressure and to compare said sensed pressure with a reference pressure to provide a pressure differential indicative of a relatively small deviation from atmospheric pressure, said controller utilizing said pressure differential for reducing error in the mass flow rate of vapor which would otherwise be caused by said pressure deviation and producing a signal for controlling said carrier gas flow control valve means in a manner to produce a continuous, uniform mass flow of said vaporized liquid to said using system.
6. The apparatus of claim 5 including means for sensing the level of liquid in said container, means for providing input from said level sensing means to said controller means which utilizes the changes in level of said liquid in determining the signal to be provided to said flow control valve means.
7. The apparatus of claim 5 including means linked to said controller for interrupting flow of carrier gas into said container at a predetermined pressure.
8. The apparatus of claim 7 further including alarm means linked to said controller for providing an alarm signal if the flow of carrier gas into said bubbler container is interrupted.
9. The apparatus of claim 7 including means for relieving pressure applied to said container at a predetermined pressure by venting said carrier gas to said using system.
US06/288,360 1970-05-20 1981-07-30 Vapor mass flow control system Expired - Lifetime US4393013A (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US06/288,360 US4393013A (en) 1970-05-20 1981-07-30 Vapor mass flow control system
US06/484,198 US4436674A (en) 1981-07-30 1983-04-12 Vapor mass flow control system

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15174170A 1970-05-20 1970-05-20
US06/288,360 US4393013A (en) 1970-05-20 1981-07-30 Vapor mass flow control system

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
US15174170A Continuation 1970-05-20 1970-05-20
US15174180A Continuation 1980-05-20 1980-05-20

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US06/484,198 Continuation-In-Part US4436674A (en) 1981-07-30 1983-04-12 Vapor mass flow control system

Publications (1)

Publication Number Publication Date
US4393013A true US4393013A (en) 1983-07-12

Family

ID=26848929

Family Applications (1)

Application Number Title Priority Date Filing Date
US06/288,360 Expired - Lifetime US4393013A (en) 1970-05-20 1981-07-30 Vapor mass flow control system

Country Status (1)

Country Link
US (1) US4393013A (en)

Cited By (405)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0139134A2 (en) * 1983-08-15 1985-05-02 Motorola, Inc. Deposition and diffusion source control means and method
US4540531A (en) * 1984-05-04 1985-09-10 Ashland Oil, Inc. Vapor generator and its use in generating vapors in a pressurized gas
EP0196170A2 (en) * 1985-03-26 1986-10-01 Kabushiki Kaisha Toshiba Organic metallic compound pyrolysis vapor growth apparatus
FR2581711A1 (en) * 1985-05-13 1986-11-14 Labo Electronique Physique DEVICE FOR REGULATING, INTERRUPTING OR SWITCHING FLUIDS
US4632789A (en) * 1985-01-31 1986-12-30 Reid Philip L Gas humidification apparatus
US4640221A (en) * 1985-10-30 1987-02-03 International Business Machines Corporation Vacuum deposition system with improved mass flow control
US4717596A (en) * 1985-10-30 1988-01-05 International Business Machines Corporation Method for vacuum vapor deposition with improved mass flow control
US4759882A (en) * 1985-01-31 1988-07-26 Mocon Modern Controls, Inc. Gas humidification process
EP0311446A2 (en) * 1987-10-08 1989-04-12 Mitsubishi Rayon Co., Ltd. Apparatus for producing compound semiconductor
US4832012A (en) * 1987-07-08 1989-05-23 Vortran Medical Technology, Inc. Intermittent signal actuated nebulizer
EP0328888A1 (en) * 1988-02-11 1989-08-23 Siemens Aktiengesellschaft Thermostatic device for the safe and controlled vaporization of noxious or liquid source materials that are highly reactive in the air, especially for low pressure vapour discharge systems in the field of semiconductors
US4979545A (en) * 1988-10-31 1990-12-25 Olin Corporation Bubbler container automatic refill system
US5038840A (en) * 1990-07-31 1991-08-13 Olin Corporation Bubbler container automatic refill system
US5080093A (en) * 1987-07-08 1992-01-14 Vortran Medical Technology, Inc. Intermittant signal actuated nebulizer
US5122393A (en) * 1987-04-08 1992-06-16 British Telecommunications Public Limited Company Reagent source for molecular beam epitaxy
EP0555614A1 (en) * 1992-02-13 1993-08-18 International Business Machines Corporation Metal-organic gas supply for MOVPE and MOMBE
US5279338A (en) * 1990-09-28 1994-01-18 Olin Hunt Specialty Products, Inc. Modular bubbler container automatic refill system
US5288325A (en) * 1991-03-29 1994-02-22 Nec Corporation Chemical vapor deposition apparatus
US5322057A (en) * 1987-07-08 1994-06-21 Vortran Medical Technology, Inc. Intermittent signal actuated nebulizer synchronized to operate in the exhalation phase, and its method of use
US5401316A (en) * 1992-10-15 1995-03-28 Tokyo Electron Limited Method and apparatus for hydrophobic treatment
WO1995019277A2 (en) * 1994-01-10 1995-07-20 Thiokol Corporation Method and system for evaluating gas generants and gas generators
US5487783A (en) * 1994-04-14 1996-01-30 International Business Machines Corporation Method and apparatus for preventing rupture and contamination of an ultra-clean APCVD reactor during shutdown
EP0696472A1 (en) * 1994-08-05 1996-02-14 Shin-Etsu Handotai Company Limited Method and apparatus for supply of liquid raw material gas
US5551309A (en) * 1995-01-17 1996-09-03 Olin Corporation Computer-controlled chemical dispensing with alternative operating modes
US5722447A (en) * 1994-04-29 1998-03-03 Texas Instruments Incorporated Continuous recirculation fluid delivery system and method
WO1999014396A1 (en) * 1997-09-12 1999-03-25 Applied Materials, Inc. Method and apparatus for monitoring a vaporizer
US5971368A (en) * 1997-10-29 1999-10-26 Fsi International, Inc. System to increase the quantity of dissolved gas in a liquid and to maintain the increased quantity of dissolved gas in the liquid until utilized
US5972117A (en) * 1997-09-03 1999-10-26 Applied Materials, Inc. Method and apparatus for monitoring generation of liquid chemical vapor
US6033479A (en) * 1998-04-22 2000-03-07 Applied Materials, Inc. Process gas delivery system for CVD having a cleaning subsystem
US6038919A (en) * 1997-06-06 2000-03-21 Applied Materials Inc. Measurement of quantity of incompressible substance in a closed container
US6235641B1 (en) 1998-10-30 2001-05-22 Fsi International Inc. Method and system to control the concentration of dissolved gas in a liquid
US6274506B1 (en) 1999-05-14 2001-08-14 Fsi International, Inc. Apparatus and method for dispensing processing fluid toward a substrate surface
US6272933B1 (en) 1997-06-17 2001-08-14 Fisher & Paykel Limited Respiratory humidification system
US6406551B1 (en) 1999-05-14 2002-06-18 Fsi International, Inc. Method for treating a substrate with heat sensitive agents
US20030065457A1 (en) * 2001-02-16 2003-04-03 Taylor Steven R. Systems and methods for accurately measuring fluid
US20030145789A1 (en) * 2000-02-10 2003-08-07 Hartmut Bauch Gas supply device for precursors with a low vapor pressure
US20030217697A1 (en) * 2002-03-13 2003-11-27 Hideaki Miyamoto Liquid material evaporation supply apparatus
US20040041286A1 (en) * 2002-08-30 2004-03-04 Jai-Dong Lee Method and apparatus for supplying a source gas
US20040079286A1 (en) * 2002-07-12 2004-04-29 Sven Lindfors Method and apparatus for the pulse-wise supply of a vaporized liquid reactant
US20040133361A1 (en) * 1999-12-03 2004-07-08 Shahab Khandan Method for CVD process control for enhancing device performance
US6779378B2 (en) 2002-10-30 2004-08-24 Asm International N.V. Method of monitoring evaporation rate of source material in a container
US20040221844A1 (en) * 1997-06-17 2004-11-11 Hunt Peter John Humidity controller
US20050066893A1 (en) * 2003-09-29 2005-03-31 Soininen Pekka T. Safe liquid source containers
US20050087301A1 (en) * 2003-09-18 2005-04-28 Nec Lcd Technologies, Ltd Apparatus for processing substrate and method of doing the same
US20080085226A1 (en) * 2006-10-10 2008-04-10 Asm America, Inc. Precursor delivery system
US20080141793A1 (en) * 2006-12-15 2008-06-19 Mayer Daniel W System and method for generating a gas sample of known and adjustable relative humidity
US20080173240A1 (en) * 2007-01-24 2008-07-24 Asm Japan K.K. Liquid material vaporization apparatus for semiconductor processing apparatus
US20100136772A1 (en) * 2008-12-02 2010-06-03 Asm International N.V. Delivery of vapor precursor from solid source
US20100215562A1 (en) * 2009-02-26 2010-08-26 Siliken Chemicals S.L. Fluidized Bed Reactor for Production of High Purity Silicon
US20100255181A1 (en) * 2007-09-25 2010-10-07 Fred Hopper Vapour delivery system
US20100263734A1 (en) * 2009-04-20 2010-10-21 Robert Froehlich Methods and system for cooling a reaction effluent gas
US20110076402A1 (en) * 2002-06-17 2011-03-31 Asm International N.V. System for controlling the sublimation of reactants
US20110232588A1 (en) * 2010-03-26 2011-09-29 Msp Corporation Integrated system for vapor generation and thin film deposition
US8343583B2 (en) 2008-07-10 2013-01-01 Asm International N.V. Method for vaporizing non-gaseous precursor in a fluidized bed
US8425855B2 (en) 2009-04-20 2013-04-23 Robert Froehlich Reactor with silicide-coated metal surfaces
US20140161966A1 (en) * 2012-12-06 2014-06-12 Samsung Display Co., Ltd. Monomer vaporizing device and method of controlling the same
US20140216339A1 (en) * 2011-08-01 2014-08-07 Fujikin Incorporated Raw material vaporizing and supplying apparatus
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8875728B2 (en) 2012-07-12 2014-11-04 Siliken Chemicals, S.L. Cooled gas distribution plate, thermal bridge breaking system, and related methods
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US20150152553A1 (en) * 2012-06-19 2015-06-04 Osram Oled Gmbh ALD Coating System
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US20150275358A1 (en) * 2014-03-28 2015-10-01 Lam Research Corporation Systems and methods for pressure-based liquid flow control
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9177784B2 (en) 2012-05-07 2015-11-03 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9631777B2 (en) 2011-09-06 2017-04-25 Fujikin Incorporated Raw material vaporizing and supplying apparatus equipped with raw material concentration
US9632511B2 (en) 2011-05-10 2017-04-25 Fujikin Incorporated Pressure type flow control system with flow monitoring, and method for detecting anomaly in fluid supply system and handling method at abnormal monitoring flow rate using the same
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20170121814A1 (en) * 2015-11-02 2017-05-04 Imec Vzw Apparatus and Method for Delivering a Gaseous Precursor to a Reaction Chamber
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10130787B2 (en) 1997-06-17 2018-11-20 Fisher & Paykel Healthcare Limited Humidity controller
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US20190368041A1 (en) * 2018-06-04 2019-12-05 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11365480B2 (en) * 2019-01-28 2022-06-21 Horiba Stec, Co., Ltd. Concentration control apparatus, zero point adjustment method, and program recording medium recorded with concentration control apparatus program
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11624113B2 (en) 2019-09-13 2023-04-11 Asm Ip Holding B.V. Heating zone separation for reactant evaporation system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11634812B2 (en) 2018-08-16 2023-04-25 Asm Ip Holding B.V. Solid source sublimator
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11926894B2 (en) 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11956977B2 (en) 2021-08-31 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3095463A (en) * 1958-03-12 1963-06-25 Crucible Steel Co America Temperature control apparatus
US3528418A (en) * 1967-07-03 1970-09-15 Air Shields Anesthetic vaporizing apparatus
US3583685A (en) * 1968-09-26 1971-06-08 Ibm Method and apparatus for controlling quantity of a vapor in a gas
US3618061A (en) * 1969-04-30 1971-11-02 Eaton Yale & Towne Monitoring apparatus for monitoring the density of a material carried by a fluid and the flow of the fluid
US3650151A (en) * 1970-11-18 1972-03-21 Tylan Corp Fluid flow measuring system
US3823728A (en) * 1972-12-29 1974-07-16 W Burris Control system for liquid treatment
US4051886A (en) * 1973-08-27 1977-10-04 Liquid Carbonic Canada Ltd. Saturated liquid/vapor generating and dispensing
US4134514A (en) * 1976-12-02 1979-01-16 J C Schumacher Co. Liquid source material container and method of use for semiconductor device manufacturing
US4140735A (en) * 1977-08-15 1979-02-20 J. C. Schumacher Co. Process and apparatus for bubbling gas through a high purity liquid
US4220460A (en) * 1979-02-05 1980-09-02 Western Electric Company, Inc. Vapor delivery system and method
US4276243A (en) * 1978-12-08 1981-06-30 Western Electric Company, Inc. Vapor delivery control system and method

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3095463A (en) * 1958-03-12 1963-06-25 Crucible Steel Co America Temperature control apparatus
US3528418A (en) * 1967-07-03 1970-09-15 Air Shields Anesthetic vaporizing apparatus
US3583685A (en) * 1968-09-26 1971-06-08 Ibm Method and apparatus for controlling quantity of a vapor in a gas
US3618061A (en) * 1969-04-30 1971-11-02 Eaton Yale & Towne Monitoring apparatus for monitoring the density of a material carried by a fluid and the flow of the fluid
US3650151A (en) * 1970-11-18 1972-03-21 Tylan Corp Fluid flow measuring system
US3823728A (en) * 1972-12-29 1974-07-16 W Burris Control system for liquid treatment
US4051886A (en) * 1973-08-27 1977-10-04 Liquid Carbonic Canada Ltd. Saturated liquid/vapor generating and dispensing
US4134514A (en) * 1976-12-02 1979-01-16 J C Schumacher Co. Liquid source material container and method of use for semiconductor device manufacturing
US4140735A (en) * 1977-08-15 1979-02-20 J. C. Schumacher Co. Process and apparatus for bubbling gas through a high purity liquid
US4276243A (en) * 1978-12-08 1981-06-30 Western Electric Company, Inc. Vapor delivery control system and method
US4220460A (en) * 1979-02-05 1980-09-02 Western Electric Company, Inc. Vapor delivery system and method

Cited By (564)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0139134A3 (en) * 1983-08-15 1986-04-30 Motorola, Inc. Deposition and diffusion source control means and method
EP0139134A2 (en) * 1983-08-15 1985-05-02 Motorola, Inc. Deposition and diffusion source control means and method
US4540531A (en) * 1984-05-04 1985-09-10 Ashland Oil, Inc. Vapor generator and its use in generating vapors in a pressurized gas
US4632789A (en) * 1985-01-31 1986-12-30 Reid Philip L Gas humidification apparatus
US4759882A (en) * 1985-01-31 1988-07-26 Mocon Modern Controls, Inc. Gas humidification process
EP0196170A2 (en) * 1985-03-26 1986-10-01 Kabushiki Kaisha Toshiba Organic metallic compound pyrolysis vapor growth apparatus
EP0196170A3 (en) * 1985-03-26 1986-12-17 Kabushiki Kaisha Toshiba Organic metallic compound pyrolysis vapor growth apparatus
FR2581711A1 (en) * 1985-05-13 1986-11-14 Labo Electronique Physique DEVICE FOR REGULATING, INTERRUPTING OR SWITCHING FLUIDS
EP0206370A1 (en) * 1985-05-13 1986-12-30 Laboratoires D'electronique Philips Process for making a semiconductor device, including the vapour phase deposition of crystalline layers on a substrate
US4640221A (en) * 1985-10-30 1987-02-03 International Business Machines Corporation Vacuum deposition system with improved mass flow control
US4717596A (en) * 1985-10-30 1988-01-05 International Business Machines Corporation Method for vacuum vapor deposition with improved mass flow control
US5122393A (en) * 1987-04-08 1992-06-16 British Telecommunications Public Limited Company Reagent source for molecular beam epitaxy
AU600877B2 (en) * 1987-07-08 1990-08-23 James I.C. Lee Intermittent signal actuated nebulizer
US5080093A (en) * 1987-07-08 1992-01-14 Vortran Medical Technology, Inc. Intermittant signal actuated nebulizer
US4832012A (en) * 1987-07-08 1989-05-23 Vortran Medical Technology, Inc. Intermittent signal actuated nebulizer
US5322057A (en) * 1987-07-08 1994-06-21 Vortran Medical Technology, Inc. Intermittent signal actuated nebulizer synchronized to operate in the exhalation phase, and its method of use
EP0311446A2 (en) * 1987-10-08 1989-04-12 Mitsubishi Rayon Co., Ltd. Apparatus for producing compound semiconductor
EP0311446A3 (en) * 1987-10-08 1990-11-22 Mitsubishi Rayon Co., Ltd. Apparatus for producing compound semiconductor
EP0328888A1 (en) * 1988-02-11 1989-08-23 Siemens Aktiengesellschaft Thermostatic device for the safe and controlled vaporization of noxious or liquid source materials that are highly reactive in the air, especially for low pressure vapour discharge systems in the field of semiconductors
US4979545A (en) * 1988-10-31 1990-12-25 Olin Corporation Bubbler container automatic refill system
US5038840A (en) * 1990-07-31 1991-08-13 Olin Corporation Bubbler container automatic refill system
US5279338A (en) * 1990-09-28 1994-01-18 Olin Hunt Specialty Products, Inc. Modular bubbler container automatic refill system
US5288325A (en) * 1991-03-29 1994-02-22 Nec Corporation Chemical vapor deposition apparatus
EP0555614A1 (en) * 1992-02-13 1993-08-18 International Business Machines Corporation Metal-organic gas supply for MOVPE and MOMBE
US5401316A (en) * 1992-10-15 1995-03-28 Tokyo Electron Limited Method and apparatus for hydrophobic treatment
WO1995019277A2 (en) * 1994-01-10 1995-07-20 Thiokol Corporation Method and system for evaluating gas generants and gas generators
WO1995019277A3 (en) * 1994-01-10 1995-08-31 Thiokol Corp Method and system for evaluating gas generants and gas generators
US5452210A (en) * 1994-01-10 1995-09-19 Thiokol Corporation Method and system for evaluating gas generants and gas generators
US5487783A (en) * 1994-04-14 1996-01-30 International Business Machines Corporation Method and apparatus for preventing rupture and contamination of an ultra-clean APCVD reactor during shutdown
US5635242A (en) * 1994-04-14 1997-06-03 International Business Machines Corporation Method and apparatus for preventing rupture and contamination of an ultra-clean APCVD reactor during shutdown
US5722447A (en) * 1994-04-29 1998-03-03 Texas Instruments Incorporated Continuous recirculation fluid delivery system and method
EP0696472A1 (en) * 1994-08-05 1996-02-14 Shin-Etsu Handotai Company Limited Method and apparatus for supply of liquid raw material gas
US5693189A (en) * 1994-08-05 1997-12-02 Shin-Etsu Handotai Co., Ltd. Method and apparatus for supply of liquid raw material gas
US5551309A (en) * 1995-01-17 1996-09-03 Olin Corporation Computer-controlled chemical dispensing with alternative operating modes
US6038919A (en) * 1997-06-06 2000-03-21 Applied Materials Inc. Measurement of quantity of incompressible substance in a closed container
USRE40806E1 (en) 1997-06-17 2009-06-30 Fisher & Paykel Healthcare Limited Respiratory humidification system
US20040079370A1 (en) * 1997-06-17 2004-04-29 Fisher & Paykel Limited Respiratory humidification system
US7051733B2 (en) 1997-06-17 2006-05-30 Fisher & Paykel Healthcare Limited Respiratory humidification system
USRE39724E1 (en) 1997-06-17 2007-07-17 Fisher & Paykel Healthcare Limited Respiratory humidification system
EP1514570A3 (en) * 1997-06-17 2005-03-30 Fisher & Paykel Healthcare Limited Respiratory humidification system
US20040221844A1 (en) * 1997-06-17 2004-11-11 Hunt Peter John Humidity controller
US6272933B1 (en) 1997-06-17 2001-08-14 Fisher & Paykel Limited Respiratory humidification system
US6349722B1 (en) * 1997-06-17 2002-02-26 Fisher & Paykel Limited Respiratory humidification system
US7263994B2 (en) 1997-06-17 2007-09-04 Fisher & Paykel Healthcare Limited Respiratory humidification system
US6802314B2 (en) 1997-06-17 2004-10-12 Fisher & Paykel Limited Respiratory humidification system
US7962018B2 (en) 1997-06-17 2011-06-14 Fisher & Paykel Healthcare Limited Humidity controller
US6584972B2 (en) 1997-06-17 2003-07-01 Fisher & Paykel Limited Respiratory humidification system
US9186477B2 (en) 1997-06-17 2015-11-17 Fisher & Paykel Healthcare Limited Humidity controller
US20090065002A1 (en) * 1997-06-17 2009-03-12 Peter John Hunt Humidity controller
US20040060558A1 (en) * 1997-06-17 2004-04-01 Fisher & Paykel Limited Respiratory humidification system
US6694974B1 (en) 1997-06-17 2004-02-24 Fisher & Paykel Limited Respiratory humidification system
US10130787B2 (en) 1997-06-17 2018-11-20 Fisher & Paykel Healthcare Limited Humidity controller
US5972117A (en) * 1997-09-03 1999-10-26 Applied Materials, Inc. Method and apparatus for monitoring generation of liquid chemical vapor
WO1999014396A1 (en) * 1997-09-12 1999-03-25 Applied Materials, Inc. Method and apparatus for monitoring a vaporizer
US6648307B2 (en) 1997-10-29 2003-11-18 Fsi International, Inc. Method to increase the quantity of dissolved gas in a liquid and to maintain the increased quantity of dissolved gas in the liquid until utilized
US6488271B1 (en) * 1997-10-29 2002-12-03 Fsi International, Inc. Method to increase the quantity of dissolved gas in a liquid and to maintain the increased quantity of dissolved gas in the liquid until utilized
US5971368A (en) * 1997-10-29 1999-10-26 Fsi International, Inc. System to increase the quantity of dissolved gas in a liquid and to maintain the increased quantity of dissolved gas in the liquid until utilized
US6033479A (en) * 1998-04-22 2000-03-07 Applied Materials, Inc. Process gas delivery system for CVD having a cleaning subsystem
US6235641B1 (en) 1998-10-30 2001-05-22 Fsi International Inc. Method and system to control the concentration of dissolved gas in a liquid
US6406551B1 (en) 1999-05-14 2002-06-18 Fsi International, Inc. Method for treating a substrate with heat sensitive agents
US6274506B1 (en) 1999-05-14 2001-08-14 Fsi International, Inc. Apparatus and method for dispensing processing fluid toward a substrate surface
US6911401B2 (en) 1999-12-03 2005-06-28 Applied Materials, Inc. Method for CVD process control for enhancing device performance
US20040133361A1 (en) * 1999-12-03 2004-07-08 Shahab Khandan Method for CVD process control for enhancing device performance
US20030145789A1 (en) * 2000-02-10 2003-08-07 Hartmut Bauch Gas supply device for precursors with a low vapor pressure
US20050132959A1 (en) * 2000-02-10 2005-06-23 Hartmut Bauch Gas supply method in a CVD coating system for precursors with a low vapor pressure
US7413767B2 (en) 2000-02-10 2008-08-19 Schott Ag Gas supply method in a CVD coating system for precursors with a low vapor pressure
US20030065457A1 (en) * 2001-02-16 2003-04-03 Taylor Steven R. Systems and methods for accurately measuring fluid
US6814427B2 (en) * 2001-02-16 2004-11-09 Merit Medical Systems, Inc. Systems and methods for accurately measuring fluid
US20030217697A1 (en) * 2002-03-13 2003-11-27 Hideaki Miyamoto Liquid material evaporation supply apparatus
US8309173B2 (en) 2002-06-17 2012-11-13 Asm International N.V. System for controlling the sublimation of reactants
US20110076402A1 (en) * 2002-06-17 2011-03-31 Asm International N.V. System for controlling the sublimation of reactants
US20040079286A1 (en) * 2002-07-12 2004-04-29 Sven Lindfors Method and apparatus for the pulse-wise supply of a vaporized liquid reactant
US20040041286A1 (en) * 2002-08-30 2004-03-04 Jai-Dong Lee Method and apparatus for supplying a source gas
US7007933B2 (en) * 2002-08-30 2006-03-07 Samsung Electronics Co., Ltd. Method and apparatus for supplying a source gas
US6779378B2 (en) 2002-10-30 2004-08-24 Asm International N.V. Method of monitoring evaporation rate of source material in a container
US8663488B2 (en) 2003-09-18 2014-03-04 Gold Charm Limited Apparatus for processing substrate and method of doing the same
US8293128B2 (en) 2003-09-18 2012-10-23 Nec Corporation Apparatus for processing substrate and method of doing the same
US20050087301A1 (en) * 2003-09-18 2005-04-28 Nec Lcd Technologies, Ltd Apparatus for processing substrate and method of doing the same
US20090314741A1 (en) * 2003-09-18 2009-12-24 Nec Lcd Technologies, Ltd. Apparatus for processing substrate and method of doing the same
US20090133632A1 (en) * 2003-09-29 2009-05-28 Asm International N.V. Safe liquid source containers
US20050066893A1 (en) * 2003-09-29 2005-03-31 Soininen Pekka T. Safe liquid source containers
US20070170604A1 (en) * 2003-09-29 2007-07-26 Soininen Pekka T Safe liquid source containers
US7156380B2 (en) 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
US7971861B2 (en) 2003-09-29 2011-07-05 Asm International N.V. Safe liquid source containers
US7497420B2 (en) 2003-09-29 2009-03-03 Asm International, N.V. Safe liquid source containers
US8137462B2 (en) * 2006-10-10 2012-03-20 Asm America, Inc. Precursor delivery system
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US9593416B2 (en) 2006-10-10 2017-03-14 Asm America, Inc. Precursor delivery system
US20080085226A1 (en) * 2006-10-10 2008-04-10 Asm America, Inc. Precursor delivery system
US7578208B2 (en) 2006-12-15 2009-08-25 Mocon, Inc. System and method for generating a gas sample of known and adjustable relative humidity
US7908936B2 (en) 2006-12-15 2011-03-22 Mocon, Inc. System and method for generating a gas sample of known and adjustable relative humidity
US20090173172A1 (en) * 2006-12-15 2009-07-09 Mocon, Inc. System and method for generating a gas sample of known and adjustable relative humidity
US20080141793A1 (en) * 2006-12-15 2008-06-19 Mayer Daniel W System and method for generating a gas sample of known and adjustable relative humidity
US7833353B2 (en) 2007-01-24 2010-11-16 Asm Japan K.K. Liquid material vaporization apparatus for semiconductor processing apparatus
US20080173240A1 (en) * 2007-01-24 2008-07-24 Asm Japan K.K. Liquid material vaporization apparatus for semiconductor processing apparatus
US20100255181A1 (en) * 2007-09-25 2010-10-07 Fred Hopper Vapour delivery system
US8343583B2 (en) 2008-07-10 2013-01-01 Asm International N.V. Method for vaporizing non-gaseous precursor in a fluidized bed
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136772A1 (en) * 2008-12-02 2010-06-03 Asm International N.V. Delivery of vapor precursor from solid source
US8012876B2 (en) 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
US8168123B2 (en) 2009-02-26 2012-05-01 Siliken Chemicals, S.L. Fluidized bed reactor for production of high purity silicon
US8158093B2 (en) 2009-02-26 2012-04-17 Siliken Chemicals, S.L. Fluidized bed reactor for production of high purity silicon
US20110027160A1 (en) * 2009-02-26 2011-02-03 Siliken Chemicals S.L. Fluidized bed reactor for production of high purity silicon
US20100215562A1 (en) * 2009-02-26 2010-08-26 Siliken Chemicals S.L. Fluidized Bed Reactor for Production of High Purity Silicon
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8425855B2 (en) 2009-04-20 2013-04-23 Robert Froehlich Reactor with silicide-coated metal surfaces
US20100263734A1 (en) * 2009-04-20 2010-10-21 Robert Froehlich Methods and system for cooling a reaction effluent gas
US8235305B2 (en) 2009-04-20 2012-08-07 Ae Polysilicon Corporation Methods and system for cooling a reaction effluent gas
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US20110232588A1 (en) * 2010-03-26 2011-09-29 Msp Corporation Integrated system for vapor generation and thin film deposition
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9632511B2 (en) 2011-05-10 2017-04-25 Fujikin Incorporated Pressure type flow control system with flow monitoring, and method for detecting anomaly in fluid supply system and handling method at abnormal monitoring flow rate using the same
US10386861B2 (en) 2011-05-10 2019-08-20 Fujikin Incorporated Pressure type flow control system with flow monitoring, and method for detecting anomaly in fluid supply system and handling method at abnormal monitoring flow rate using the same
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US20140216339A1 (en) * 2011-08-01 2014-08-07 Fujikin Incorporated Raw material vaporizing and supplying apparatus
US9631777B2 (en) 2011-09-06 2017-04-25 Fujikin Incorporated Raw material vaporizing and supplying apparatus equipped with raw material concentration
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9340874B2 (en) 2011-11-23 2016-05-17 Asm Ip Holding B.V. Chamber sealing member
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US9177784B2 (en) 2012-05-07 2015-11-03 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US20150152553A1 (en) * 2012-06-19 2015-06-04 Osram Oled Gmbh ALD Coating System
US9299595B2 (en) 2012-06-27 2016-03-29 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US8875728B2 (en) 2012-07-12 2014-11-04 Siliken Chemicals, S.L. Cooled gas distribution plate, thermal bridge breaking system, and related methods
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140161966A1 (en) * 2012-12-06 2014-06-12 Samsung Display Co., Ltd. Monomer vaporizing device and method of controlling the same
US10266941B2 (en) 2012-12-06 2019-04-23 Samsung Display Co., Ltd. Monomer vaporizing device and method of controlling the same
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9228259B2 (en) 2013-02-01 2016-01-05 Asm Ip Holding B.V. Method for treatment of deposition reactor
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150275358A1 (en) * 2014-03-28 2015-10-01 Lam Research Corporation Systems and methods for pressure-based liquid flow control
US9605346B2 (en) * 2014-03-28 2017-03-28 Lam Research Corporation Systems and methods for pressure-based liquid flow control
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US20170121814A1 (en) * 2015-11-02 2017-05-04 Imec Vzw Apparatus and Method for Delivering a Gaseous Precursor to a Reaction Chamber
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US11377732B2 (en) 2016-09-30 2022-07-05 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US11926894B2 (en) 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) * 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
CN110551990A (en) * 2018-06-04 2019-12-10 Asm Ip控股有限公司 Gas distribution system and reactor system comprising same
US20190368041A1 (en) * 2018-06-04 2019-12-05 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US20230313377A1 (en) * 2018-06-04 2023-10-05 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11634812B2 (en) 2018-08-16 2023-04-25 Asm Ip Holding B.V. Solid source sublimator
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11773486B2 (en) 2018-08-16 2023-10-03 Asm Ip Holding B.V. Solid source sublimator
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11365480B2 (en) * 2019-01-28 2022-06-21 Horiba Stec, Co., Ltd. Concentration control apparatus, zero point adjustment method, and program recording medium recorded with concentration control apparatus program
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11624113B2 (en) 2019-09-13 2023-04-11 Asm Ip Holding B.V. Heating zone separation for reactant evaporation system
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11956977B2 (en) 2021-08-31 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11952658B2 (en) 2022-10-24 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material

Similar Documents

Publication Publication Date Title
US4393013A (en) Vapor mass flow control system
US4436674A (en) Vapor mass flow control system
EP0040540B1 (en) Chemical vapor delivery system and method for controlling the flow of vapor in a chemical vapor delivery system
US5098741A (en) Method and system for delivering liquid reagents to processing vessels
US4640221A (en) Vacuum deposition system with improved mass flow control
US7025337B2 (en) Method for maintaining a constant level of fluid in a liquid vapor delivery system
US4517220A (en) Deposition and diffusion source control means and method
US4619844A (en) Method and apparatus for low pressure chemical vapor deposition
US4979643A (en) Chemical refill system
US4268538A (en) High-pressure, high-temperature gaseous chemical method for silicon oxidation
US6283066B1 (en) Continuous gas saturation system and method
KR100725098B1 (en) Method and appratus for sensing error operation of mass flow controller in semiconductor production device
US20050181129A1 (en) Sub-atmospheric pressure delivery of liquids, solids and low vapor pressure gases
US10962513B2 (en) Concentration detection method and pressure-type flow rate control device
KR20190006990A (en) Sublimation gas supply system and sublimation gas supply method
KR20010007467A (en) Metal organic chemical vapor deposition method and apparatus
US7044731B2 (en) Heat treatment apparatus
KR20200001531A (en) Method of manufacturing semiconductor device, method of managing parts, substrate processing apparatus and substrate processing program
KR100251134B1 (en) Method for manufacturing semiconductor device
US20090154908A1 (en) Delivery of Iodine Gas
EP0229050B1 (en) Chemical refill system
US7003215B2 (en) Vapor flow controller
JPS59185772A (en) Control device for flow rate of evaporating gas in high melting metallic compound
US5578746A (en) Apparatus for chemical vapor deposition and method of use
JPS60244332A (en) Apparatus for gasification supply of condensible material

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: SECURITY PACIFIC NATIONAL BANK, 333 S. HOPE STREET

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST.;ASSIGNOR:J.C. SCHUMACHER COMPANY;REEL/FRAME:004502/0082

Effective date: 19851213

AS Assignment

Owner name: AIR PRODUCTS AND CHEMICALS, INC., P.O. BOX 538, AL

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST.;ASSIGNOR:SCHUMACHER, J.C.;REEL/FRAME:004544/0363

Effective date: 19860509

Owner name: J. C. SCHUMACHER COMPANY, 580 AIRPORT ROAD, OCEANS

Free format text: RELEASED BY SECURED PARTY;ASSIGNOR:SECURITY PACIFIC NATIONAL BANK.;REEL/FRAME:004554/0236

Effective date: 19860509

Owner name: AIR PRODUCTS AND CHEMICALS, INC., A CORP. OF DE.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SCHUMACHER, J.C.;REEL/FRAME:004544/0363

Effective date: 19860509

FEPP Fee payment procedure

Free format text: SURCHARGE FOR LATE PAYMENT, PL 96-517 (ORIGINAL EVENT CODE: M176); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, PL 96-517 (ORIGINAL EVENT CODE: M170); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, PL 96-517 (ORIGINAL EVENT CODE: M171); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M185); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12

FEPP Fee payment procedure

Free format text: PAT HLDR NO LONGER CLAIMS SMALL ENT STAT AS SMALL BUSINESS (ORIGINAL EVENT CODE: LSM2); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: VERSUM MATERIALS US, LLC, ARIZONA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:AIR PRODUCTS AND CHEMICALS, INC.;REEL/FRAME:041772/0733

Effective date: 20170214