US20170011927A1 - Controlling the Reflow Behaviour of BPSG Films and Devices Made Thereof - Google Patents

Controlling the Reflow Behaviour of BPSG Films and Devices Made Thereof Download PDF

Info

Publication number
US20170011927A1
US20170011927A1 US15/273,589 US201615273589A US2017011927A1 US 20170011927 A1 US20170011927 A1 US 20170011927A1 US 201615273589 A US201615273589 A US 201615273589A US 2017011927 A1 US2017011927 A1 US 2017011927A1
Authority
US
United States
Prior art keywords
layer
silicate glass
semiconductor device
flow
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/273,589
Inventor
Juergen Steinbrenner
Markus Kahn
Helmut Schoenherr
Ravi Joshi
Heimo Hofer
Martin Poelzl
Harald Huetter
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies Austria AG
Original Assignee
Infineon Technologies Austria AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies Austria AG filed Critical Infineon Technologies Austria AG
Priority to US15/273,589 priority Critical patent/US20170011927A1/en
Publication of US20170011927A1 publication Critical patent/US20170011927A1/en
Priority to US15/964,408 priority patent/US20180247820A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0692Surface layout
    • H01L29/0696Surface layout of cellular field-effect devices, e.g. multicellular DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/66734Vertical DMOS transistors, i.e. VDMOS transistors with a step of recessing the gate electrode, e.g. to form a trench gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7813Vertical DMOS transistors, i.e. VDMOS transistors with trench gate electrode, e.g. UMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates generally to electronic devices, and, in particular embodiments, to controlling the reflow behaviour of BPSG films and devices made thereof.
  • Silicon oxide is used as an insulating layer in many such applications.
  • silicon oxide is frequently used as pre-metal dielectric (PMD) layer below the metallization layer and above the active device regions.
  • PMD pre-metal dielectric
  • Such a PMD layer is deposited over a gate line below the metal lines.
  • Silicon oxide is typically deposited by thermal oxidation or by using a chemical vapor deposition (CVD) process or plasma enhanced CVD process.
  • CVD chemical vapor deposition
  • a silicon containing gas is made to react with an oxygen containing gas resulting in the formation of the silicon oxide.
  • Reaction rates and therefore the rate of deposition of a CVD process can be controlled using a combination of the temperature, pressure, reactant gas flow rates, and plasma power.
  • the CVD processes result in the formation of silicon oxide, which is heated to form a silicate glass layer. The reflow of the deposited silicon oxide results in smoothing of the deposited oxide.
  • BPSG doped silicate glass
  • manufacturing with BPSG still poses many issues depending on the desired application.
  • One example of a manufacturing challenge is the excessive reflow of the BPSG films, for example, resulting in difficulty to form proper contacts between dense pitched gate lines.
  • Another example is the ability to completely fill a narrow trench in a void-free manner while keeping the thermal budget of the trench-filling process at a minimum.
  • a method for depositing an insulating layer comprises performing a primary deposition over a sidewall of a feature by depositing a layer of silicate glass using a silicon source at a first flow rate and a dopant source at a second flow rate.
  • a ratio of the flow of the dopant source to the flow of the silicon source is a first ratio.
  • the method further comprises performing a secondary deposition over the sidewall of a feature by increasing the flow of the silicon source relative to the flow of the dopant source.
  • the ratio of the flow of the dopant source to the flow of the silicon source is a second ratio lower than the first ratio, and stopping the flow of the silicon source after performing the secondary deposition.
  • a reflow process is performed after stopping the flow.
  • a variation in thickness of the layer of silicate glass over the sidewall of a feature after the reflow process is between 1% to 20%.
  • a method for depositing an insulating layer includes performing a primary deposition by depositing a layer of silicate glass using a silicon source at a first flow rate and a dopant source at a second flow rate.
  • a ratio of the flow of the dopant source to the flow of the silicon source is a first ratio.
  • the method further comprises performing a secondary deposition by increasing the flow of the silicon source relative to the flow of the dopant source.
  • the ratio of the flow of the dopant source to the flow of the silicon source is a second ratio lower than the first ratio.
  • the primary deposition is performed for a first time duration and the secondary deposition is performed for a second time duration.
  • the second time duration is less than the first time duration, wherein the first time duration is between 8 s to 300 s, and wherein the second time duration is greater than 3 s.
  • the method further comprises stopping the flow of the silicon source after performing the secondary deposition.
  • a method of forming a device comprising an insulation layer includes providing a first wafer comprising a first feature, and depositing a layer of insulation over the first feature using a first process recipe of a deposition process comprising a deposition step followed by a termination step.
  • the deposition step comprises using a silicon source, oxygen source, and a dopant source, wherein the dopant source is switched off during the termination step.
  • the method further includes annealing the first wafer to reflow the layer of insulation. During the annealing, a material of the layer of insulation reflows at a first reflow rate at the first feature.
  • the method further includes changing the first process recipe for the deposition process to a second process recipe, and providing a second wafer comprising a second feature.
  • the method further includes depositing an insulation layer over the second feature using the second process recipe of the deposition process comprising the deposition step followed by the termination step.
  • a time for the termination step in the second process recipe is greater than a time for the termination step in the first process recipe.
  • the method further includes annealing the second wafer to reflow the insulation layer. During the annealing, a material of the insulation layer reflows at a second reflow rate, and the second reflow rate is smaller than the first reflow rate.
  • a semiconductor device comprises a structure comprising sidewalls, and a reflown insulating layer disposed over the sidewalls of the structure.
  • a thickness of the reflown insulating layer varies along the sidewall by 1% to 10%.
  • a method for forming a borophosphosilicate glass (BPSG) film comprises depositing a layer of BPSG using tetraethylorthosilane (TEOS) and a first dopant source using a first process parameter set for a first process time.
  • Aratio of a flow of the first dopant source to a flow of the TEOS is a first ratio.
  • the method further includes increasing the flow of the TEOS relative to the flow of the first dopant source using a second parameter set for a second process time by reducing the flow of first dopant source by at least 50% and increasing the flow of TEOS by at least 10%.
  • the ratio of the flow of the first dopant source to the flow of the TEOS is a second ratio lower than the first ratio.
  • the depositing is performed for a first time duration and the increasing is performed for a second time duration.
  • the second time duration is less than the first time duration.
  • the first time duration is between 8 s to 300 s, and wherein the second time duration is greater than 3 s.
  • the method further includes stopping the deposition of the BPSG film after increasing the flow.
  • FIG. 1A illustrates a conventional borophosphosilicate glass (BPSG) film deposited over a substrate using a prior art process
  • FIG. 1B illustrates the reflowed BPSG film after a reflow process using a prior art process
  • FIG. 2A illustrates a schematic of a process chamber, wherein FIG. 2B illustrates a schematic process flow in accordance with an embodiment of the present invention
  • FIGS. 3A and 3B illustrate a cross-sectional view of a BPSG film after deposition and reflow using embodiments of the present invention, wherein FIG. 3B illustrates a magnified view of a portion of FIG. 3A ;
  • FIG. 4 illustrates a schematic cross-section of a patterned structure comprising a conformal BPSG film comprising the doped film and the undoped film formed using the embodiment of FIG. 2 in accordance with an embodiment of the present invention
  • FIGS. 5A and 5B illustrate a schematic cross section of a patterned structure comprising a conformal BPSG film spacer formed using the embodiment of FIG. 2 in accordance with an embodiment of the present invention, wherein FIG. 5A illustrates the conformal BPSG film while FIG. 5B illustrates the spacer;
  • FIG. 6 illustrates a cross-sectional view of a semiconductor device comprising conformal BPSG film in accordance with an embodiment of the present invention.
  • FIG. 7A illustrates a schematic cross section of a vertical transistor array in accordance with embodiments of the present invention and FIG. 7B illustrates one exemplary embodiment of a vertical transistor.
  • FIG. 1A illustrates a conventional borophosphosilicate glass (BPSG) film 20 deposited over a substrate 10 using a prior art process.
  • BPSG is used in the semiconductor industry as a separation layer, for example, between the polysilicon gate/interconnect layer and the first metal layer of transistors.
  • Such a separation layer is often referred to as pre-metal dielectric (PMD) layer because it is deposited before any metal layer of the back end metallization is deposited.
  • the PMD layer is used to electrically isolate portions of the first deposited metal layer from the semiconductor substrate.
  • Conventional processes strive to achieve good planarization and gap-fill characteristics for the deposited BPSG film 20 .
  • deposition methods have been developed to meet these characteristics and often include planarizing of the layer by heating it above its reflow temperature so that the deposited BPSG film 20 flows as a liquid.
  • the reflow process enables the BPSG film 20 to fill trenches of small width with high-aspect ratio.
  • Heating used to reflow the BPSG film 20 can be achieved using either rapid thermal processing (RTP) or a conventional furnace in either a dry (e.g., N 2 or O 2 ) or wet (e.g., steam H 2 /O 2 ) ambient.
  • RTP rapid thermal processing
  • a dry e.g., N 2 or O 2
  • wet e.g., steam H 2 /O 2
  • a conventional BPSG film 20 may be formed by introducing a phosphorus-containing source and a boron-containing source into a processing chamber along with silicon- and oxygen-containing sources.
  • Triethylphosphate (TEPO), triethylphosphite (TEPi), trimethylphosphate (TMOP), trimethylphosphite (TMPi), and similar compounds may be used a source for phosphorus.
  • Trietbylborate (TEB), trimethylborate (TMB), and similar compounds may be used as a source for boron.
  • doped oxides which exhibit reflow behavior have a combined total doping of phosphorus and boron in the range of 4 to 9%.
  • boron in contrast to phosphorus, is the driving agent for the reflow properties.
  • Silicon dioxide with higher phosphorous concentration is used to facilitate smoothing but is detrimental to the metallization, because of aluminum corrosion. After the doped silicon oxide is deposited, a subsequent heating is necessary until the oxide softens and flows.
  • the reflow morphology of the doped silicon dioxide can also be determined by heating temperature, heating time, heating rate, and heating ambient.
  • the content or amount of boron (1 bis 5%) is a deciding factor for the reflow properties, as it reduce the softening temperature by decreasing the glass viscosity.
  • FIG. 1B illustrates the reflowed BPSG film 20 R after a reflow process.
  • the reflow process smoothens the upper surface of the deposited BPSG film 20 .
  • the smoothening process modulates the thickness of the BPSG film 20 .
  • the reflown BPSG film 20 R may be thicker at the bottom of the openings than at the top surface.
  • the thickness of the reflown BPDG film 20 R at the bottom portion of the sidewall is at least 20 % thicker than at a top portion of the sidewall, i.e, a 1 >1.2 ⁇ a 2 .
  • the reflow process also provides other important functions. During the reflow, metal ions in the underlying substrate are gettered by the BPSG film 20 . For example, sodium atoms in the substrate are gettered into the BPSG film 20 during the reflow process. Further, the etch rate of the BPSG film 20 may have to be adjusted if the reflow process is changed.
  • Another conventional way to change the reflow behavior involves depositing another undoped capping layer.
  • a process changes the thickness of the BPSG film that needs to be etched.
  • the etching process becomes more complicated especially because the etching rates are different in the undoped capping layer and the underlying doped film.
  • Increasing the thickness of the BPSG film may also introduce other problems during fabrication.
  • Embodiments of the present invention overcome these limitations by providing a termination step for the deposition process that is designed to reduce the reflow process without impacting the etching process and gettering properties of the film. Accordingly, in various embodiments, during the BPSG deposition itself, the termination step is modified such that dopant flow is reduced or stopped before stopping the silicon source. The time of the termination step is modified from prior art techniques to enable this modification. In alternative embodiments, the termination step may also be performed ex-situ although it may not be cost effective. Also, in another alternative, a thin plasma oxide on the top of BPSG may be deposited using a plasma process in the same chamber. For example, plasma quality may also be used to change the reflow behavior.
  • the deposition process is followed by a short termination process.
  • the termination step is designed so that dopant flow is first stopped before stopping the silicon source.
  • the few monolayers of the BPSG film at the exposed surface have a reduced dopant concentration, which is helpful to avoid moisture absorption.
  • the termination step is modified to become a secondary deposition process in which a thin layer of insulation material is deposited during the termination step.
  • the thin layer of the insulation material is sufficient to modify the reflow process but does not modify the gettering process and change the etching rate of the deposited film.
  • FIG. 2 A method of forming a BPSG film in accordance with embodiments of the present invention will be described using FIG. 2 .
  • Structural devices formed using the method will be described using FIGS. 3-7 .
  • FIG. 2A illustrates a schematic of a process chamber.
  • FIG. 2B illustrates a schematic process flow in accordance with an embodiment of the present invention.
  • FIG. 2A is a simplified diagram of a chemical vapor deposition (CVD) system used in accordance with an embodiment of the present invention.
  • the CVD system may be a sub-atmospheric CVD (SA-CVD) system and used to process the wafer 131 .
  • SA-CVD sub-atmospheric CVD
  • all operations illustrated in FIG. 2A are performed within the process chamber 121 of the SA-CVD system. In one or more embodiments, all the operations of FIG. 2A may be performed prior to removing the wafer 131 from the chuck 129 .
  • the SA-CVD system includes a vacuum chamber within the process chamber 121 for receiving the process gas to be deposited onto the wafer 131 .
  • the SA-CVD system further includes a flow control 122 to control the flow of gases into the process chamber 121 and a mixer 123 to mix the gases before sending into the process chamber 121 .
  • the mixer 123 may be skipped and the gases may be directly introduced in to the process chamber 121 .
  • the process chamber 121 may be heated to ensure that the reaction is triggered only within the process chamber 121 and not within the mixer 123 . Accordingly, in some embodiments, the wafer 131 may be heated by the chuck 129 , which may include a heating element. Alternatively, a separate heating element may be provided.
  • the process chamber 121 may include a plurality of inlets to receive the gases from the mixer 123 .
  • the process chamber 121 may include a plurality of sensors to obtain information regarding position of the wafer 131 , alignment of the wafer 131 (if necessary), temperature of the wafer 131 , and other information needed to control the deposition process.
  • the process chamber 121 may also be coupled to a plasma generating chamber in some embodiments.
  • one or more sources may be ionized before introduction into the process chamber 121 .
  • the ionized precursor may be introduced from the plasma generating chamber.
  • the process chamber 121 may include inlet outlets 121 -IN and exit outlets 121 -O for providing purge gases and other gases needed for cleaning the process chamber 121 as needed.
  • the SA-CVD system may include any features as in conventional systems.
  • the SA-CVD system may include a plurality of gas sources.
  • a carrier gas such as helium 124 may be used in various embodiments.
  • An oxidizing source such as ozone 125 may be used as the oxidizing species.
  • other oxidizing sources may be used including oxygen, N 2 O, and NO.
  • a source of TEOS 126 is used for the silicon source.
  • silane based chemistry may be used in some embodiments.
  • Dopant sources may comprise TEB 127 and TEPO 128 as examples.
  • Supply lines from the sources provide reactive gases and carrier gases to the flow control 122 .
  • the flow control 122 is configured to regulate the flow of each of the individual sources.
  • the flow control 122 may include sensors, shut-off valves, mass flow controllers in various embodiments.
  • the flow control 122 may comprise any type of controller for measuring and regulating the flow of gas or liquid through the supply lines.
  • the mixer 123 may include a liquid injection system for vaporizing reactant liquids such as TEOS 126 , TEB 127 , and TEPO 128 .
  • the vaporized sources may be combined with the carrier gas (helium 124 ).
  • the flow from ozone 125 may be directly introduced into the process chamber 121 without mixing in some embodiments to avoid any reaction prior to reaching the process chamber 121 .
  • the ozone 125 may be combined with the reactive mixture just prior to entering the process chamber 121 .
  • a mixture comprising TEOS 126 as a silicon source, TEB 127 as a boron source, and TEPO 128 as a phosphorus source may be mixed in the mixer 123 .
  • TEOS 126 and TEPO 128 are liquid sources, they may be first vaporized before mixing with TEB 127 . The vaporization may be performed using a boiler or other heating methods. As another alternative, the liquids may be directly injected as a fine spray or mist into the helium carrier gas and heated.
  • the deposition is started.
  • the wafer 131 is loaded into the process chamber 121 and the process chamber 121 is pressurized and heated.
  • the process chamber may be pressurized to 100 Torr to 500 Torr, for example, to 200 Torr in one example.
  • the wafer 131 may be heated to about 400° C., for example, between 400° C. to 500° C.
  • a chamber purge may be performed if needed.
  • the reactive gases from the mixer 123 along with the oxidizing gas (ozone 125 ) are introduced into the process chamber 121 after the process chamber 121 is pressurized to appropriate pressure and the wafer 131 is brought to the deposition temperature.
  • the primary deposition step causes a layer of BPSG film to be deposited over the exposed surface of the wafer 131 .
  • the primary deposition step may be performed so as to deposit a highly doped BPSG film having a good conformity.
  • the primary deposition step may comprise using a helium flow rate between 10,000 to 20,000 sccm, ozone flow rate between 5000 to 10,000 sccm, TEOS flow rate between 1000 to 2000 mgm, TEB flow rate between 200 to 800 mgm, TEPO flow rate between 100 to 200 mgm.
  • the primary deposition may be performed for 10 s to 500 s depending on the thickness being deposited and also the selected process conditions. Additionally, the primary deposition results in depositing a BPSG film having a thickness of about 20 nm to 400 nm, for example, 40 nm to 100 nm in one embodiment.
  • the secondary deposition may be a termination step in one or more embodiments. During this step, the dopants sources are cut-off or markedly reduced.
  • the secondary deposition step may be performed so as to deposit an un-doped film having a good conformity.
  • the secondary deposition step may comprise using a helium flow rate between 10,000 to 20,000 sccm, ozone flow rate between 5000 to 10,000 sccm, TEOS flow rate between 1050 to 2050 mgm, and no flow of TEB and TEPO.
  • the TEOS flow rate during the secondary deposition step is higher than the TEOS flow rate during the primary deposition step. In one or more embodiments, the TEOS flow rate during the secondary deposition step is at least 5% higher than the TEOS flow rate during the primary deposition step. In one or more embodiments, the TEOS flow rate during the secondary deposition step is at least 5% to 20% higher than the TEOS flow rate during the primary deposition step.
  • the TEB and TEPO flow rates during the second deposition step is at least 50% lower than the corresponding TEB and TEPO flow rates during the primary deposition step. In one exemplary embodiment, the TEB and TEPO flow rates during the second deposition step is 0% to 1%, i.e., negligible.
  • the ratio of TEOS to TEB and TEPO is a more important parameter. Accordingly, the ratio of the TEOS to TEB and of TEOS and TEPO during the primary deposition step is higher than the corresponding ratio of the TEOS to TEB and of TEOS and TEPO during the secondary deposition step. Consequently, the TEOS flow rate may be increased in the secondary deposition step without cutting off the boron and phosphorus sources in one embodiment. In one exemplary embodiment, the TEPO and TEB are turned off during the secondary deposition step.
  • the secondary deposition may be performed for 3 s to 20 s depending on the thickness being deposited and also the selected process conditions. In one or more embodiments, secondary deposition may be performed for 4 s to 8 s, and about 6 s in one example. In various embodiments, in order to hinder the reflow of the BPSG, thickness of film deposited during the secondary deposition, i.e., termination layer, may not be dependent on the BPSG thickness.
  • an undoped insulating film having a thickness of about 3 nm to 6 nm is deposited over the doped BPSG film deposited during the primary deposition step. In one or more embodiments, the undoped insulating film having a thickness of about 5 nm to 6 nm is deposited over the doped BPSG film deposited during the primary deposition step. In one or more embodiments, the undoped insulating film is more than a few mono-layers.
  • the flow of TEOS is shut off so that no more deposition may occur. Additionally, a purge process may be performed if deemed necessary.
  • the wafer 131 may be removed from the process chamber 121 in preparation for the subsequent reflow anneal. In some embodiments, the reflow anneal may also be performed in the same process chamber 121 .
  • a reflow anneal is performed.
  • the reflow anneal may be performed at a temperature between 600° C. to 1000° C. in various embodiments. If the thermal budget of the deposition steps described above are sufficient to reflow and getter mobile ions, the reflow anneal may be skipped in some embodiments.
  • FIGS. 3A and 3B illustrates a cross-sectional view of a BPSG film 220 after deposition and reflow using embodiments of the present invention.
  • FIG. 3B illustrates a magnified view of a portion of FIG. 3A .
  • FIG. 3A a BPSG film 220 is deposited over a substrate 10 and reflowed as described in FIG. 2 .
  • FIG. 3B illustrates a magnified view and shows the doped film 221 having a first thickness t 221 followed by the undoped film 222 having a second thickness t 222 .
  • the first thickness is at least 10 times the second thickness t 222 .
  • the first thickness t 221 is about 50 nm to about 60 nm while the second thickness t 222 is between about 5 nm to 6 nm.
  • the BPSG film 220 does not reflow significantly during the reflow anneal, for example, in contrast to FIG. 1B showing a conventional film after the reflow process.
  • the textured surface comprises a top surface comprising ridges intersecting at an angle less than 60° in one embodiment.
  • a thickness of the BPSG film after a reflow process varies over the top surface by not more than 10% of the thickness of the BPSG film at any other point. In one embodiment, a variation in thickness of the BPSG film on the sidewalls after the reflow process is between 1% to 20%.
  • the first depth d 1 at the narrow acute vertices is within 5% of the second depth d 2 at the other regions of the BPSG film 220 even though the surface of the substrate 10 may include planes inclined at an angle ⁇ less than 60°, for example, between 30° to 45° in one embodiment.
  • variation in thickness of the first depth d 1 from the second depth d 2 is less than 20%.
  • variation in thickness of the first depth d 1 from the second depth d 2 is between 1% and 20%.
  • variation in thickness of the first depth d 1 from the second depth d 2 is between 0.1% and 10%.
  • variation in thickness of the first depth d 1 from the second depth d 2 is between 5% and 10%. Accordingly, a reflow insulation layer is formed having minimal variation due to the limited reflow.
  • FIG. 4 illustrates a schematic cross section of a patterned structure comprising a conformal BPSG film 220 comprising the doped film 221 and the undoped film 222 formed using the embodiment of FIG. 2 in accordance with an embodiment of the present invention.
  • a patterned structure 30 is formed over the substrate 41 .
  • the patterned structure 30 may be a gate line of a transistor.
  • the distance between adjacent of the patterned structure 30 is the pitch p 30 .
  • the ability to form conformal structures over the patterned structure 30 is critical to forming a plurality of patterned structure 30 at a narrow pitch.
  • FIGS. 5A and 5B illustrate a schematic cross section of a patterned structure comprising a conformal BPSG film spacer formed using the embodiment of FIG. 2 in accordance with an embodiment of the present invention.
  • FIG. 5A illustrates the conformal BPSG film while FIG. 5B illustrates the spacer.
  • a gate line 52 is formed over the substrate 51 .
  • a conformal BPSG film is formed over the gate line 52 using embodiments of the invention described in FIG. 2 . See, example, FIG. 4 illustrating one such embodiment.
  • the BPSG film may be etched using an anisotropic etch process. Because the BPSG film was previously formed conformally, an anisotropic etching process is sufficient to form the spacers. However, if the thickness at the bottom of the trench f 2 is significantly larger than the thickness over the gate line 52 , an anisotropic etching will open the gate line 52 and may etch at least a portion of the gate line 52 material. Advantageously, such issues are avoided by the use of the conformal BPSG film 220 as illustrated in FIG. 5B .
  • FIG. 6 illustrates a cross-sectional view of a semiconductor device comprising conformal BPSG film 220 in accordance with an embodiment of the present invention.
  • a plurality of trench gates 63 is disposed in a substrate 61 .
  • the transistor comprises a plurality of source regions 64 coupled to a plurality of contact pads 65 and a common drain region formed in the substrate 61 .
  • a channel region 62 separates the source regions 64 from the drain regions (substrate 61 ).
  • FIG. 7A illustrates a schematic cross section of a vertical transistor array in accordance with embodiments of the present invention and FIG. 7B illustrates one exemplary embodiment of a vertical transistor.
  • the transistor may include a large number of trenches 132 in which gate lines 160 are formed. In various embodiments, there may be a larger number of gate lines 160 than illustrated. For example, some embodiments may include 1000-10,000 gate lines. Alternatively, in one embodiment, the plurality of gate lines 160 may be formed over the substrate 71 . The plurality of gate lines 160 are coupled to upper metal lines through contacts (not shown).
  • Gate lines 160 are separated from source 164 by insulation material 172 , which may be formed as conformal borophosphosilicate glass (BPSG) film as described above in various embodiments using primary and secondary deposition processes. During the secondary deposition processes, the termination of the deposition is adjusted to minimize the reflow of the BPSG layer.
  • insulation material 172 may be formed as conformal borophosphosilicate glass (BPSG) film as described above in various embodiments using primary and secondary deposition processes.
  • BPSG conformal borophosphosilicate glass
  • Doped regions including source regions no are formed below source 164 .
  • doped regions may be p-type or n-type doped regions and may also include both doped p- or n-wells and higher doped n+ or p+ regions inside the wells, depending on the well and semiconductor dopants.
  • a plurality of sources regions no are embedded within the substrate 71 .
  • the plurality of sources regions 110 is formed of n-type regions.
  • the plurality of sources regions 110 is formed of p-type regions.
  • Drain 150 is formed at a back side of the semiconductor substrate 71 .
  • a thick back side metal layer 182 is formed on the back side of the semiconductor substrate 71 for the drain contact.
  • the source 164 is coupled to the corresponding source regions through a metallic layer 135 in the substrate 71 .
  • the metallic layer 135 may comprise a titanium/titanium nitride metal barrier liner stack followed by a tungsten fill layer.
  • the metallic layer 135 may be selected to provide a good contact to the source regions 110 and minimize Schottky contact resistance.
  • the source 164 may comprise aluminum in one or more embodiments. However, in some embodiments, the source 164 may comprise copper.
  • inter-level insulating dielectric layer 168 is formed over the source 164 .
  • the inter-level insulating dielectric layer 168 may comprise silicon oxide, silicon nitride, and other suitable inter level dielectric materials.
  • a metal level layer 176 is formed over the inter-level insulating dielectric layer 168 .
  • the metal level layer 176 may comprise copper metal lines.
  • a passivation layer 178 is formed over the metal level layer 176 .
  • the passivation layer 178 may include a silicon oxide layer and is designed to protect the underlying metallization and devices.
  • This illustrated embodiment is one type of trench gate vertical transistor.
  • any type of power transistor with any type of structure may be used.
  • the transistor may also include an additional electrode underneath the gate line 160 .
  • the additional electrode may be electrically coupled to either the gate line itself or to the source 164 so that the load transistor is a three terminal device.
  • the plurality of gate lines 160 is capacitively coupled to the body regions 120 through a gate dielectric layer 95 .
  • the body regions 120 which form the channel regions, are lower doped regions that are opposite in net doping to the plurality of sources regions 110 .
  • the gate dielectric layer 95 may comprise an oxide or nitride layer such as silicon oxide or silicon nitride. In one embodiment, the gate dielectric layer 95 comprises thermal silicon oxide layer. Alternatively, the gate dielectric layer 95 may comprise a high-k dielectric layer.
  • the gate dielectric layer 95 may comprise a suitable material selected based on the substrate material of the substrate 15 in various embodiments.
  • the gate dielectric layer 95 may comprise aluminum oxide, scanadium oxide, magnesium oxide, titanium oxide, hafnium oxide, gadolinium oxide, lanthanum oxide, zirconium oxide, gallium oxide, gallium oxynitride, silicon nitride, silicon oxide, and others.
  • the body region 120 may be coupled to the source metal.
  • the plurality of gate lines 160 may be covered by an insulation material 172 .
  • a drift region 130 is disposed below the body region 120 .
  • the drift region 130 has the same net doping type as the source regions no in various embodiments.
  • the drift region 130 is however a lower doped region than the source regions no so as to cause a potential drop across. The voltage drop across the drift region 130 prevents breakdown or damage of the gate dielectric layer 95 .
  • the reflow of the BPSG film is changed by changing the termination process of the SA-CVD process.
  • Such a change is cost efficient as it does not incur or require any significant change in other processes.
  • a PSG film may be deposited using a primary deposition process followed by a secondary deposition process in which the phosphorus source is shut-off or reduced as described in various embodiments above.

Abstract

A method for depositing an insulating layer includes performing a primary deposition over a sidewall of a feature by depositing a layer of silicate glass using a silicon source at a first flow rate and a dopant source at a second flow rate. A ratio of the flow of the dopant source to the flow of the silicon source is a first ratio. The method further includes performing a secondary deposition over the sidewall of a feature by increasing the flow of the silicon source relative to the flow of the dopant source. The ratio of the flow of the dopant source to the flow of the silicon source is a second ratio lower than the first ratio, and stopping the flow of the silicon source after performing the secondary deposition. A reflow process is performed after stopping the flow. A variation in thickness of the layer of silicate glass over the sidewall of a feature after the reflow process is between 1% to 20%.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a Divisional of U.S. patent application Ser. No. 14/603,943, filed on Jan. 23, 2015, which application is hereby incorporated herein by reference.
  • TECHNICAL FIELD
  • The present invention relates generally to electronic devices, and, in particular embodiments, to controlling the reflow behaviour of BPSG films and devices made thereof.
  • BACKGROUND
  • Semiconductor scaling has resulted in device with very small features and increased complexity. Many integrated circuits now have features, such as traces or trenches that are significantly less than a micron across. While the reduction in feature size has allowed higher device density, more complex circuits, lower operating power consumption and lower cost, the smaller geometries have also given rise to new problems, or have resurrected problems that were once solved for larger geometries.
  • Silicon oxide is used as an insulating layer in many such applications. For example, silicon oxide is frequently used as pre-metal dielectric (PMD) layer below the metallization layer and above the active device regions. Such a PMD layer is deposited over a gate line below the metal lines.
  • Silicon oxide is typically deposited by thermal oxidation or by using a chemical vapor deposition (CVD) process or plasma enhanced CVD process. In a CVD process, a silicon containing gas is made to react with an oxygen containing gas resulting in the formation of the silicon oxide. Reaction rates and therefore the rate of deposition of a CVD process can be controlled using a combination of the temperature, pressure, reactant gas flow rates, and plasma power. The CVD processes result in the formation of silicon oxide, which is heated to form a silicate glass layer. The reflow of the deposited silicon oxide results in smoothing of the deposited oxide.
  • Boron and phosphorus are introduced into the silicate glass layers to form a doped silicate glass (BPSG) to improve the reflow and gettering behavior of the BPSG films. However, manufacturing with BPSG still poses many issues depending on the desired application. One example of a manufacturing challenge is the excessive reflow of the BPSG films, for example, resulting in difficulty to form proper contacts between dense pitched gate lines. Another example is the ability to completely fill a narrow trench in a void-free manner while keeping the thermal budget of the trench-filling process at a minimum.
  • SUMMARY
  • In accordance with an embodiment of the present invention, a method for depositing an insulating layer comprises performing a primary deposition over a sidewall of a feature by depositing a layer of silicate glass using a silicon source at a first flow rate and a dopant source at a second flow rate. A ratio of the flow of the dopant source to the flow of the silicon source is a first ratio. The method further comprises performing a secondary deposition over the sidewall of a feature by increasing the flow of the silicon source relative to the flow of the dopant source. The ratio of the flow of the dopant source to the flow of the silicon source is a second ratio lower than the first ratio, and stopping the flow of the silicon source after performing the secondary deposition. A reflow process is performed after stopping the flow. A variation in thickness of the layer of silicate glass over the sidewall of a feature after the reflow process is between 1% to 20%.
  • In accordance with an embodiment of the present invention, a method for depositing an insulating layer includes performing a primary deposition by depositing a layer of silicate glass using a silicon source at a first flow rate and a dopant source at a second flow rate. A ratio of the flow of the dopant source to the flow of the silicon source is a first ratio. The method further comprises performing a secondary deposition by increasing the flow of the silicon source relative to the flow of the dopant source. The ratio of the flow of the dopant source to the flow of the silicon source is a second ratio lower than the first ratio. The primary deposition is performed for a first time duration and the secondary deposition is performed for a second time duration. The second time duration is less than the first time duration, wherein the first time duration is between 8 s to 300 s, and wherein the second time duration is greater than 3 s. The method further comprises stopping the flow of the silicon source after performing the secondary deposition.
  • In accordance with an embodiment of the present invention, a method of forming a device comprising an insulation layer includes providing a first wafer comprising a first feature, and depositing a layer of insulation over the first feature using a first process recipe of a deposition process comprising a deposition step followed by a termination step. The deposition step comprises using a silicon source, oxygen source, and a dopant source, wherein the dopant source is switched off during the termination step. The method further includes annealing the first wafer to reflow the layer of insulation. During the annealing, a material of the layer of insulation reflows at a first reflow rate at the first feature. The method further includes changing the first process recipe for the deposition process to a second process recipe, and providing a second wafer comprising a second feature. The method further includes depositing an insulation layer over the second feature using the second process recipe of the deposition process comprising the deposition step followed by the termination step. A time for the termination step in the second process recipe is greater than a time for the termination step in the first process recipe. The method further includes annealing the second wafer to reflow the insulation layer. During the annealing, a material of the insulation layer reflows at a second reflow rate, and the second reflow rate is smaller than the first reflow rate.
  • In another embodiment of the present invention, a semiconductor device comprises a structure comprising sidewalls, and a reflown insulating layer disposed over the sidewalls of the structure. A thickness of the reflown insulating layer varies along the sidewall by 1% to 10%.
  • In another embodiment of the present invention, a method for forming a borophosphosilicate glass (BPSG) film comprises depositing a layer of BPSG using tetraethylorthosilane (TEOS) and a first dopant source using a first process parameter set for a first process time. Aratio of a flow of the first dopant source to a flow of the TEOS is a first ratio. The method further includes increasing the flow of the TEOS relative to the flow of the first dopant source using a second parameter set for a second process time by reducing the flow of first dopant source by at least 50% and increasing the flow of TEOS by at least 10%. The ratio of the flow of the first dopant source to the flow of the TEOS is a second ratio lower than the first ratio. The depositing is performed for a first time duration and the increasing is performed for a second time duration. The second time duration is less than the first time duration. The first time duration is between 8 s to 300 s, and wherein the second time duration is greater than 3 s. The method further includes stopping the deposition of the BPSG film after increasing the flow.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • For a more complete understanding of the present invention, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
  • FIG. 1A illustrates a conventional borophosphosilicate glass (BPSG) film deposited over a substrate using a prior art process;
  • FIG. 1B illustrates the reflowed BPSG film after a reflow process using a prior art process;
  • FIG. 2A illustrates a schematic of a process chamber, wherein FIG. 2B illustrates a schematic process flow in accordance with an embodiment of the present invention;
  • FIGS. 3A and 3B illustrate a cross-sectional view of a BPSG film after deposition and reflow using embodiments of the present invention, wherein FIG. 3B illustrates a magnified view of a portion of FIG. 3A;
  • FIG. 4 illustrates a schematic cross-section of a patterned structure comprising a conformal BPSG film comprising the doped film and the undoped film formed using the embodiment of FIG. 2 in accordance with an embodiment of the present invention;
  • FIGS. 5A and 5B illustrate a schematic cross section of a patterned structure comprising a conformal BPSG film spacer formed using the embodiment of FIG. 2 in accordance with an embodiment of the present invention, wherein FIG. 5A illustrates the conformal BPSG film while FIG. 5B illustrates the spacer;
  • FIG. 6 illustrates a cross-sectional view of a semiconductor device comprising conformal BPSG film in accordance with an embodiment of the present invention; and
  • FIG. 7A illustrates a schematic cross section of a vertical transistor array in accordance with embodiments of the present invention and FIG. 7B illustrates one exemplary embodiment of a vertical transistor.
  • DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS
  • FIG. 1A illustrates a conventional borophosphosilicate glass (BPSG) film 20 deposited over a substrate 10 using a prior art process. BPSG is used in the semiconductor industry as a separation layer, for example, between the polysilicon gate/interconnect layer and the first metal layer of transistors. Such a separation layer is often referred to as pre-metal dielectric (PMD) layer because it is deposited before any metal layer of the back end metallization is deposited. The PMD layer is used to electrically isolate portions of the first deposited metal layer from the semiconductor substrate. Conventional processes strive to achieve good planarization and gap-fill characteristics for the deposited BPSG film 20.
  • Accordingly, deposition methods have been developed to meet these characteristics and often include planarizing of the layer by heating it above its reflow temperature so that the deposited BPSG film 20 flows as a liquid. The reflow process enables the BPSG film 20 to fill trenches of small width with high-aspect ratio.
  • Heating used to reflow the BPSG film 20 can be achieved using either rapid thermal processing (RTP) or a conventional furnace in either a dry (e.g., N2 or O2) or wet (e.g., steam H2/O2) ambient.
  • A conventional BPSG film 20 may be formed by introducing a phosphorus-containing source and a boron-containing source into a processing chamber along with silicon- and oxygen-containing sources. Triethylphosphate (TEPO), triethylphosphite (TEPi), trimethylphosphate (TMOP), trimethylphosphite (TMPi), and similar compounds may be used a source for phosphorus. Similarly, Trietbylborate (TEB), trimethylborate (TMB), and similar compounds may be used as a source for boron.
  • In general, doped oxides which exhibit reflow behavior have a combined total doping of phosphorus and boron in the range of 4 to 9%. Typically boron, in contrast to phosphorus, is the driving agent for the reflow properties. Silicon dioxide with higher phosphorous concentration is used to facilitate smoothing but is detrimental to the metallization, because of aluminum corrosion. After the doped silicon oxide is deposited, a subsequent heating is necessary until the oxide softens and flows.
  • In addition to the boron concentration, the reflow morphology of the doped silicon dioxide can also be determined by heating temperature, heating time, heating rate, and heating ambient. In addition, the content or amount of boron (1 bis 5%) is a deciding factor for the reflow properties, as it reduce the softening temperature by decreasing the glass viscosity.
  • FIG. 1B illustrates the reflowed BPSG film 20R after a reflow process. As is illustrated in FIG. 1B, the reflow process smoothens the upper surface of the deposited BPSG film 20. Further, when deposited on a textured surface (surface having uneven or patterned surface orientations), the smoothening process modulates the thickness of the BPSG film 20. For example, the reflown BPSG film 20R may be thicker at the bottom of the openings than at the top surface. In a conventional process, the thickness of the reflown BPDG film 20R at the bottom portion of the sidewall is at least 20% thicker than at a top portion of the sidewall, i.e, a1>1.2×a2.
  • The reflow process also provides other important functions. During the reflow, metal ions in the underlying substrate are gettered by the BPSG film 20. For example, sodium atoms in the substrate are gettered into the BPSG film 20 during the reflow process. Further, the etch rate of the BPSG film 20 may have to be adjusted if the reflow process is changed.
  • Conventional processes use the concentrations of boron and phosphorus to improve the reflow and the gettering of mobile ions. For instance, the boron and phosphorus content are adjusted to change the reflow, the gettering rate, and the etch rate.
  • However, too much reflow is not suitable for some applications, which require a conformal film. Reducing the reflow using conventional techniques may also reduce the effectiveness of the dopant gettering provided by the BPSG film while changing the etch rate in the wrong direction. For example, reducing the thermal budget of the reflow process is one way to reduce the reflow. However, reducing the thermal budget would also reduce the gettering of the mobile ions. Therefore, an independent way to change the reflow is an attractive solution.
  • Another conventional way to change the reflow behavior involves depositing another undoped capping layer. However, such a process changes the thickness of the BPSG film that needs to be etched. The etching process becomes more complicated especially because the etching rates are different in the undoped capping layer and the underlying doped film. Increasing the thickness of the BPSG film may also introduce other problems during fabrication.
  • Therefore, prior art techniques cannot produce a film having a desirable reflow, gettering, and etch process complexity and without changing the film thickness significantly.
  • Embodiments of the present invention overcome these limitations by providing a termination step for the deposition process that is designed to reduce the reflow process without impacting the etching process and gettering properties of the film. Accordingly, in various embodiments, during the BPSG deposition itself, the termination step is modified such that dopant flow is reduced or stopped before stopping the silicon source. The time of the termination step is modified from prior art techniques to enable this modification. In alternative embodiments, the termination step may also be performed ex-situ although it may not be cost effective. Also, in another alternative, a thin plasma oxide on the top of BPSG may be deposited using a plasma process in the same chamber. For example, plasma quality may also be used to change the reflow behavior.
  • In prior art processes, the deposition process is followed by a short termination process. The termination step is designed so that dopant flow is first stopped before stopping the silicon source. Thus, the few monolayers of the BPSG film at the exposed surface have a reduced dopant concentration, which is helpful to avoid moisture absorption.
  • In various embodiments, the termination step is modified to become a secondary deposition process in which a thin layer of insulation material is deposited during the termination step. The thin layer of the insulation material is sufficient to modify the reflow process but does not modify the gettering process and change the etching rate of the deposited film.
  • A method of forming a BPSG film in accordance with embodiments of the present invention will be described using FIG. 2. Structural devices formed using the method will be described using FIGS. 3-7.
  • FIG. 2A illustrates a schematic of a process chamber. FIG. 2B illustrates a schematic process flow in accordance with an embodiment of the present invention.
  • FIG. 2A is a simplified diagram of a chemical vapor deposition (CVD) system used in accordance with an embodiment of the present invention. In one or more embodiments, the CVD system may be a sub-atmospheric CVD (SA-CVD) system and used to process the wafer 131.
  • In various embodiments, all operations illustrated in FIG. 2A are performed within the process chamber 121 of the SA-CVD system. In one or more embodiments, all the operations of FIG. 2A may be performed prior to removing the wafer 131 from the chuck 129.
  • The SA-CVD system includes a vacuum chamber within the process chamber 121 for receiving the process gas to be deposited onto the wafer 131. The SA-CVD system further includes a flow control 122 to control the flow of gases into the process chamber 121 and a mixer 123 to mix the gases before sending into the process chamber 121. In some embodiments, the mixer 123 may be skipped and the gases may be directly introduced in to the process chamber 121.
  • The process chamber 121 may be heated to ensure that the reaction is triggered only within the process chamber 121 and not within the mixer 123. Accordingly, in some embodiments, the wafer 131 may be heated by the chuck 129, which may include a heating element. Alternatively, a separate heating element may be provided.
  • The process chamber 121 may include a plurality of inlets to receive the gases from the mixer 123. The process chamber 121 may include a plurality of sensors to obtain information regarding position of the wafer 131, alignment of the wafer 131 (if necessary), temperature of the wafer 131, and other information needed to control the deposition process.
  • The process chamber 121 may also be coupled to a plasma generating chamber in some embodiments. For example, in some embodiments, one or more sources may be ionized before introduction into the process chamber 121. In such cases, the ionized precursor may be introduced from the plasma generating chamber.
  • The process chamber 121 may include inlet outlets 121-IN and exit outlets 121-O for providing purge gases and other gases needed for cleaning the process chamber 121 as needed. As such, the SA-CVD system may include any features as in conventional systems.
  • The SA-CVD system may include a plurality of gas sources. A carrier gas such as helium 124 may be used in various embodiments. An oxidizing source such as ozone 125 may be used as the oxidizing species. In other embodiments, other oxidizing sources may be used including oxygen, N2O, and NO. In one exemplary embodiment, a source of TEOS 126 is used for the silicon source. Alternatively, silane based chemistry may be used in some embodiments. Dopant sources may comprise TEB 127 and TEPO 128 as examples.
  • Supply lines from the sources provide reactive gases and carrier gases to the flow control 122. The flow control 122 is configured to regulate the flow of each of the individual sources. The flow control 122 may include sensors, shut-off valves, mass flow controllers in various embodiments. In various embodiments, the flow control 122 may comprise any type of controller for measuring and regulating the flow of gas or liquid through the supply lines.
  • In some embodiments, the mixer 123 may include a liquid injection system for vaporizing reactant liquids such as TEOS 126, TEB 127, and TEPO 128. The vaporized sources may be combined with the carrier gas (helium 124). The flow from ozone 125 may be directly introduced into the process chamber 121 without mixing in some embodiments to avoid any reaction prior to reaching the process chamber 121. Alternatively, the ozone 125 may be combined with the reactive mixture just prior to entering the process chamber 121.
  • In one illustration, a mixture comprising TEOS 126 as a silicon source, TEB 127 as a boron source, and TEPO 128 as a phosphorus source may be mixed in the mixer 123. As TEOS 126 and TEPO 128 are liquid sources, they may be first vaporized before mixing with TEB 127. The vaporization may be performed using a boiler or other heating methods. As another alternative, the liquids may be directly injected as a fine spray or mist into the helium carrier gas and heated.
  • Referring to FIG. 2B, in box 101, the deposition is started. After any necessary clean operation, the wafer 131 is loaded into the process chamber 121 and the process chamber 121 is pressurized and heated. For example, the process chamber may be pressurized to 100 Torr to 500 Torr, for example, to 200 Torr in one example. The wafer 131 may be heated to about 400° C., for example, between 400° C. to 500° C. A chamber purge may be performed if needed.
  • Accordingly, referring to FIG. 2A, the reactive gases from the mixer 123 along with the oxidizing gas (ozone 125) are introduced into the process chamber 121 after the process chamber 121 is pressurized to appropriate pressure and the wafer 131 is brought to the deposition temperature.
  • Referring to box 102, the primary deposition step causes a layer of BPSG film to be deposited over the exposed surface of the wafer 131. The primary deposition step may be performed so as to deposit a highly doped BPSG film having a good conformity. In various embodiments, the primary deposition step may comprise using a helium flow rate between 10,000 to 20,000 sccm, ozone flow rate between 5000 to 10,000 sccm, TEOS flow rate between 1000 to 2000 mgm, TEB flow rate between 200 to 800 mgm, TEPO flow rate between 100 to 200 mgm.
  • The primary deposition may be performed for 10 s to 500 s depending on the thickness being deposited and also the selected process conditions. Additionally, the primary deposition results in depositing a BPSG film having a thickness of about 20 nm to 400 nm, for example, 40 nm to 100 nm in one embodiment.
  • Referring next to box 103, once the primary deposition is completed, a second deposition is performed. The secondary deposition may be a termination step in one or more embodiments. During this step, the dopants sources are cut-off or markedly reduced.
  • The secondary deposition step may be performed so as to deposit an un-doped film having a good conformity. In various embodiments, the secondary deposition step may comprise using a helium flow rate between 10,000 to 20,000 sccm, ozone flow rate between 5000 to 10,000 sccm, TEOS flow rate between 1050 to 2050 mgm, and no flow of TEB and TEPO.
  • In various embodiments, the TEOS flow rate during the secondary deposition step is higher than the TEOS flow rate during the primary deposition step. In one or more embodiments, the TEOS flow rate during the secondary deposition step is at least 5% higher than the TEOS flow rate during the primary deposition step. In one or more embodiments, the TEOS flow rate during the secondary deposition step is at least 5% to 20% higher than the TEOS flow rate during the primary deposition step.
  • In various embodiments, the TEB and TEPO flow rates during the second deposition step is at least 50% lower than the corresponding TEB and TEPO flow rates during the primary deposition step. In one exemplary embodiment, the TEB and TEPO flow rates during the second deposition step is 0% to 1%, i.e., negligible.
  • However, the ratio of TEOS to TEB and TEPO is a more important parameter. Accordingly, the ratio of the TEOS to TEB and of TEOS and TEPO during the primary deposition step is higher than the corresponding ratio of the TEOS to TEB and of TEOS and TEPO during the secondary deposition step. Consequently, the TEOS flow rate may be increased in the secondary deposition step without cutting off the boron and phosphorus sources in one embodiment. In one exemplary embodiment, the TEPO and TEB are turned off during the secondary deposition step.
  • The secondary deposition may be performed for 3 s to 20 s depending on the thickness being deposited and also the selected process conditions. In one or more embodiments, secondary deposition may be performed for 4 s to 8 s, and about 6 s in one example. In various embodiments, in order to hinder the reflow of the BPSG, thickness of film deposited during the secondary deposition, i.e., termination layer, may not be dependent on the BPSG thickness.
  • In various embodiments, during the secondary deposition, an undoped insulating film having a thickness of about 3 nm to 6 nm is deposited over the doped BPSG film deposited during the primary deposition step. In one or more embodiments, the undoped insulating film having a thickness of about 5 nm to 6 nm is deposited over the doped BPSG film deposited during the primary deposition step. In one or more embodiments, the undoped insulating film is more than a few mono-layers.
  • After the secondary deposition process, the flow of TEOS is shut off so that no more deposition may occur. Additionally, a purge process may be performed if deemed necessary. The wafer 131 may be removed from the process chamber 121 in preparation for the subsequent reflow anneal. In some embodiments, the reflow anneal may also be performed in the same process chamber 121.
  • Referring next to box 104, a reflow anneal is performed. The reflow anneal may be performed at a temperature between 600° C. to 1000° C. in various embodiments. If the thermal budget of the deposition steps described above are sufficient to reflow and getter mobile ions, the reflow anneal may be skipped in some embodiments.
  • FIGS. 3A and 3B illustrates a cross-sectional view of a BPSG film 220 after deposition and reflow using embodiments of the present invention. FIG. 3B illustrates a magnified view of a portion of FIG. 3A.
  • Referring to FIG. 3A, a BPSG film 220 is deposited over a substrate 10 and reflowed as described in FIG. 2. FIG. 3B illustrates a magnified view and shows the doped film 221 having a first thickness t221 followed by the undoped film 222 having a second thickness t222. In various embodiments, the first thickness is at least 10 times the second thickness t222. In one or more embodiments, the first thickness t221 is about 50 nm to about 60 nm while the second thickness t222 is between about 5 nm to 6 nm.
  • Because of the use of the secondary deposition step, the BPSG film 220 does not reflow significantly during the reflow anneal, for example, in contrast to FIG. 1B showing a conventional film after the reflow process.
  • The textured surface comprises a top surface comprising ridges intersecting at an angle less than 60° in one embodiment. A thickness of the BPSG film after a reflow process varies over the top surface by not more than 10% of the thickness of the BPSG film at any other point. In one embodiment, a variation in thickness of the BPSG film on the sidewalls after the reflow process is between 1% to 20%.
  • Accordingly, the first depth d1 at the narrow acute vertices is within 5% of the second depth d2 at the other regions of the BPSG film 220 even though the surface of the substrate 10 may include planes inclined at an angle α less than 60°, for example, between 30° to 45° in one embodiment. In various embodiments, variation in thickness of the first depth d1 from the second depth d2 is less than 20%. In one or more embodiments, variation in thickness of the first depth d1 from the second depth d2 is between 1% and 20%. In one or more embodiments, variation in thickness of the first depth d1 from the second depth d2 is between 0.1% and 10%. In one or more embodiments, variation in thickness of the first depth d1 from the second depth d2 is between 5% and 10%. Accordingly, a reflow insulation layer is formed having minimal variation due to the limited reflow.
  • FIG. 4 illustrates a schematic cross section of a patterned structure comprising a conformal BPSG film 220 comprising the doped film 221 and the undoped film 222 formed using the embodiment of FIG. 2 in accordance with an embodiment of the present invention.
  • Referring to FIG. 4, a patterned structure 30 is formed over the substrate 41. As one illustration, the patterned structure 30 may be a gate line of a transistor. The distance between adjacent of the patterned structure 30 is the pitch p30. The ability to form conformal structures over the patterned structure 30 is critical to forming a plurality of patterned structure 30 at a narrow pitch.
  • FIGS. 5A and 5B illustrate a schematic cross section of a patterned structure comprising a conformal BPSG film spacer formed using the embodiment of FIG. 2 in accordance with an embodiment of the present invention. FIG. 5A illustrates the conformal BPSG film while FIG. 5B illustrates the spacer.
  • Referring to FIG. 5A, a gate line 52 is formed over the substrate 51. A conformal BPSG film is formed over the gate line 52 using embodiments of the invention described in FIG. 2. See, example, FIG. 4 illustrating one such embodiment. The BPSG film may be etched using an anisotropic etch process. Because the BPSG film was previously formed conformally, an anisotropic etching process is sufficient to form the spacers. However, if the thickness at the bottom of the trench f2 is significantly larger than the thickness over the gate line 52, an anisotropic etching will open the gate line 52 and may etch at least a portion of the gate line 52 material. Advantageously, such issues are avoided by the use of the conformal BPSG film 220 as illustrated in FIG. 5B.
  • FIG. 6 illustrates a cross-sectional view of a semiconductor device comprising conformal BPSG film 220 in accordance with an embodiment of the present invention.
  • Referring to FIG. 6, a plurality of trench gates 63 is disposed in a substrate 61. The transistor comprises a plurality of source regions 64 coupled to a plurality of contact pads 65 and a common drain region formed in the substrate 61. A channel region 62 separates the source regions 64 from the drain regions (substrate 61). The ability of forming conformal BPSG films 220 having less reflow but with good gettering and minimal change in etch process complexity enables the ease of producing such structures.
  • FIG. 7A illustrates a schematic cross section of a vertical transistor array in accordance with embodiments of the present invention and FIG. 7B illustrates one exemplary embodiment of a vertical transistor.
  • In one or more embodiments, the transistor may include a large number of trenches 132 in which gate lines 160 are formed. In various embodiments, there may be a larger number of gate lines 160 than illustrated. For example, some embodiments may include 1000-10,000 gate lines. Alternatively, in one embodiment, the plurality of gate lines 160 may be formed over the substrate 71. The plurality of gate lines 160 are coupled to upper metal lines through contacts (not shown).
  • Gate lines 160 are separated from source 164 by insulation material 172, which may be formed as conformal borophosphosilicate glass (BPSG) film as described above in various embodiments using primary and secondary deposition processes. During the secondary deposition processes, the termination of the deposition is adjusted to minimize the reflow of the BPSG layer.
  • Doped regions including source regions no are formed below source 164. In different embodiments, doped regions may be p-type or n-type doped regions and may also include both doped p- or n-wells and higher doped n+ or p+ regions inside the wells, depending on the well and semiconductor dopants. Referring to FIG. 7A, a plurality of sources regions no are embedded within the substrate 71. In case of n-channel field effect transistors, the plurality of sources regions 110 is formed of n-type regions. In case of p-channel field effect transistors, the plurality of sources regions 110 is formed of p-type regions.
  • Drain 150 is formed at a back side of the semiconductor substrate 71. A thick back side metal layer 182 is formed on the back side of the semiconductor substrate 71 for the drain contact.
  • The source 164 is coupled to the corresponding source regions through a metallic layer 135 in the substrate 71. In one or more embodiments, the metallic layer 135 may comprise a titanium/titanium nitride metal barrier liner stack followed by a tungsten fill layer. The metallic layer 135 may be selected to provide a good contact to the source regions 110 and minimize Schottky contact resistance. The source 164 may comprise aluminum in one or more embodiments. However, in some embodiments, the source 164 may comprise copper.
  • An inter-level insulating dielectric layer 168 is formed over the source 164. In various embodiments, the inter-level insulating dielectric layer 168 may comprise silicon oxide, silicon nitride, and other suitable inter level dielectric materials.
  • A metal level layer 176 is formed over the inter-level insulating dielectric layer 168. In various embodiments, the metal level layer 176 may comprise copper metal lines. A passivation layer 178 is formed over the metal level layer 176. In various embodiments, the passivation layer 178 may include a silicon oxide layer and is designed to protect the underlying metallization and devices.
  • This illustrated embodiment is one type of trench gate vertical transistor. In still further alternative embodiments, any type of power transistor with any type of structure may be used. In some embodiments, the transistor may also include an additional electrode underneath the gate line 160. However, the additional electrode may be electrically coupled to either the gate line itself or to the source 164 so that the load transistor is a three terminal device.
  • Referring to FIG. 7B, the plurality of gate lines 160 is capacitively coupled to the body regions 120 through a gate dielectric layer 95. The body regions 120, which form the channel regions, are lower doped regions that are opposite in net doping to the plurality of sources regions 110. Further, the gate dielectric layer 95 may comprise an oxide or nitride layer such as silicon oxide or silicon nitride. In one embodiment, the gate dielectric layer 95 comprises thermal silicon oxide layer. Alternatively, the gate dielectric layer 95 may comprise a high-k dielectric layer.
  • The gate dielectric layer 95 may comprise a suitable material selected based on the substrate material of the substrate 15 in various embodiments. For example, when the semiconductor substrate material of the substrate 15 comprises gallium nitride (GaN), the gate dielectric layer 95 may comprise aluminum oxide, scanadium oxide, magnesium oxide, titanium oxide, hafnium oxide, gadolinium oxide, lanthanum oxide, zirconium oxide, gallium oxide, gallium oxynitride, silicon nitride, silicon oxide, and others.
  • In one or more embodiments, the body region 120 may be coupled to the source metal. The plurality of gate lines 160 may be covered by an insulation material 172. A drift region 130 is disposed below the body region 120. The drift region 130 has the same net doping type as the source regions no in various embodiments. The drift region 130 is however a lower doped region than the source regions no so as to cause a potential drop across. The voltage drop across the drift region 130 prevents breakdown or damage of the gate dielectric layer 95.
  • As described above, advantageously, the reflow of the BPSG film is changed by changing the termination process of the SA-CVD process. Such a change is cost efficient as it does not incur or require any significant change in other processes.
  • Although embodiments of the present invention have been applied to the deposition of a BPSG film, in other embodiments may be applied to other types of glasses such as phosphosilicate glass (PSG), borosilicate glass (BSG), arsenic-silicon glass (AsSG), or similar films. For example, a PSG film may be deposited using a primary deposition process followed by a secondary deposition process in which the phosphorus source is shut-off or reduced as described in various embodiments above.
  • While this invention has been described with reference to illustrative embodiments, this description is not intended to be construed in a limiting sense. Various modifications and combinations of the illustrative embodiments, as well as other embodiments of the invention, will be apparent to persons skilled in the art upon reference to the description. It is therefore intended that the appended claims encompass any such modifications or embodiments.

Claims (12)

What is claimed is:
1. A semiconductor device comprising:
a plurality of trenches disposed in a semiconductor substrate;
a plurality of gates formed within the plurality of trenches, wherein each gate of the plurality of gates has a V-shaped groove formed within, the V-shaped groove having a first sidewall and a second sidewall, wherein the first sidewall intersects with the second sidewall at an acute angle inside the trench;
a common drain region disposed in the semiconductor substrate;
a plurality of source regions disposed in the semiconductor substrate; and
a reflown layer of silicate glass disposed over the sidewalls of V-shaped groove of the plurality of gates, wherein a variation in thickness of the layer of silicate glass at the acute vertices and other regions of the layer of silicate glass over the sidewall of the V-shaped groove is between 0.1% to 10%.
2. The semiconductor device of claim 1, wherein a thickness of the reflown layer of silicate glass at a bottom part of the first and the second sidewalls is higher than a thickness of the reflown layer of silicate glass at a top part of the first and the second sidewalls.
3. The semiconductor device of claim 1, wherein the layer of silicate glass is at least 10 nm.
4. The semiconductor device of claim 1, wherein the layer of silicate glass comprises borophosphosilicate glass (BPSG).
5. The semiconductor device of claim 1, wherein each of the plurality of source regions is disposed between adjacent ones of the plurality of trenches.
6. The semiconductor device of claim 1, wherein the acute angle is less than 60°.
7. The semiconductor device of claim 1, further comprising a source contact disposed at an upper portion of each of the plurality of source regions.
8. A semiconductor device comprising:
a plurality of trenches disposed in a semiconductor substrate;
a plurality of gates formed within the plurality of trenches;
a common drain region disposed in the semiconductor substrate;
a plurality of source regions disposed in the semiconductor substrate;
a common source disposed over and coupled to the plurality of source regions; and
a reflown layer of silicate glass disposed over the plurality of gates, wherein a variation in thickness of the layer of silicate glass at a central region of the plurality of gates and other regions of the layer of silicate glass is between 0.1% to 10%, and wherein the plurality of gates are separated from the common source by the reflown layer of silicate glass.
9. The semiconductor device of claim 8, wherein each gate of the plurality of gates has a V-shaped groove formed within, the V-shaped groove having a first sidewall and a second sidewall, wherein the first sidewall intersects with the second sidewall at an acute angle inside the trench.
10. The semiconductor device of claim 9, wherein the acute angle is less than 60°.
11. The semiconductor device of claim 8, wherein a thickness of the reflown layer of silicate glass directly over the central region is higher than a thickness of the reflown layer of silicate glass directly over the gate dielectric layer.
12. The semiconductor device of claim 8, wherein each of the plurality of trenches is lined with a gate dielectric layer, an insulating layer disposed in a lower portion of the trench, and a gate material above the insulating layer.
US15/273,589 2015-01-23 2016-09-22 Controlling the Reflow Behaviour of BPSG Films and Devices Made Thereof Abandoned US20170011927A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US15/273,589 US20170011927A1 (en) 2015-01-23 2016-09-22 Controlling the Reflow Behaviour of BPSG Films and Devices Made Thereof
US15/964,408 US20180247820A1 (en) 2015-01-23 2018-04-27 Controlling the Reflow Behaviour of BPSG Films and Devices Made Thereof

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/603,943 US9455136B2 (en) 2015-01-23 2015-01-23 Controlling the reflow behaviour of BPSG films and devices made thereof
US15/273,589 US20170011927A1 (en) 2015-01-23 2016-09-22 Controlling the Reflow Behaviour of BPSG Films and Devices Made Thereof

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/603,943 Division US9455136B2 (en) 2015-01-23 2015-01-23 Controlling the reflow behaviour of BPSG films and devices made thereof

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/964,408 Division US20180247820A1 (en) 2015-01-23 2018-04-27 Controlling the Reflow Behaviour of BPSG Films and Devices Made Thereof

Publications (1)

Publication Number Publication Date
US20170011927A1 true US20170011927A1 (en) 2017-01-12

Family

ID=56364620

Family Applications (3)

Application Number Title Priority Date Filing Date
US14/603,943 Active US9455136B2 (en) 2015-01-23 2015-01-23 Controlling the reflow behaviour of BPSG films and devices made thereof
US15/273,589 Abandoned US20170011927A1 (en) 2015-01-23 2016-09-22 Controlling the Reflow Behaviour of BPSG Films and Devices Made Thereof
US15/964,408 Abandoned US20180247820A1 (en) 2015-01-23 2018-04-27 Controlling the Reflow Behaviour of BPSG Films and Devices Made Thereof

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US14/603,943 Active US9455136B2 (en) 2015-01-23 2015-01-23 Controlling the reflow behaviour of BPSG films and devices made thereof

Family Applications After (1)

Application Number Title Priority Date Filing Date
US15/964,408 Abandoned US20180247820A1 (en) 2015-01-23 2018-04-27 Controlling the Reflow Behaviour of BPSG Films and Devices Made Thereof

Country Status (3)

Country Link
US (3) US9455136B2 (en)
CN (1) CN105826185B (en)
DE (1) DE102016100938B4 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11374124B2 (en) * 2018-06-28 2022-06-28 Texas Instruments Incorporated Protection of drain extended transistor field oxide
KR20210057664A (en) * 2019-11-11 2021-05-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a structure including silicone oxide
US20230178424A1 (en) * 2020-05-08 2023-06-08 Lam Research Corporation Expandable doped oxide films for advanced semiconductor applications

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5310720A (en) * 1992-02-28 1994-05-10 Fujitsu Limited Process for fabricating an integrated circuit device by forming a planarized polysilazane layer and oxidizing to form oxide layer
US6069058A (en) * 1997-05-14 2000-05-30 United Semiconductor Corp. Shallow trench isolation for semiconductor devices
US6072227A (en) * 1998-02-11 2000-06-06 Applied Materials, Inc. Low power method of depositing a low k dielectric with organo silane
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US6177344B1 (en) * 1998-11-25 2001-01-23 Applied Materials, Inc. BPSG reflow method to reduce thermal budget for next generation device including heating in a steam ambient
US6218268B1 (en) * 1998-05-05 2001-04-17 Applied Materials, Inc. Two-step borophosphosilicate glass deposition process and related devices and apparatus
US20030019427A1 (en) * 2001-07-24 2003-01-30 Applied Materials, Inc. In situ stabilized high concentration BPSG films for PMD application
US6635535B2 (en) * 2001-11-20 2003-10-21 Fairchild Semiconductor Corporation Dense trench MOSFET with decreased etch sensitivity to deposition and etch processing
US20040166695A1 (en) * 2002-09-19 2004-08-26 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US6890833B2 (en) * 2003-03-26 2005-05-10 Infineon Technologies Ag Trench isolation employing a doped oxide trench fill
US20100151668A1 (en) * 2008-12-16 2010-06-17 Hynix Semiconductor Inc. Method of fabricating insulation layer and method of fabricating semiconductor device using the same
US7955993B2 (en) * 2009-06-04 2011-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Oxygen plasma reduction to eliminate precursor overflow in BPTEOS film deposition
US8049276B2 (en) * 2009-06-12 2011-11-01 Fairchild Semiconductor Corporation Reduced process sensitivity of electrode-semiconductor rectifiers
US8592895B2 (en) * 2005-06-10 2013-11-26 Fairchild Semiconductor Corporation Field effect transistor with source, heavy body region and shielded gate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63173344A (en) * 1987-01-13 1988-07-16 Fujitsu Ltd Manufacture of semiconductor device
KR960010334B1 (en) 1990-09-25 1996-07-30 Matsushita Electric Ind Co Ltd Fabricating method of semiconductor device
US5404040A (en) * 1990-12-21 1995-04-04 Siliconix Incorporated Structure and fabrication of power MOSFETs, including termination structures
JPH07122742A (en) * 1993-10-22 1995-05-12 Sanyo Electric Co Ltd Semiconductor device and its manufacture
JPH08172090A (en) * 1994-12-19 1996-07-02 Kawasaki Steel Corp Manufacture of semiconductor device
US6599574B1 (en) 1996-04-04 2003-07-29 Applied Materials Inc. Method and apparatus for forming a dielectric film using helium as a carrier gas
JP3220066B2 (en) * 1997-09-22 2001-10-22 九州日本電気株式会社 Semiconductor device and method of manufacturing the same
US7638161B2 (en) * 2001-07-20 2009-12-29 Applied Materials, Inc. Method and apparatus for controlling dopant concentration during BPSG film deposition to reduce nitride consumption
KR100520222B1 (en) * 2003-06-23 2005-10-11 삼성전자주식회사 Dual gate oxide structure for use in semiconductor device and method therefore
KR100695487B1 (en) * 2006-03-20 2007-03-16 주식회사 하이닉스반도체 Semiconductor device and method for fabricating using the same
JP2009164510A (en) * 2008-01-10 2009-07-23 Renesas Technology Corp Semiconductor device and manufacturing method of same
KR101751482B1 (en) * 2011-03-08 2017-06-29 삼성전자주식회사 Method of fabricating a semiconductor device including a recess channel

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5310720A (en) * 1992-02-28 1994-05-10 Fujitsu Limited Process for fabricating an integrated circuit device by forming a planarized polysilazane layer and oxidizing to form oxide layer
US6069058A (en) * 1997-05-14 2000-05-30 United Semiconductor Corp. Shallow trench isolation for semiconductor devices
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US6072227A (en) * 1998-02-11 2000-06-06 Applied Materials, Inc. Low power method of depositing a low k dielectric with organo silane
US6218268B1 (en) * 1998-05-05 2001-04-17 Applied Materials, Inc. Two-step borophosphosilicate glass deposition process and related devices and apparatus
US6177344B1 (en) * 1998-11-25 2001-01-23 Applied Materials, Inc. BPSG reflow method to reduce thermal budget for next generation device including heating in a steam ambient
US20030019427A1 (en) * 2001-07-24 2003-01-30 Applied Materials, Inc. In situ stabilized high concentration BPSG films for PMD application
US6635535B2 (en) * 2001-11-20 2003-10-21 Fairchild Semiconductor Corporation Dense trench MOSFET with decreased etch sensitivity to deposition and etch processing
US20040166695A1 (en) * 2002-09-19 2004-08-26 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US6890833B2 (en) * 2003-03-26 2005-05-10 Infineon Technologies Ag Trench isolation employing a doped oxide trench fill
US8592895B2 (en) * 2005-06-10 2013-11-26 Fairchild Semiconductor Corporation Field effect transistor with source, heavy body region and shielded gate
US20100151668A1 (en) * 2008-12-16 2010-06-17 Hynix Semiconductor Inc. Method of fabricating insulation layer and method of fabricating semiconductor device using the same
US7955993B2 (en) * 2009-06-04 2011-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Oxygen plasma reduction to eliminate precursor overflow in BPTEOS film deposition
US8049276B2 (en) * 2009-06-12 2011-11-01 Fairchild Semiconductor Corporation Reduced process sensitivity of electrode-semiconductor rectifiers

Also Published As

Publication number Publication date
US20180247820A1 (en) 2018-08-30
CN105826185A (en) 2016-08-03
DE102016100938B4 (en) 2023-05-04
DE102016100938A1 (en) 2016-07-28
US20160218002A1 (en) 2016-07-28
CN105826185B (en) 2019-05-03
US9455136B2 (en) 2016-09-27

Similar Documents

Publication Publication Date Title
TWI708322B (en) Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US6013584A (en) Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
CN102737974B (en) Method of fabricating a plurality of gate structures
US20050282350A1 (en) Atomic layer deposition for filling a gap between devices
US20140209026A1 (en) Plasma activated deposition of a conformal film on a substrate surface
US6077786A (en) Methods and apparatus for filling high aspect ratio structures with silicate glass
JP2005191482A (en) Semiconductor device and its manufacturing method
US20180247820A1 (en) Controlling the Reflow Behaviour of BPSG Films and Devices Made Thereof
TWI737007B (en) Integrated circuit structure and method of forming the same
CN105097474A (en) Method for manufacturing semiconductor device
JPH06333858A (en) Plasma cvd process for forming bpsg in low temperature flow
US20210175075A1 (en) Oxygen radical assisted dielectric film densification
CN110310952B (en) Semiconductor device and method of manufacturing the same
CN100561712C (en) Semiconductor device and manufacture method thereof
KR100571082B1 (en) Semiconductor device and manufacturing method of semiconductor device
US20120309202A1 (en) Method for manufacturing semiconductor device
JP3616035B2 (en) Insulating film and manufacturing method thereof, and semiconductor device and manufacturing method thereof
US20070022941A1 (en) Method of forming a layer and method of manufacturing a semiconductor device using the same
US7189659B2 (en) Method for fabricating a semiconductor device
JP4160317B2 (en) Insulating film manufacturing method and semiconductor device manufacturing method
JP2008235397A (en) Method of manufacturing semiconductor device
US6492214B2 (en) Method of fabricating an insulating layer
US11626482B2 (en) Air spacer formation with a spin-on dielectric material
KR20060120986A (en) Method for gap-fill using bpsg layer
TWI553784B (en) Memory device and method for fabricating the same

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE