US20140166618A1 - Ultra-high speed anisotropic reactive ion etching - Google Patents

Ultra-high speed anisotropic reactive ion etching Download PDF

Info

Publication number
US20140166618A1
US20140166618A1 US14/053,066 US201314053066A US2014166618A1 US 20140166618 A1 US20140166618 A1 US 20140166618A1 US 201314053066 A US201314053066 A US 201314053066A US 2014166618 A1 US2014166618 A1 US 2014166618A1
Authority
US
United States
Prior art keywords
gas
plasma
diffuser
plasma source
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/053,066
Inventor
Srinivas Tadigadapa
Gokhan HATIPOGLU
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Penn State Research Foundation
Original Assignee
Penn State Research Foundation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Penn State Research Foundation filed Critical Penn State Research Foundation
Priority to US14/053,066 priority Critical patent/US20140166618A1/en
Publication of US20140166618A1 publication Critical patent/US20140166618A1/en
Assigned to THE PENN STATE RESEARCH FOUNDATION reassignment THE PENN STATE RESEARCH FOUNDATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HATIPOGLU, Gokhan, TADIGADAPA, SRINIVAS
Priority to US14/964,023 priority patent/US9966232B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00523Etching material
    • B81C1/00547Etching processes not provided for in groups B81C1/00531 - B81C1/00539
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C99/00Subject matter not provided for in other groups of this subclass
    • B81C99/0005Apparatus specially adapted for the manufacture or treatment of microstructural devices or systems, or methods for manufacturing the same
    • B81C99/0025Apparatus specially adapted for the manufacture or treatment of microstructural devices or systems not provided for in B81C99/001 - B81C99/002
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C15/00Surface treatment of glass, not in the form of fibres or filaments, by etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3345Problems associated with etching anisotropy

Definitions

  • the present invention relates to reactive ion etching (RIE), and more specifically to apparatus and methods for ultra-high speed anisotropic RIE.
  • RIE reactive ion etching
  • Silicon dioxide in its crystalline form (quartz) as well as its amorphous form (glass) is finding increasing applications in microsystems, as active resonator structure as well as passive support and packaging components.
  • Recently borosilicate glass and quartz substrates have been etched with high aspect ratios and high surface smoothness using SF6 and Ar/Xe gases [1-3].
  • the main focus of these etch process development has been the achievement of high etch rates and high aspect ratio etching of silica.
  • the processes developed thus far rely upon ion bombardment to accelerate the etching process while fluorine based gases are used to provide the reactive component for etching.
  • the use of heavier Xe helps reduce the re-deposition and more effectively removes any non-volatile residues resulting in smoother surfaces with an average surface roughness of ⁇ 2 nm.
  • Borosilicate glass substrates are known to have a typical composition of SiO 2 (79.6%), B 2 O 3 (12.5%), Na 2 O (3.72%), Al 2 O 3 (2.4%), and K 2 O (0.02%).
  • indium solder can be used for mounting the sample directly onto a silicon wafer.
  • the mounting side of the SiO 2 sample needs to be coated with 20/80 nm of Cr/Au to provide a surface to which the solder can adhere.
  • an electrostatic chuck can be used for the mounting of the sample. In all cases the backside of the chuck/substrate is cooled using helium gas maintained at the desired temperature.
  • a method for reactive ion etching can include forming plasma at a first location and introducing at least one processing gas at a second location corresponding to a surface to be etched, wherein at least one radical of the at least one processing gas is reactive or enables reactivity with the surface to perform etching of the surface.
  • the method can further include directing ions from the plasma to a substrate at second location to interact with the at least one processing gas to generate the at least one radical at the surface.
  • the forming of the plasma can include generating the plasma using an inductively coupled plasma source.
  • the introducing of the at least one processing gas can include configuring the gas diffuser to direct the at least one processing gas into the second location.
  • the gas diffuser can be a diffuser ring.
  • the gas diffuser can be a plurality of nozzles.
  • the forming of the upstream plasma can include generating the plasma using a magnetically enhanced plasma source or a helicon source, a capacitively coupled plasma source, or any other source of high density plasma.
  • the forming of the upstream plasma can include generating the plasma using a non-high density source, such as a parallel plate capacitor plasma source, an inductively coupled plasma source, a microwave plasma source, an ionization chamber, or any other source of low to normal density plasma.
  • the introducing of the at least one processing gas can include configuring the gas diffuser to direct the at least one processing gas into the second location.
  • the gas diffuser can be at least one diffuser ring.
  • the gas diffuser can be a plurality of nozzles.
  • FIG. 1 is a schematic of a system in accordance with the various embodiments
  • FIG. 3 shows a modified etching system in accordance with the various embodiments
  • FIG. 4 shows a ring diffuser with 1 mm holes in accordance with the various embodiments
  • FIG. 6B is an SEM image of the etch region cross section for the substrate of FIG. 6A ;
  • the fast etch rate can be attributed to the presence of NF x radicals arising from the breakup of NF 3 into NF x *+F*—radicals.
  • NF x radicals (with x>0).
  • the etch process is imitated in a conventional manner. That is, conventional etch process gases are introduced into the ICP-RIE reactor (e.g., Ar, SF 6 ) and a plasma is generated, remote from the wafer, using normal operating conditions. Concurrently, NF 3 gas is introduced in the vicinity of the wafer using the gas diffuser. A substrate bias power is applied during this process, which drives the high energy ions generated in the plasma towards the NF 3 gas in the vicinity of the wafer. These ions then interact with the NF 3 gas to break it down into NF x radicals. Effectively, this creates a high pressure plasma in the vicinity of the wafer inside a low pressure ICP-RIE chamber.
  • ICP-RIE reactor e.g., Ar, SF 6
  • NF 3 gas is introduced in the vicinity of the wafer using the gas diffuser.
  • a substrate bias power is applied during this process, which drives the high energy ions generated in the plasma towards the NF 3 gas in the vicinity of the wafer.
  • These ions then
  • H 2 O is introduced in the proximity of the substrates/wafer to enhance the reactivity of the NF 3 radicals with the glass substrate.
  • Water molecules can react with the NF x and F radicals and scavenge them to create the right etching mixture. Furthermore, water can also help in wetting the glass surface and initiate and mediate reactions on the surface through the interactions with various radicals and ions thus formed in the chamber.
  • any sequence of gas introduction through the diffuser ring can be considered.
  • Neither gas is limited to NF 3 or H 2 O only and any gases that contain active species relevant for glass etching can be considered in this arrangement.
  • the energy of the bombarding ions from the plasma can be effectively controlled by the substrate bias which provides an independent control to change the etching conditions for tuning the composition of the NF x radicals as well as to control the anisotropy. Further, by controlling the plasma gas composition from the gas diffuser, in-situ reactions and gas chemistries in the vicinity of the wafer can be increased or decreased as needed.
  • FIG. 1 shows a schematic illustration of an ICP-RIE system 100 in accordance with the various embodiments.
  • the system 100 includes many components of a conventional ICP-RIE system.
  • the system 100 can include a plasma chamber 102 , serving as a source of inductively coupled plasma or ICP source.
  • the plasma chamber 102 is coupled to a diffusion chamber 104 lined with magnetic portions 106 , in which the wafer to be etched is disposed and into which ions from the ICP source are directed towards the wafer to perform the etching.
  • the plasma chamber 102 can include a gas inlet 108 for introducing gases into the plasma chamber 102 . This gas inlet can be coupled to a gas delivery system (not shown) for delivering one or more gases.
  • the gas delivery system can be configured to delivery of SF 6 , C 4 F 8 , Ar, O 2 , CH 4 , CHF 3 , CF 4 , Cl 2 , Xe, Ne, N 2 , or NF 3 , or any combinations thereof.
  • the plasma chamber 102 can also be associated with an antenna or coil 110 , an RF matching or tuning network 112 and an RF power supply 113 to provide the energy for generating the plasma in the plasma chamber 102 .
  • FIG. 1 and other descriptions refer to discrete plasma and diffusion chambers, the various embodiments are not limited in this regard. That is, in some configurations, a single chamber can be provided with different regions to serve as the plasma chamber 102 and the diffusion chamber 104 .
  • the system 100 can include a gas diffuser 118 coupled to a gas delivery system (not shown) via feedthroughs 120 for introducing NF 3 gas and other gases, separately or in combination, into the diffusion chamber 104 in the vicinity of substrate holder 114 when in the elevated position.
  • gases can include, but are not limited to H 2 O.
  • the gas delivery system for the gas diffuser 118 can be the same or different than the gas delivery system for inlet 108 .
  • providing a separate gas delivery systems for inlet 108 and gas diffuser 118 can be advantageous. That is, separate systems provide greater flexibility and control.
  • the mixture of gases introduced via inlet 108 and gas diffuser 118 can be different.
  • the gas diffuser 118 can be implemented in a variety of ways.
  • the gas diffuser 118 can be implemented as diffuser ring 218 , flexible feedthroughs 220 coupling the diffuser ring 218 to a gas panel 222 , and an NF3 gas source 224 coupled to gas panel 222 .
  • the diffuser ring 214 can be constructed using a 1 ⁇ 8 inch electro-polished stainless steel tube drilled with 0.5 mm holes at a distance of 10 mm bent into a 4′′ circular tube. Thereafter this tube can be welded onto the substrate holder and clamp 108 .
  • the gas panel 222 can also be configured in a variety of ways.
  • a precision needle valve can be used to control the gas flow rate of NF 3 (or other gases) into the diffusion chamber 104 .
  • a NF 3 flow rate of 300 sccm or less can be used.
  • any other methods for controlling gas flow rate can be used in the various embodiments.
  • a precision needle valve can be used to control the gas flow rate of H 2 O (or other gases) into the diffusion chamber 104 .
  • a H 2 O flow rate of 300 sccm or less can be used.
  • the flow rates and methods for controlling gas flow rate can vary in the various embodiments.
  • the system 100 can include additional modifications compared to conventional ICP-RIE systems. For example, plasma shielding plates may need to be modified to accommodate the additional components for the system 100 .
  • the system 100 can also be coupled to a mass-spectrometer system (e.g., a residual gas analyzer) to measure the gas species created within the chamber to monitor and adjust the etching process. Additionally, optical windows can be provided to monitor the visible/UV spectra of the plasma and evaluate the plasma glow composition during etching.
  • a mass-spectrometer system e.g., a residual gas analyzer
  • a first mode of operation is simply to utilize the ICP-RIE reactor to perform etching under normal operating conditions. That is, to perform conventional (i.e., low etch rate) etch processes.
  • the plasma etching occurs by generating a plasma using an etching gas chemistry (e.g., NF 3 and Ar) without introducing gases through the gas diffuser. That is, referring back to FIG. 1 , gases are introduced view inlet 108 and a plasma 130 is generated in plasma chamber 102 .
  • an etching gas chemistry e.g., NF 3 and Ar
  • a substrate bias is provided at substrate holder and clamp 114 , which causes ions generated in the plasma 130 to be directed towards substrate holder and clamp 114 and any wafer disposed thereon.
  • the ions are then used to perform conventional etching. Such a mode can be utilized when low etch rates are desirable to accurately.
  • a second mode is to utilize the gas diffuser to generate enhanced etching conditions.
  • the system can be initially setup in the first mode, as described above. Thereafter, the etch gas (NF 3 ) can be introduced into the ICP-RIE reactor via the gas diffuser and the enhanced etching (high etch rate) will be performed. That is, as described above, the plasma 130 is generated and the substrate bias is used to direct ions from the plasma 130 towards the wafer. However, via gas diffuser 118 , a region 132 with an increased density of NF 3 gas, localized around the wafer, is provided. The interaction of the ions from the plasma 130 with the NF 3 132 in region 132 causes the generation of NF x radicals.
  • the ions are utilized to break up the NF 3 in region 132 to provide the incomplete breakup of NF 3 .
  • the causes of increased roughness are due to the impurities exist in glass substrates and due to the less percent metal containing compounds other than SiO 2 , where these metal based compounds form non-volatile or less volatile metal halogens in fluorine containing plasmas (i.e., Argon/SF 6 ) during dry etching.
  • Borosilicate glass is mainly composed of 81% of SiO 2 , 13% of B 2 O 3 , 4% of Na 2 O/K 2 O and 2% of Al 2 O 3 .
  • the non-volatile metal/alkali metal halogens (i.e., NaF and AlF 3 ) forming on the surface cause micro-masking in the etch regions resulting in the formation of needle-like structures and therefore destructing the smoothness.
  • highly energetic argon ions are proposed as the physical etch mechanism to remove these compounds from the surface to achieve better roughness, but as the argon percent ratio in the gas mixture is increased, the etch rate decreases significantly [16].
  • the arithmetic mean roughness (Ra) is still reported on the range of 2 nm to 100 nm in these cases [16]-[19].
  • FIGS. 3 and 4 show a radio frequency (RF) inductively coupled plasma etching system (Alcatel AMS 100, manufactured by Alcatel-Lucent of Paris, France)
  • RF radio frequency
  • FIG. 3 shows a modified etching system in accordance with the various embodiments
  • FIG. 4 shows a ring diffuser with 1 mm holes in accordance with the various embodiments.
  • the ring has a diameter of 9.6 cm and has diffuser holes that are placed within 1 mm spacing.
  • a set of experiments utilizing design of experiments involving orthogonal arrays are carried out to obtain optimum conventional ring-inactive downstream etching parameters using Ar/NF 3 plasma (Please refer to the supplemental information for the detailed experimentation).
  • Double-side polished, 4 inches Borosilicate wafers are used as the substrates during all experiments performed.
  • the wafers are patterned with 100 um, 250 um, 500 um and 1 mm rectangular openings. 2-3 um thick nickel is plated on the wafers, acting as hard mask during the etch.
  • Each sample is etched for 1 hour at 20° C. substrate temperature.
  • the results for fastest and smoothest Ar/NF 3 etches are demonstrated in Table 1.
  • FIGS. 5A and 5B shows the etch rate and recorded operating pressure along with the smoothness in the chamber when the ring is active.
  • the etch depths are measured via a Tencor P16+ profilometer, manufactured by KLA Tencor of Milpitas, Calif. and the roughness is measured via a PSIA XE-100 Scanning Probe Microscope, manufactured by Park Systems Corporation of Santa Clara, Calif.
  • Ra is measured as 8.4 ⁇ .
  • the smoothness is heavily degraded once the ring flow rate is above 50 sccm.
  • Ra is at least 2 orders of magnitude larger in high flow regions where the chamber pressure is >6.3 ⁇ Bar.
  • the etch rate with the ring is improved 34%.
  • the nickel selectivity at downstream Ar/NF 3 plasma is measured as 7.35:1 over Borosilicate glass.
  • the selectivity is measured as 9.32:1 for the fastest etch rate, indicating a 27% improvement.
  • the superior performance of one etching method among two etching methods is mainly due to the diffuser mechanism.
  • the aim to have diffuser mechanism in place is to decrease the dissociation rate of NF 3 and obtain more NF x radicals in tha plasma. It is previously stated that the low percent dissociation of NF 3 improves the etching speed of borosilicate glass [21].
  • a Residual Gas Analyzer (Exton XT 100, manufactured by Extorr, Inc. of New Kensington, Pa.) is used.
  • FIGS. 7A and 7B show two RGA data: for the ring active etch at 48 sccm NF 3 flow rate and for Ar/NF 3 plasma with fastest etch rate shown in Table 1.
  • NF x radicals may act more aggressive to glass and thus improve the etch rate.
  • heavier gasses are formed when the ring is active.
  • the peak 84 may highly correspond to NF 2 O 2 gas.
  • the authors articulate there may be NF x O x based gas formation when NF 3 is diffused through the ring, which may be playing a critical role in smoothness and fast etching. This is further discussed in below.
  • XPS X-ray Photoelectron Spectroscopy
  • a system configured in accordance with the various embodiments can be used to obtain smooth surfaces on borosilicate glass and is integrated with a commercial ICP etching system, where NF 3 is supplied to the diffuser.
  • the effects of the mechanism are compared with conventional Ar/NF 3 plasma etching.
  • Ultra-smooth etching is achieved with Ra ⁇ 5 ⁇ at considerable etch rates.
  • the smoothness is degraded at high flows of NF 3 (>50 sccm). The main reason of this degradation is due to the high percentages of Na (in NaF form) on the surface.
  • the Na concentration is found out to be less in atomic percent.

Abstract

A system and method for reactive ion etching (RIE) system of a material is provided. The system includes a plasma chamber comprising a plasma source and a gas inlet, a diffusion chamber comprising a substrate holder for supporting a substrate with a surface comprising the material and a gas diffuser, and a source of a processing gas coupled to the gas diffuser. In the system and method, at least one radical of the processing gas is reactive with the material to perform etching of the material, the gas diffuser is configured to introduce the processing gas into the processing region, and the substrate holder comprises an electrode that can be selectively biased to draw ions generated by the plasma source into the processing region to interact with the at least one processing gas to generate the at least one radical at the surface.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims the priority to and the benefit of U.S. Provisional Patent Application No. 61/737,282, entitled “ULTRA-HIGH SPEED ANISOTROPIC REACTIVE ION ETCHING” and filed Dec. 14, 2012, the contents of which are herein incorporated by reference in their entirety.
  • FIELD OF THE INVENTION
  • The present invention relates to reactive ion etching (RIE), and more specifically to apparatus and methods for ultra-high speed anisotropic RIE.
  • BACKGROUND
  • Silicon dioxide in its crystalline form (quartz) as well as its amorphous form (glass) is finding increasing applications in microsystems, as active resonator structure as well as passive support and packaging components. Recently borosilicate glass and quartz substrates have been etched with high aspect ratios and high surface smoothness using SF6 and Ar/Xe gases [1-3]. The main focus of these etch process development has been the achievement of high etch rates and high aspect ratio etching of silica. In this context the processes developed thus far rely upon ion bombardment to accelerate the etching process while fluorine based gases are used to provide the reactive component for etching. The use of heavier Xe helps reduce the re-deposition and more effectively removes any non-volatile residues resulting in smoother surfaces with an average surface roughness of ˜2 nm.
  • In spite of more than an order of magnitude increase in the etch rate of silicon dioxide compared to comparable processes used in CMOS industry, the currently obtained etch rates are not attractive enough for MEMS through wafer and high aspect ratio etching of glass substrates. Considering that typical glass substrates are 100-500 μm, these etches can take between 200 (˜3 hours) and 1000 minutes (˜16 hours) at a rate of ˜0.5 μm/min making such processes impractical for glass based device development and their commercialization. Etch processes that can potentially break through this etch rate limitation for glass can dramatically affect several MEMS devices—including inertial and microfluidic devices. Thus, the question to ask is what is limiting the etch rate of silica at just under the 1 μm/min.
  • To date, efforts in silicon dioxide (glass) etching, have been primarily directed towards realizing features for microelectronics applications such as interconnect vias [5], waveguides [6], phase shift masks [7], etc. Hence, process optimization has traditionally aimed at increasing the selectivity of silicon dioxide over silicon substrate [8], reducing gate oxide damage [9], decreasing sidewall roughness [10], and increasing sidewall angle of the etched features [11]. With the advent of microelectromechanical systems (MEMS) and microsystems in the last decade, focus has shifted to high aspect ratio etching of silicon dioxide for applications in microfluidics [12], microsensors [13], and lab-on-a-chip applications. Many of these applications require greater than 100 μm of silicon dioxide (glass) etching while maintaining the surface finish, with RMS surface roughness of less than 5 nm [14, 15]. Hence, these applications impose additional new requirements on borosilicate glass etching processes such as high etch rate, high selectivity to masking material, high anisotropy, low surface roughness for mirror polish, uniformity of etch across the wafer and within a pattern [16], etc.
  • Traditional RIE processes are limited by the fact that the substrate power and RF plasma power are coupled to each other often resulting in etch non-uniformity across the wafer, low density of plasmas, and limited control over the processing conditions. However, in an inductively coupled plasma (ICP) RIE system, the substrate power and the coil (source) power are independent of each other thus providing excellent control over plasma density (controlled by ICP power) and energy of etchant ions (controlled by substrate power) [17]. As a result, plasma can be generated even at relatively low pressures in the range of 10−4 Ton to 10−3 Torr. However, at such low pressures, the plasma in traditional RIE systems is not stable.
  • Nonetheless, low processing pressure is advantageous for rapid removal of etching products from the surface and also for the removal of stray particles generated from the masking material, substrate holder and walls of the reaction chamber. The presence of stray particles results in micro-masking wherein the micro-particles or reaction products on the substrate shield the surface from the etchant species resulting in surface roughness, micro-trenching and formation of plateau-like structures. Additionally, the increased mean free path at low pressures improves the anisotropy of the etch by minimizing the randomizing collisions between the radicals, ions and other plasma species. Borosilicate glass substrates are known to have a typical composition of SiO2 (79.6%), B2O3 (12.5%), Na2O (3.72%), Al2O3 (2.4%), and K2O (0.02%).
  • In the case of deep reactive ion etching of silicon dioxide (quartz or borosilicate glass) a high Ar to SF6 ratio is required to maintain low RMS surface roughness. FIG. 1 shows the dependence of the etch rate and RMS surface roughness as a function of substrate RF power, chamber pressure, Ar, and SF6 flow rate. In all cases the pressure in the chamber was maintained at 0.26 Pa throughout the flow ranges. The ICP source power was 2000 W and a substrate bias power of 475 W (Bias Voltage of 80 V) was used in generating these results. From the graphs it can be seen that the best surface roughness of ˜2 nm is obtained at high Ar flow rates, low chamber pressure, and high substrate power—corresponding to conditions dominated by physical sputtering of the material. The etch rate can be increased by increasing the SF6 flow rate from 5 sccm to 50 sccm from 0.54 μm/min to 0.74 μm/min however the surface roughness was found to degrade under these conditions to >100 nm. Pulse electroplated nickel is typically used as the etch mask layer and a selectivity of ˜25:1 can be obtained for SiO2 etching under these conditions. FIG. 1( e) shows an SEM of a high aspect ratio feature etched in quartz using these conditions. Similar results were obtained by Li et al. while etching SiO2 using Xe instead of Ar. The higher sputter yield of Xe gave a lower RMS surface roughness value as compared to Ar for the same mole fraction of the inert gas in SF6. Although silicon grease or a small drop of a fluoropolymer based oil, such as FOMBLIN manufactured by Solvay S. A. of Belgium, Brussels, can be used for mounting the quartz/glass substrates onto a 4″ silicon carrier wafer, these materials cannot withstand the long process times and can leave the backside of the sample with hard to remove, stubborn residues. Furthermore, these mounting materials do not provide a reliable and uniform thermal contact, between the carrier wafer and the sample, throughout the entire etch process. In order to avoid these problems, indium solder can be used for mounting the sample directly onto a silicon wafer. However, the mounting side of the SiO2 sample needs to be coated with 20/80 nm of Cr/Au to provide a surface to which the solder can adhere. Of course if the sample is large enough it can be directly mechanically clamped or an electrostatic chuck can be used for the mounting of the sample. In all cases the backside of the chuck/substrate is cooled using helium gas maintained at the desired temperature.
  • SUMMARY
  • Embodiments of the invention concern systems and methods for RIE, and more specifically to apparatus and methods for ultra-high speed and ultra-high smooth anisotropic RIE of quartz and other glasses. In some embodiments, the apparatus and methods can be selected to provide etched glass surface with excellent smoothness.
  • In a first embodiment of the invention, a system for reactive ion etching (RIE) system of a material is provided. The system includes a plasma chamber comprising a plasma source and a gas inlet. The system also includes a diffusion chamber comprising a substrate holder for supporting a substrate with a surface comprising the material and a gas diffuser. The system further includes a source of at least one processing gas coupled to the gas diffuser. In the system, at least one radical of the at least one processing gas is reactive with the material to perform etching of the material. Further, the substrate holder is configured to support the substrate within a processing region of the diffusion chamber. Additionally, the gas diffuser is configured to introduce the at least one processing gas into the processing region and the substrate holder comprises an electrode that can be selectively biased to draw ions generated by the plasma source into the processing region to interact with the at least one processing gas to generate the at least one radical at the surface.
  • In a second embodiment of the invention, a method for reactive ion etching is provided. The method can include forming plasma at a first location and introducing at least one processing gas at a second location corresponding to a surface to be etched, wherein at least one radical of the at least one processing gas is reactive or enables reactivity with the surface to perform etching of the surface. The method can further include directing ions from the plasma to a substrate at second location to interact with the at least one processing gas to generate the at least one radical at the surface.
  • In the various embodiments, the forming of the plasma can include generating the plasma using an inductively coupled plasma source. Further, the introducing of the at least one processing gas can include configuring the gas diffuser to direct the at least one processing gas into the second location. In some configurations, the gas diffuser can be a diffuser ring. Alternatively, the gas diffuser can be a plurality of nozzles.
  • In the various embodiments, the forming of the upstream plasma can include generating the plasma using a magnetically enhanced plasma source or a helicon source, a capacitively coupled plasma source, or any other source of high density plasma. Alternatively, the forming of the upstream plasma can include generating the plasma using a non-high density source, such as a parallel plate capacitor plasma source, an inductively coupled plasma source, a microwave plasma source, an ionization chamber, or any other source of low to normal density plasma. Further, the introducing of the at least one processing gas can include configuring the gas diffuser to direct the at least one processing gas into the second location. In some configurations, the gas diffuser can be at least one diffuser ring. Alternatively, the gas diffuser can be a plurality of nozzles.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic of a system in accordance with the various embodiments;
  • FIG. 2 is a schematic of the system of FIG. 1 utilizing a diffuser ring;
  • FIG. 3 shows a modified etching system in accordance with the various embodiments;
  • FIG. 4 shows a ring diffuser with 1 mm holes in accordance with the various embodiments;
  • FIG. 5A is a plot of NF3 flow rate through the ring diffuser versus etch rate for a system in accordance with the various embodiments;
  • FIG. 5B is a plot of NF3 flow rate through the ring diffuser versus smoothness for a system in accordance with the various embodiments;
  • FIG. 6A is an AFM image of the smoothest substrate obtained with an ring active etch in accordance with the various embodiments;
  • FIG. 6B is an SEM image of the etch region cross section for the substrate of FIG. 6A;
  • FIG. 7A shows residual gas analyzer data for two methods, including a method in accordance with the various embodiments; and
  • FIG. 7B shows a detailed view of a portion of the data of FIG. 7A.
  • DETAILED DESCRIPTION
  • The present invention is described with reference to the attached figures, wherein like reference numerals are used throughout the figures to designate similar or equivalent elements. The figures are not drawn to scale and they are provided merely to illustrate the instant invention. Several aspects of the invention are described below with reference to example applications for illustration. It should be understood that numerous specific details, relationships, and methods are set forth to provide a full understanding of the invention. One having ordinary skill in the relevant art, however, will readily recognize that the invention can be practiced without one or more of the specific details or with other methods. In other instances, well-known structures or operations are not shown in detail to avoid obscuring the invention. The present invention is not limited by the illustrated ordering of acts or events, as some acts may occur in different orders and/or concurrently with other acts or events. Furthermore, not all illustrated acts or events are required to implement a methodology in accordance with the present invention.
  • The various embodiments are directed to etch apparatus and methods that achieve glass high etch rates, at least in the 1-100 μm/min range, for glass substrates resulting in another order of magnitude improvement in the etch rate of glass.
  • In 2004, Yamakawa et al. [19] demonstrated a very high speed etching of silicon dioxide (BPSG) film using microwave excited non-equilibrium atmospheric pressure plasma. The authors were able to demonstrate an ultra-high etch rate of SiO2 (14 μm/min) and an unprecedented selectivity of 200 with respect to silicon using NF3/He along with addition of H2O as the etching gas. In this configuration, the inventors note that the fast etch rate can be attributed to the presence of NFx radicals arising from the breakup of NF3 into NFx*+F*—radicals. NFx—radicals (with x>0). These radicals are extremely aggressive towards SiO2 and other dielectrics (and polymers) and can etch them at extremely high speed. The addition of water vapor (H2O) consumes the fluorine radicals by HF formation (2F*+H2O→2HF+<0>) whereas NFx radicals are much less scavenged. Accordingly, water vapor therefore acts as a selective fluorine radical scavenger and consequently reduces any undesirable attack of silicon. However, if NF3 is completely broken down, e.g., as in high-density, high power plasma, then the plasma primarily consists only of fluorine and nitrogen radicals. Under these conditions, a complete quenching of the fast etch rate of SiO2 and other dielectrics has been observed. The complete breakup of NF3 molecules under appropriate plasma conditions can also confirmed by the plasma color which changes from a deep red to a bluish color, indicating a change in preponderance from NFx to fluorine radicals in the plasma.
  • Thus, the inventors note that a main requirement for speeding up glass etch rate requires the creation of NFx radicals. However, to date no reports of such high etch rates in high density plasma sources such as ICP-RIE systems exist. This is mainly due to the fact that these conditions cannot be readily reproduced in an ICP-RIE system. In an ICP-RIE process, the pressure is typically too low (˜1×10−3 mbar) and the coupling of high RF power results in a complete breakdown of the NF3 into nitrogen and fluorine radicals. The high density of plasma achieved in ICP chambers results in a very efficient transfer of energy between the RF source and the gas in the chamber and essentially breaks up the molecules into individual atomic radicals and ions. To overcome this limitation and to achieve the incomplete breakup of the NF3 molecules, high chamber pressure is required. However, even if the pressure is increased a 100 times, conventional ICP-RIE processes still cannot achieve the results reported in microwave plasma. Furthermore, at these pressures a high mismatch between the source and chamber load occurs and the typical tuning circuits available on the etching equipment are unable to tune. As a result, any resulting plasma is typically extinguished.
  • Although the various embodiments will be described primarily with respect to an ICP system, this is solely for illustrative purposes. That is, the various embodiments are not limited to any particular high density plasma source. For example, the high density plasma can be generated using a magnetically enhanced plasma source, a helicon plasma source, or a capacitively coupled plasma source, to name a few. However, other methods for generating high density or any other source of plasma can be considered as suitable for this application. Thus, an ICP source described below with respect to the various figures can be substituted with any other type of high density or ordinary plasma source.
  • Based on the foregoing, the inventors have determined that in order to exploit the most of the ICP-RIE etchers for glass etching the following conditions are required:
      • a. Operation of the ICP-RIE etcher under its normal operating pressure and power range to obtain efficient plasma conditions.
      • b. Providing for conditions within the chamber to create incomplete breakup of the NF3 molecules to achieve the high etch rate.
      • c. Achieving control over the etch rate, etch smoothness, and anisotropy of the structure independently of the plasma source conditions.
      • d. Introduction of water molecules into the chamber either through the nozzle or any other means in the proximity of the substrate.
  • In view of the foregoing, the various embodiments of the invention are directed to new systems and methods for etching of glass using NF3 gas using ICP-RIE etching. In particular, new systems and method that meet the goals listed above during etching of glass. In the various embodiments, these goals are achieved by incorporating a gas diffuser in the vicinity of the wafer or other material to be etched to provide a localized source of NF3 gas in the ICP-RIE reactor. For example, in one embodiment, a stainless steel gas diffuser ring can be provided on the metal plate on the mechanical clamping plate of the etcher. In particular, the gas diffuser is positioned in the ICP-RIE reactor so that the gas diffuser dispenses NF3 and/or H2O and/or any other gas of interest right above the wafer.
  • In operation, the etch process is imitated in a conventional manner. That is, conventional etch process gases are introduced into the ICP-RIE reactor (e.g., Ar, SF6) and a plasma is generated, remote from the wafer, using normal operating conditions. Concurrently, NF3 gas is introduced in the vicinity of the wafer using the gas diffuser. A substrate bias power is applied during this process, which drives the high energy ions generated in the plasma towards the NF3 gas in the vicinity of the wafer. These ions then interact with the NF3 gas to break it down into NFx radicals. Effectively, this creates a high pressure plasma in the vicinity of the wafer inside a low pressure ICP-RIE chamber. This effective high density NF3 plasma with the incomplete breakdown of the gas molecules is then used to achieve a high etch rate of glasses. In particular, etch rates of 1 μm/min range or higher for glass substrates. In other words, etch rates that are at least an order of magnitude than conventional methods.
  • In another variation, H2O is introduced in the proximity of the substrates/wafer to enhance the reactivity of the NF3 radicals with the glass substrate. Water molecules can react with the NFx and F radicals and scavenge them to create the right etching mixture. Furthermore, water can also help in wetting the glass surface and initiate and mediate reactions on the surface through the interactions with various radicals and ions thus formed in the chamber.
  • Any sequence of gas introduction through the diffuser ring can be considered. For example, alternate introduction of NF3 gas followed by H2O gas, or simultaneous introduction of the two gases, or concurrent introduction using two independent nozzles or diffuser rings. Neither gas is limited to NF3 or H2O only and any gases that contain active species relevant for glass etching can be considered in this arrangement.
  • In the various embodiments, the energy of the bombarding ions from the plasma can be effectively controlled by the substrate bias which provides an independent control to change the etching conditions for tuning the composition of the NFx radicals as well as to control the anisotropy. Further, by controlling the plasma gas composition from the gas diffuser, in-situ reactions and gas chemistries in the vicinity of the wafer can be increased or decreased as needed.
  • FIG. 1 shows a schematic illustration of an ICP-RIE system 100 in accordance with the various embodiments. The system 100 includes many components of a conventional ICP-RIE system. For example, the system 100 can include a plasma chamber 102, serving as a source of inductively coupled plasma or ICP source. The plasma chamber 102 is coupled to a diffusion chamber 104 lined with magnetic portions 106, in which the wafer to be etched is disposed and into which ions from the ICP source are directed towards the wafer to perform the etching. The plasma chamber 102 can include a gas inlet 108 for introducing gases into the plasma chamber 102. This gas inlet can be coupled to a gas delivery system (not shown) for delivering one or more gases. For example, the gas delivery system can be configured to delivery of SF6, C4F8, Ar, O2, CH4, CHF3, CF4, Cl2, Xe, Ne, N2, or NF3, or any combinations thereof. The plasma chamber 102 can also be associated with an antenna or coil 110, an RF matching or tuning network 112 and an RF power supply 113 to provide the energy for generating the plasma in the plasma chamber 102.
  • The diffusion chamber 104 can include a substrate holder and clamp 114 for supporting and securing a wafer. As shown in FIG. 2, the substrate holder 114 can be configured such that the position (i.e., the height) of the wafer in the diffusion chamber can be changed as required to reduce or increase the distance between the plasma chamber 102 and the wafer. During etching, the temperature of the wafer can be controlled using back side Helium cooling lines 116 coupling the substrate holder 108 to a chiller (not shown). The He cooling lines can be configured to allow temperatures between −20-50 ° C. The substrate holder and clamp 114 can be further configured to serve as an electrode coupled to a second RF generator or power supply 116 via a second RF matching/tuning network 115 to provide substrate bias. This second RF generator 116 and second RF matching/tuning network 115 can be configured to be decoupled from and operate independently of the RF generator 113 and RF matching tuning network 112 associated with the plasma chamber 102.
  • Although FIG. 1 and other descriptions refer to discrete plasma and diffusion chambers, the various embodiments are not limited in this regard. That is, in some configurations, a single chamber can be provided with different regions to serve as the plasma chamber 102 and the diffusion chamber 104.
  • In addition to the foregoing, the system 100 can include a gas diffuser 118 coupled to a gas delivery system (not shown) via feedthroughs 120 for introducing NF3 gas and other gases, separately or in combination, into the diffusion chamber 104 in the vicinity of substrate holder 114 when in the elevated position. Such other gases can include, but are not limited to H2O. In the various embodiments, the gas delivery system for the gas diffuser 118 can be the same or different than the gas delivery system for inlet 108. However, providing a separate gas delivery systems for inlet 108 and gas diffuser 118 can be advantageous. That is, separate systems provide greater flexibility and control. For example, the mixture of gases introduced via inlet 108 and gas diffuser 118 can be different.
  • In the various embodiments, the gas diffuser 118 can be implemented in a variety of ways. In some embodiments, as illustrated in FIG. 2, the gas diffuser 118 can be implemented as diffuser ring 218, flexible feedthroughs 220 coupling the diffuser ring 218 to a gas panel 222, and an NF3 gas source 224 coupled to gas panel 222. In one particular embodiment, the diffuser ring 214 can be constructed using a ⅛ inch electro-polished stainless steel tube drilled with 0.5 mm holes at a distance of 10 mm bent into a 4″ circular tube. Thereafter this tube can be welded onto the substrate holder and clamp 108. The tube can be coupled via flexible gas tubing, serving as flexible feedthroughs 220, to the gas panel 222 to permit gas delivery and to account for the different positions of the substrate holder and clamp 108. For example, stainless steel flexible gas tubing using VCR fittings can be used to bring the process gas to the diffuser ring 218. Additionally one or more the flexible feedthroughs 220 can include non-flexible portions or segments 221, as shown in FIG. 3. Further, additional tubing 226 can be provided to connect the various components described above.
  • Although the discussion above describes that the gas diffuser 114 is implemented as a diffuser ring, the various embodiments are not limited in this regard. Rather, any other structure can be used in the various embodiments. For example, the gas diffuser 118 can be implemented using individual nozzles disposed in the vicinity of the substrate. In another example, gas diffuser 118 can be implemented using multiple sections of tubing with holes or openings. Further, although the diffuser ring 214 is described as containing holes or openings disposed substantially along a same plane parallel to that of the wafer, having a particular size, and having a particular spacing, the various embodiments are not limited in this regard. Rather, a gas diffuser in accordance with the various embodiments can provide any placement, spacing, size, or other arrangement of holes or openings for introducing gases into diffusion chamber 104. Similarly, other configurations of gas diffuser 118 can be configured with a wide array of geometries.
  • The gas panel 222 can also be configured in a variety of ways. In one particular embodiment, a precision needle valve can be used to control the gas flow rate of NF3 (or other gases) into the diffusion chamber 104. In one particular embodiment, a NF3 flow rate of 300 sccm or less can be used. However, any other methods for controlling gas flow rate can be used in the various embodiments.
  • In one particular embodiment, a precision needle valve can be used to control the gas flow rate of H2O (or other gases) into the diffusion chamber 104. In one particular embodiment, a H2O flow rate of 300 sccm or less can be used. However, the flow rates and methods for controlling gas flow rate can vary in the various embodiments. In addition to the foregoing, the system 100 can include additional modifications compared to conventional ICP-RIE systems. For example, plasma shielding plates may need to be modified to accommodate the additional components for the system 100. In some embodiments, the system 100 can also be coupled to a mass-spectrometer system (e.g., a residual gas analyzer) to measure the gas species created within the chamber to monitor and adjust the etching process. Additionally, optical windows can be provided to monitor the visible/UV spectra of the plasma and evaluate the plasma glow composition during etching.
  • The modifications described above allow an ICP-RIE reactor in accordance with the various embodiments to be used in a variety of modes. A first mode of operation is simply to utilize the ICP-RIE reactor to perform etching under normal operating conditions. That is, to perform conventional (i.e., low etch rate) etch processes. For such normal operations, the plasma etching occurs by generating a plasma using an etching gas chemistry (e.g., NF3 and Ar) without introducing gases through the gas diffuser. That is, referring back to FIG. 1, gases are introduced view inlet 108 and a plasma 130 is generated in plasma chamber 102. Concurrently, a substrate bias is provided at substrate holder and clamp 114, which causes ions generated in the plasma 130 to be directed towards substrate holder and clamp 114 and any wafer disposed thereon. The ions are then used to perform conventional etching. Such a mode can be utilized when low etch rates are desirable to accurately.
  • A second mode is to utilize the gas diffuser to generate enhanced etching conditions. In such a mode, the system can be initially setup in the first mode, as described above. Thereafter, the etch gas (NF3) can be introduced into the ICP-RIE reactor via the gas diffuser and the enhanced etching (high etch rate) will be performed. That is, as described above, the plasma 130 is generated and the substrate bias is used to direct ions from the plasma 130 towards the wafer. However, via gas diffuser 118, a region 132 with an increased density of NF3 gas, localized around the wafer, is provided. The interaction of the ions from the plasma 130 with the NF3 132 in region 132 causes the generation of NFx radicals. That is, the ions are utilized to break up the NF3 in region 132 to provide the incomplete breakup of NF3. This results in effectively forming a high density plasma of NFx radicals in the vicinity of the wafer. Consequently, the presence of a high concentration of these NFx radicals enhances the etch rate.
  • Although the various embodiments are described with respect to the enhanced etching of glasses using a NF3-based chemistry in an ICP-RIE reactor modified to include a gas diffuser, the various embodiments are not limited in this regard. Rather, the systems and methods described herein can be applied to the etching of any other types of materials and using other types of chemistries.
  • EXAMPLES
  • The following examples and results are presented solely for illustrating the various embodiments and are not intended to limit the various embodiments in any way.
  • Smooth dry etching of some types of borosilicate glass wafers has been a challenge due to nonvolatile or less volatile metal-halogen compounds forming on the surface of the etched area. Thus, the methods of the various embodiments have been evaluated. In particular, to evaluate the methods of the various embodiments, two alternative etching method were investigated in regards of smooth and fast etching; i) Conventional Ar/NF3 downstream plasma etching ii) Ar/O2/NF3 plasma etching where an inductively coupled plasma reactor is modified such that a ring shape diffuser system is adapted to the substrate holder in order to supply high local etchant flux, NF3, over the substrate surface. The latter approach, in accordance with the various embodiments, reduces the full dissociation of NF3 in NF3/AR and O2 based plasma and resulted in forming more reactive species in the plasma RGA (Residual gas analysis) show that the diffuser system allows to form larger amounts of NFx radicals in the plasma. Further, ex-situ X-ray Photoelectron Studies (XPS) showed non-volatile metal alkali compounds are formed on the surface on rough surfaces, with NaF being the prominent compound for the Schott Borofloat glass. For smooth surfaces, less alkali compounds are observed. The results demonstrated that ultra-smooth etch surfaces can be obtained within a window of parameters, where the arithmetic mean smoothness (Ra) varies from 3.4 Å to 8 Å at considerable etch rates of 0.343 μm/min to 0.55 μm/min.
  • I. Introduction
  • One of the most important and challenging parameters in micromachining of Borofloat glass is obtaining smooth surfaces at considerable etch rates [13]. Despite the fact that, controlled surface roughness is shown to be useful for some specific applications [14], surface roughness in general is highly unwanted in most of the applications such as optical applications and micro-electro-mechanical systems (MEMS) [15]. If the surface is rough and wavy, the light is scattered in optical applications. Therefore, high precision optical applications require close to atomically flat surface roughness (Ra˜0.5-1 nm). For micro machined resonators and sensors, roughness negatively affects the quality factor and thus decreases the fabricated device performance. The causes of increased roughness are due to the impurities exist in glass substrates and due to the less percent metal containing compounds other than SiO2, where these metal based compounds form non-volatile or less volatile metal halogens in fluorine containing plasmas (i.e., Argon/SF6) during dry etching. For instance, Borosilicate glass, is mainly composed of 81% of SiO2, 13% of B2O3, 4% of Na2O/K2O and 2% of Al2O3. The non-volatile metal/alkali metal halogens (i.e., NaF and AlF3) forming on the surface cause micro-masking in the etch regions resulting in the formation of needle-like structures and therefore destructing the smoothness. As a solution, highly energetic argon ions are proposed as the physical etch mechanism to remove these compounds from the surface to achieve better roughness, but as the argon percent ratio in the gas mixture is increased, the etch rate decreases significantly [16]. Furthermore, the arithmetic mean roughness (Ra) is still reported on the range of 2 nm to 100 nm in these cases [16]-[19].
  • II. Etching mechanism
  • In this study, an etching system in accordance with the various embodiments was utilized in order to achieve smoothness at considerable etch rates. A radio frequency (RF) inductively coupled plasma etching system (Alcatel AMS 100, manufactured by Alcatel-Lucent of Paris, France) is modified, where a ring diffuser mechanism is attached to the substrate holder. The whole system and the ring are shown in FIGS. 3 and 4. FIG. 3 shows a modified etching system in accordance with the various embodiments and FIG. 4 shows a ring diffuser with 1 mm holes in accordance with the various embodiments. The ring has a diameter of 9.6 cm and has diffuser holes that are placed within 1 mm spacing.
  • In most of the ICP applications and in the case of unmodified etching system chemical and physical etchants are introduced via the gas inlets located at the top of the ICP chamber [20]. The plasma is formed within this chamber and directed towards the substrate in a downstream fashion via the applied electric field between the source and the substrate. The main purpose of the ring diffuser proposed here is to enhance the reactive ion etching via establishing high local flux of reactive species on etch face of the wafer, combining the downstream flux with the local ring flux. Before characterizing the effect of ring design on smoothness, etch rate and hard mask selectivity over substrate, classical downstream etches using Ar and NF3, where the ring is inactive, are performed in sake of determining the etch performance. A set of experiments utilizing design of experiments involving orthogonal arrays are carried out to obtain optimum conventional ring-inactive downstream etching parameters using Ar/NF3 plasma (Please refer to the supplemental information for the detailed experimentation). Double-side polished, 4 inches Borosilicate wafers are used as the substrates during all experiments performed. The wafers are patterned with 100 um, 250 um, 500 um and 1 mm rectangular openings. 2-3 um thick nickel is plated on the wafers, acting as hard mask during the etch. Each sample is etched for 1 hour at 20° C. substrate temperature. The results for fastest and smoothest Ar/NF3 etches are demonstrated in Table 1.
  • TABLE 1
    Baseline results for AR/NF3 conventional ICP plasma.
    ICP Substrate Stage Ar flow NF3 flow Smoothness
    power Power position Pressure rate rate Etch rate (Ra)
    Fastest 2000 W 400 W 120 mm 6.5 × 10−6 bar 10 sccm   30 sccm 0.41 μm/min 79.6 nm
    Smoothest 2000 W 400 W 120 mm  12 × 10−6 bar 30 sccm 12.5 sccm 0.31 μm/min 28.1 nm

    Summarized results from Table 1 are taken into consideration to start experimental design of the ring active experiments. For the ring-active experiments, 20 sccm of Ar and 10 sccm of O2 are introduced from top into the ICP chamber. The ICP power, substrate power and the stage position are all held constant with the ones stated in Table 1. The pressure is not regulated. Various flow rates of NF3 are feed through the ring diffuser. FIGS. 5A and 5B shows the etch rate and recorded operating pressure along with the smoothness in the chamber when the ring is active. The etch depths are measured via a Tencor P16+ profilometer, manufactured by KLA Tencor of Milpitas, Calif. and the roughness is measured via a PSIA XE-100 Scanning Probe Microscope, manufactured by Park Systems Corporation of Santa Clara, Calif.
  • As the ring is utilized and the NF3 flow is kept between 20-50 sccm, high etch rates and ultrasmooth surfaces are obtained. The maximum etch rate, 0.55 μm/min, is achieved at 20 sccm Ar/10 sccm O2/48 sccm NF3 flows. The best smoothness, Ra=3.4 Å, is achieved at 20 sccm flow, where the wall slope was 82.4°. An Atomic Force microscope (AFM) image and an scanning electron microscope (SEM) image of the substrate are shown in FIGS. 6A and 6B, respectively. The obtained smooth substrates can be readily used for optical applications involving high precision (Ra<5 Å) and super precision (Ra<3 Å). Even at the highest etch rate, Ra is measured as 8.4 Å. However, the smoothness is heavily degraded once the ring flow rate is above 50 sccm. In this case, Ra is at least 2 orders of magnitude larger in high flow regions where the chamber pressure is >6.3 μBar.
  • When compared to conventional downstream etching with Ar/NF3 , where the results are tabulated in Table 1, the etch rate with the ring is improved 34%. The nickel selectivity at downstream Ar/NF3 plasma is measured as 7.35:1 over Borosilicate glass. In ring active experiments of FIGS. 4A and 4B, the selectivity is measured as 9.32:1 for the fastest etch rate, indicating a 27% improvement.
  • The superior performance of one etching method among two etching methods is mainly due to the diffuser mechanism. The aim to have diffuser mechanism in place is to decrease the dissociation rate of NF3 and obtain more NFx radicals in tha plasma. It is previously stated that the low percent dissociation of NF3 improves the etching speed of borosilicate glass [21]. In order to determine the gas species forming in the plasma, a Residual Gas Analyzer (Exton XT 100, manufactured by Extorr, Inc. of New Kensington, Pa.) is used. FIGS. 7A and 7B show two RGA data: for the ring active etch at 48 sccm NF3 flow rate and for Ar/NF3 plasma with fastest etch rate shown in Table 1. From FIGS. 7A and 7B, it is clearly observed that the plasma creates considerable partial pressure of NFx (NF+ and NF2 + at the peaks 33 and 52 respectively) radicals in the plasma. In addition, NF3 at peak 71 has considerable partial pressure. However, in conventional Ar/NF3 plasma, there is no peak at NF3, proving a full dissociation in the gas. There are less NF2 and NF radicals, indicating NF3 dissociated mostly to N and F. The highly energetic Argon ions, which is accelerating towards the wafer surface first interacts with a local flux of NF3 gas that is just over the substrate. The collisions between Argon and NF3 create high concentrations of NFx. NFx radicals may act more aggressive to glass and thus improve the etch rate. In addition, heavier gasses are formed when the ring is active. The peak 84 may highly correspond to NF2O2 gas. The authors articulate there may be NFxOx based gas formation when NF3 is diffused through the ring, which may be playing a critical role in smoothness and fast etching. This is further discussed in below.
  • As it is pointed out above, smoother surfaces are obtained via the diffuser system. In order to understand the smoothness achievement further and to determine the surface chemistry by estimating the atomic composition of the surfaces, X-ray Photoelectron Spectroscopy (XPS) is used. Three etching results are compared in XPS study: i) the fastest etch obtained in Ar/NF3 etching system shown in table 1 ii) Ring active etch with 20 sccm NF3 flow iii) Ring active etch with 80 sccm flow. All these have 79.6 nm, 0.34 nm and 350 nm of Ra respectively. These 3 runs are selected to compare two different etching methods and determine the effect of ring diffuser system on roughness. As previous studies in literature indicate, the non-volatile species negatively affect the smoothness. Not only the smoothness is lost by these species, but the etch rate also decreases (the degree of decrease depending on the composition of the glass type) as the non-volatile species concentration/accumulation on the substrate surface increases during the etching [22]. Therefore, high resolution XPS scans have been performed for Sodium (Na), Boron (B), Aluminum (Al) and Potassium (K). Table 2 shows the atomic percent calculations of 3 etching results emphasized.
  • TABLE 2
    Approximate atomic percent calculations from XPS surveys for 3 different etch cases
    comparing two etching approach. All values are in percent.
    Si 2s O 1s F 1s Na 1s B 1s Al 2s K 2s Ni 2p
    Ar/NF3 fastest etch 4.01 8.979 57.76 18.56 ND* 7.646 1.279 1.768
    Ring active etch- 20 sccm 20.7 51.696 15.751 7.526 2.105 2.22 ND* ND*
    NF3
    Ring active etch- 80 sccm 4.483 6.487 56.448 20.946 4.144 5.102 1.887 ND*
    NF3
    Unprocessed wafer 27.91 66.92 ND* 0.46 4.69 ND* ND* ND*
    *ND: Not Detectable amount.
  • From approximate atomic percent calculations, it is observed that the rough samples have high Al, K and Na percentage. However, it is interesting to note that Na is the most prominent amongst them. For the conventional Ar/NF3 etching and high flow ring active etching have very high Na concentrations (>15%) at the surface, whereas smooth ring active etch has 7.5% Na concentration. When Ra roughness is considered, this much of difference in Na percentages causes 2 orders of magnitude worse roughness. These results infer that the smoothness is enhanced via ion enhanced chemical reaction introduced by the ring diffuser system.
  • In conclusion, a system configured in accordance with the various embodiments can be used to obtain smooth surfaces on borosilicate glass and is integrated with a commercial ICP etching system, where NF3 is supplied to the diffuser. The effects of the mechanism are compared with conventional Ar/NF3 plasma etching. Ultra-smooth etching is achieved with Ra<5 Å at considerable etch rates. The smoothness is degraded at high flows of NF3 (>50 sccm). The main reason of this degradation is due to the high percentages of Na (in NaF form) on the surface. At smooth etches, the Na concentration is found out to be less in atomic percent. The authors articulate that higher amount of NFx radicals and possible existence NFxOx gasses effectively remove Na from the surface in the light of RGA readouts.
  • While various embodiments of the present invention have been described above, it should be understood that they have been presented by way of example only, and not limitation. Numerous changes to the disclosed embodiments can be made in accordance with the disclosure herein without departing from the spirit or scope of the invention. Thus, the breadth and scope of the present invention should not be limited by any of the above described embodiments. Rather, the scope of the invention should be defined in accordance with the following claims and their equivalents.
  • Although the invention has been illustrated and described with respect to one or more implementations, equivalent alterations and modifications will occur to others skilled in the art upon the reading and understanding of this specification and the annexed drawings. In addition, while a particular feature of the invention may have been disclosed with respect to only one of several implementations, such feature may be combined with one or more other features of the other implementations as may be desired and advantageous for any given or particular application.
  • The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the invention. As used herein, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. Furthermore, to the extent that the terms “including”, “includes”, “having”, “has”, “with”, or variants thereof are used in either the detailed description and/or the claims, such terms are intended to be inclusive in a manner similar to the term “comprising.”
  • Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs. It will be further understood that terms, such as those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein.
  • REFERENCES
  • The following references describe concepts associated with various aspects of the invention and are each incorporated by reference in their entirety:
    • [1] L. Li, T. Abe, and M. Esashi, Journal of Vacuum Science & Technology B (Microelectronics and Nanometer Structures), vol. 21, p. 2545, 2003.
    • [2] X. Li, T. Abe, and M. Esashi, Proceedings of IEEE Thirteenth Annual International Conference on Micro Electro Mechanical Systems, Miyazaki, Japan, 2000, p. 271.
    • [3] A. Goyal, V. Hood, and S. Tadigadapa, Journal of Non-Crystalline Solids, vol. 352, p. 657, 2006.
    • [4] S. Queste, R. Salut, S. Clatot, J.-Y. Rauch, G. Chantal, and M. Khan, Microsystems Technology, vol. 16, pp. 1485-1493, 2010.
    • [5] S. Karecki, R. Chatterjee, L. Pruette, R. Reif, T. Sparks, L. Beu, V. Vartanian, and K. Novoselov, Journal of the Electrochemical Society, vol. 148, pp. 141-9, 2001/03/2001.
    • [6] S. S. Choi, D. W. Kim, and M. J. Park, Journal of the Korean Physical Society, vol. 45, pp. 1500-1504, December 2004.
    • [7] C.-H. Yang and C.-M. Dai, Proceedings of the SPIE—The International Society for Optical Engineering Optical Microlithography XI, 25-27 Feb. 1998, vol. 3334, pp. 553-8, 1998//1998.
    • [8] F. H. Bell, O. Joubert, G. S. Oehrlein, Y. Zhang, and D. Vender, Journal of Vacuum Science & Technology A (Vacuum, Surfaces, and Films), vol. 12, pp. 3095-101, 1994/11/1994.
    • [9] G. Adegboyega, I. PerezQuintana, A. Poggi, E. Susi, and M. Merli, Journal of Vacuum Science & Technology B, vol. 15, pp. 623-628, May-June 1997.
    • [10] B. A. Cruden, M. V. V. S. Rao, S. P. Sharma, and M. Meyyappan, Journal of Vacuum Science & Technology B (Microelectronics and Nanometer Structures), vol. 20, pp. 353-63, 2002/01/2002.
    • [11] A. Nagy, Optical Engineering, vol. 31, pp. 335-340, February 1992.
    • [12] C. H. Lin, G. B. Lee, Y. H. Lin, and G. L. Chang, Journal of Micromechanics and Microengineering, vol. 11, pp. 726-732, November 2001.
    • [13] Xinghua Li, Takashi Abe, and Masayoshi Esashi, Sensors and Actuators A: Physical 87 (3), 139 (2001).
    • [14] E. Hein, D. Fox, and H. Fouckhardt, Journal of Applied Physics 107 (3), 033301 (2010).
    • [15] A. M. Hynes, H. Ashraf, J. K. Bhardwaj, J. Hopkins, I. Johnston, and J. N. Shepherd, Sensors and Actuators A: Physical 74 (1-3), 13 (1999); Lee A. Donohue, Janet Hopkins, Richard Barnett, Andrew Newton, and Anthony Barker, 44 (2004).
    • [16] T. Ichiki, Y. Sugiyama, R. Taura, T. Koidesawa, and Y. Horiike, Thin Solid Films 435 (1), 62 (2003).
    • [17] D. A. Zeze, R. D. Forrest, J. D. Carey, D. C. Cox, I. D. Robertson, B. L. Weiss, and S. R. P. Silva, Journal of Applied Physics 92 (7), 3624 (2002).
    • [18] S. Queste, R. Salut, S. Clatot, J. Y. Rauch, and ChantalG Khan Malek, Microsystem Technologies 16 (8-9), 1485 (2010).
    • [19] Abhijat Goyal, Vincent Hood, and Srinivas Tadigadapa, 61110P (2006).
    • [20] H. Sugai, K. Nakamura, Y. Hikosaka, and M. Nakamura, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 13 (3), 887 (1995).
    • [21] Koji Yamakawa, Masaru Hori, Toshio Goto, Shoji Den, Toshirou Katagiri, and Hiroyuki Kano, Applied Physics Letters 85 (4), 549 (2004).
    • [22] EzzEldin Metwalli and Carlo G. Pantano, Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms 207 (1), 21 (2003).

Claims (20)

What is claimed is:
1. A system for reactive ion etching (RIE) system of a material, comprising:
a plasma chamber comprising a plasma source and a gas inlet; and
a diffusion chamber comprising a substrate holder for supporting a substrate with a surface comprising the material and a gas diffuser; and
a source of at least one processing gas coupled to the gas diffuser,
wherein at least one radical of the at least one processing gas is reactive with the material to perform etching of the material, wherein the substrate holder is configured to support the substrate within a processing region of the diffusion chamber, wherein the gas diffuser is configured to introduce the at least one processing gas into the processing region, and wherein the substrate holder comprises an electrode that can be selectively biased to draw ions generated by the plasma source into the processing region to interact with the at least one processing gas to generate the at least one radical at the surface.
2. The RIE system of claim 1, wherein the plasma source comprises a high density plasma source.
3. The RIE system of claim 1, wherein the high density plasma source comprises one of an inductively coupled plasma source, a capacitively coupled plasma source, magnetically enhanced plasma source, or a helicon plasma source.
4. The RIE system of claim 1, wherein the gas diffuser comprises a diffuser ring.
5. The RIE system of claim 1, wherein the diffuser ring is attached to the substrate holder.
6. The RIE system of claim 1, wherein the gas diffuser comprises a plurality of nozzles.
7. The RIE system of claim 1, wherein the gas inlet is coupled to a first gas delivery system and the gas diffuser is coupled to a second gas delivery system.
8. The RIE system of claim 7, wherein the first gas delivery system is configured for delivering at least one gas comprising at least one of fluorine or chlorine, Ar, O2, or any combinations thereof and the second gas delivery system is configured for delivering NF3.
9. The RIE system of claim 8, wherein the at least one gas comprising at least one of fluorine or chlorine comprises at least one of SF6, C4F8, CH4, or NF3.
10. The RIE system of claim 8, wherein the first gas delivery system is configured for delivering Ar and NF3.
11. A method for reactive ion etching, the method comprising:
forming a plasma at a first location;
introducing at least one processing gas at a second location corresponding to a surface to be etched, wherein at least one radical of the at least one processing gas is reactive with the surface to perform etching of the surface; and
directing ions from the plasma to a substrate at second location to interact with the at least one processing gas to generate the at least one radical at the surface.
12. The method of claim 11, wherein forming the plasma comprises generating the plasma using a high density plasma source.
13. The method of claim 12, wherein the high density plasma source is selected from an inductively coupled plasma source, a capacitively coupled plasma source, magnetically enhanced plasma source, or a helicon plasma source.
14. The method of claim 11, wherein introducing the at least one processing gas comprises providing a gas diffuser configured to direct the at least one processing gas into the second location.
15. The method of claim 12, wherein the gas diffuser comprises a diffuser ring.
16. The method of claim 12, wherein the gas diffuser comprises a plurality of nozzles.
17. The method of claim 11, wherein the forming of the plasma comprises:
directing at least one other processing gas into a plasma chamber;
generating the plasma by exciting the at least one processing gas in the plasma chamber.
18. The method of claim 17, further comprising selecting the at least one other processing gas to comprise at least one gas comprising at least one of fluorine or chlorine, Ar, O2, or any combinations thereof and selecting the at least one processing gas introduced at the second location to comprise NF3.
19. The method of claim 18, wherein the at least one gas comprising at least one of fluorine or chlorine comprises at least one of SF6, C4F8, CH4, or NF3.
20. The method of claim 17, selecting the at least one other processing gas to comprise Ar and NF3.
US14/053,066 2012-12-14 2013-10-14 Ultra-high speed anisotropic reactive ion etching Abandoned US20140166618A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US14/053,066 US20140166618A1 (en) 2012-12-14 2013-10-14 Ultra-high speed anisotropic reactive ion etching
US14/964,023 US9966232B2 (en) 2012-12-14 2015-12-09 Ultra-high speed anisotropic reactive ion etching

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261737282P 2012-12-14 2012-12-14
US14/053,066 US20140166618A1 (en) 2012-12-14 2013-10-14 Ultra-high speed anisotropic reactive ion etching

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/964,023 Division US9966232B2 (en) 2012-12-14 2015-12-09 Ultra-high speed anisotropic reactive ion etching

Publications (1)

Publication Number Publication Date
US20140166618A1 true US20140166618A1 (en) 2014-06-19

Family

ID=50929732

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/053,066 Abandoned US20140166618A1 (en) 2012-12-14 2013-10-14 Ultra-high speed anisotropic reactive ion etching
US14/964,023 Active US9966232B2 (en) 2012-12-14 2015-12-09 Ultra-high speed anisotropic reactive ion etching

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/964,023 Active US9966232B2 (en) 2012-12-14 2015-12-09 Ultra-high speed anisotropic reactive ion etching

Country Status (2)

Country Link
US (2) US20140166618A1 (en)
WO (1) WO2014092856A1 (en)

Cited By (135)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130098293A1 (en) * 2011-10-20 2013-04-25 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
US20140248780A1 (en) * 2013-03-01 2014-09-04 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20150034592A1 (en) * 2013-07-30 2015-02-05 Corporation For National Research Initiatives Method for etching deep, high-aspect ratio features into glass, fused silica, and quartz materials
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9355863B2 (en) 2012-12-18 2016-05-31 Applied Materials, Inc. Non-local plasma oxide etch
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9384997B2 (en) 2012-11-20 2016-07-05 Applied Materials, Inc. Dry-etch selectivity
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9412608B2 (en) 2012-11-30 2016-08-09 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9418858B2 (en) 2011-10-07 2016-08-16 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9449850B2 (en) 2013-03-15 2016-09-20 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US20170178894A1 (en) * 2015-12-18 2017-06-22 Applied Materials, Inc. Cleaning method
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
WO2018050551A1 (en) 2016-09-13 2018-03-22 Robert Bosch Gmbh Cantilevered shear resonance microphone
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9953843B2 (en) 2016-02-05 2018-04-24 Lam Research Corporation Chamber for patterning non-volatile metals
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
WO2018195532A1 (en) * 2017-04-21 2018-10-25 Lam Research Corporation Rapid chamber clean using concurrent in-situ and remote plasma sources
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10208380B2 (en) * 2015-12-04 2019-02-19 Applied Materials, Inc. Advanced coating method and materials to prevent HDP-CVD chamber arcing
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049725B1 (en) * 2014-05-29 2021-06-29 Corporation For National Research Initiatives Method for etching deep, high-aspect ratio features into silicon carbide and gallium nitride
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210035767A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Methods for repairing a recess of a chamber component

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6074518A (en) * 1994-04-20 2000-06-13 Tokyo Electron Limited Plasma processing apparatus
US6645870B2 (en) * 2001-07-11 2003-11-11 Hitachi, Ltd. Process for fabricating semiconductor device
US20050093460A1 (en) * 2003-11-04 2005-05-05 Samsung Electronics Co., Ltd. Helical resonator type plasma processing apparatus
US20060177600A1 (en) * 2005-02-08 2006-08-10 Applied Materials, Inc. Inductive plasma system with sidewall magnet
US20070037397A1 (en) * 2005-08-11 2007-02-15 Applied Materials, Inc. Two-piece dome with separate RF coils for inductively coupled plasma reactors
US20080289576A1 (en) * 2007-05-23 2008-11-27 Samsung Electronics Co., Ltd. Plasma based ion implantation system
US20090215251A1 (en) * 2008-02-25 2009-08-27 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US20130319615A1 (en) * 2012-06-04 2013-12-05 Psk Inc. Apparatus and method for treating substrates

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4283249A (en) 1979-05-02 1981-08-11 International Business Machines Corporation Reactive ion etching
US4654112A (en) 1984-09-26 1987-03-31 Texas Instruments Incorporated Oxide etch
US4950376A (en) * 1988-06-21 1990-08-21 Agency Of Industrial Science & Technology Method of gas reaction process control
RU2022053C1 (en) * 1991-03-14 1994-10-30 Головное конструкторское бюро научно-производственного объединения "Энергия" им.акад.С.П.Королева Apparatus for ion-plasma cleaning of dielectric surfaces
US5413670A (en) 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
RU2094960C1 (en) * 1996-02-23 1997-10-27 Закрытое акционерное общество "Техно-ТМ" Method and device for plasma treatment of surfaces
JPH10321610A (en) 1997-03-19 1998-12-04 Fujitsu Ltd Manufacture of semiconductor device
US6541164B1 (en) * 1997-10-22 2003-04-01 Applied Materials, Inc. Method for etching an anti-reflective coating
JP4910231B2 (en) 2000-10-25 2012-04-04 ソニー株式会社 Manufacturing method of semiconductor device
RU2187168C1 (en) * 2000-12-18 2002-08-10 Томский государственный университет систем управления и радиоэлектроники Device for ion treatment of materials
JP4729884B2 (en) 2003-09-08 2011-07-20 東京エレクトロン株式会社 Plasma etching method
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
US20050241671A1 (en) 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
US20060032833A1 (en) 2004-08-10 2006-02-16 Applied Materials, Inc. Encapsulation of post-etch halogenic residue
RU2293796C2 (en) * 2005-01-11 2007-02-20 Институт микроэлектроники и информатики РАН Plasmo-chemical low-pressure reactor for etching and depositing materials
US8278222B2 (en) 2005-11-22 2012-10-02 Air Products And Chemicals, Inc. Selective etching and formation of xenon difluoride
MX2008013608A (en) 2006-05-26 2009-02-12 Nat Oilwell Varco Lp Apparatus and method for separtating solids from a solids laden liquid.
RU2374358C1 (en) * 2008-04-30 2009-11-27 Открытое акционерное общество "Государственный научно-исследовательский и проектный институт редкометаллической промышленности "Гиредмет" Method of carbon-bearing coating receiving
JP5356732B2 (en) * 2008-06-06 2013-12-04 株式会社日立ハイテクノロジーズ Vacuum processing equipment
US20100099263A1 (en) 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US8043434B2 (en) * 2008-10-23 2011-10-25 Lam Research Corporation Method and apparatus for removing photoresist
US9181619B2 (en) * 2010-02-26 2015-11-10 Fujifilm Corporation Physical vapor deposition with heat diffuser
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8920599B2 (en) * 2010-10-19 2014-12-30 Applied Materials, Inc. High efficiency gas dissociation in inductively coupled plasma reactor with improved uniformity
US8999856B2 (en) * 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9095038B2 (en) * 2011-10-19 2015-07-28 Advanced Micro-Fabrication Equipment, Inc. Asia ICP source design for plasma uniformity and efficiency enhancement

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6074518A (en) * 1994-04-20 2000-06-13 Tokyo Electron Limited Plasma processing apparatus
US6645870B2 (en) * 2001-07-11 2003-11-11 Hitachi, Ltd. Process for fabricating semiconductor device
US20050093460A1 (en) * 2003-11-04 2005-05-05 Samsung Electronics Co., Ltd. Helical resonator type plasma processing apparatus
US20060177600A1 (en) * 2005-02-08 2006-08-10 Applied Materials, Inc. Inductive plasma system with sidewall magnet
US20070037397A1 (en) * 2005-08-11 2007-02-15 Applied Materials, Inc. Two-piece dome with separate RF coils for inductively coupled plasma reactors
US20080289576A1 (en) * 2007-05-23 2008-11-27 Samsung Electronics Co., Ltd. Plasma based ion implantation system
US20090215251A1 (en) * 2008-02-25 2009-08-27 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US20130319615A1 (en) * 2012-06-04 2013-12-05 Psk Inc. Apparatus and method for treating substrates

Cited By (193)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9418858B2 (en) 2011-10-07 2016-08-16 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US20130098293A1 (en) * 2011-10-20 2013-04-25 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
US9410247B2 (en) * 2011-10-20 2016-08-09 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US9384997B2 (en) 2012-11-20 2016-07-05 Applied Materials, Inc. Dry-etch selectivity
US9412608B2 (en) 2012-11-30 2016-08-09 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9355863B2 (en) 2012-12-18 2016-05-31 Applied Materials, Inc. Non-local plasma oxide etch
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9362130B2 (en) * 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140248780A1 (en) * 2013-03-01 2014-09-04 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9659792B2 (en) 2013-03-15 2017-05-23 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9449850B2 (en) 2013-03-15 2016-09-20 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9704723B2 (en) 2013-03-15 2017-07-11 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9576773B2 (en) * 2013-07-30 2017-02-21 Corporation For National Research Initiatives Method for etching deep, high-aspect ratio features into glass, fused silica, and quartz materials
US20150034592A1 (en) * 2013-07-30 2015-02-05 Corporation For National Research Initiatives Method for etching deep, high-aspect ratio features into glass, fused silica, and quartz materials
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9564296B2 (en) 2014-03-20 2017-02-07 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US11049725B1 (en) * 2014-05-29 2021-06-29 Corporation For National Research Initiatives Method for etching deep, high-aspect ratio features into silicon carbide and gallium nitride
US11075086B1 (en) * 2014-05-29 2021-07-27 Corporation For National Research Initiatives Method for etching deep, high-aspect ratio features into silicon carbide and gallium nitride
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10208380B2 (en) * 2015-12-04 2019-02-19 Applied Materials, Inc. Advanced coating method and materials to prevent HDP-CVD chamber arcing
US10655223B2 (en) * 2015-12-04 2020-05-19 Applied Materials, Inc. Advanced coating method and materials to prevent HDP-CVD chamber arcing
US10861693B2 (en) * 2015-12-18 2020-12-08 Applied Materials, Inc. Cleaning method
US20170178894A1 (en) * 2015-12-18 2017-06-22 Applied Materials, Inc. Cleaning method
US9953843B2 (en) 2016-02-05 2018-04-24 Lam Research Corporation Chamber for patterning non-volatile metals
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10063978B2 (en) 2016-09-13 2018-08-28 Akustica, Inc. Cantilevered shear resonance microphone
WO2018050551A1 (en) 2016-09-13 2018-03-22 Robert Bosch Gmbh Cantilevered shear resonance microphone
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
WO2018195532A1 (en) * 2017-04-21 2018-10-25 Lam Research Corporation Rapid chamber clean using concurrent in-situ and remote plasma sources
CN110537241A (en) * 2017-04-21 2019-12-03 朗姆研究公司 Quick room cleaning is carried out using simultaneous original position and remote plasma source
KR102598863B1 (en) * 2017-04-21 2023-11-03 램 리써치 코포레이션 Rapid chamber cleaning using simultaneous in-situ and remote plasma sources
KR20190133282A (en) * 2017-04-21 2019-12-02 램 리써치 코포레이션 Rapid chamber cleaning with simultaneous in situ plasma and remote plasma sources
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Also Published As

Publication number Publication date
US9966232B2 (en) 2018-05-08
US20160099132A1 (en) 2016-04-07
WO2014092856A1 (en) 2014-06-19

Similar Documents

Publication Publication Date Title
US9966232B2 (en) Ultra-high speed anisotropic reactive ion etching
US10043674B1 (en) Germanium etching systems and methods
JP5207406B2 (en) Plasma processing method
US9378969B2 (en) Low temperature gas-phase carbon removal
US20150371865A1 (en) High selectivity gas phase silicon nitride removal
TW201709267A (en) Cleaning high aspect ratio vias
US9960049B2 (en) Two-step fluorine radical etch of hafnium oxide
JP2009503270A (en) Use of NF3 to remove surface deposits
JP2007531280A (en) Minimum scallop substrate processing method
US11062921B1 (en) Systems and methods for aluminum-containing film removal
US11335565B2 (en) Systems and methods to form airgaps
KR100433098B1 (en) Method of anisotropic plasma etching using non-chlorofluorocarbon, fluorine-based chemistry
US20220351979A1 (en) Systems and methods for selective metal compound removal
US11631589B2 (en) Metal etch in high aspect-ratio features
US20230015080A1 (en) Metal oxide directional removal
US10755941B2 (en) Self-limiting selective etching systems and methods
US11682560B2 (en) Systems and methods for hafnium-containing film removal
US10872778B2 (en) Systems and methods utilizing solid-phase etchants
US10886137B2 (en) Selective nitride removal
US20230290647A1 (en) Metal deposition and etch in high aspect-ratio features
JP4958658B2 (en) Plasma processing method
JP5284679B2 (en) Plasma etching method

Legal Events

Date Code Title Description
AS Assignment

Owner name: THE PENN STATE RESEARCH FOUNDATION, PENNSYLVANIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:TADIGADAPA, SRINIVAS;HATIPOGLU, GOKHAN;REEL/FRAME:035392/0896

Effective date: 20150305

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION