US20130140273A1 - Slurry for chemical mechanical polishing of cobalt - Google Patents

Slurry for chemical mechanical polishing of cobalt Download PDF

Info

Publication number
US20130140273A1
US20130140273A1 US13/813,699 US201113813699A US2013140273A1 US 20130140273 A1 US20130140273 A1 US 20130140273A1 US 201113813699 A US201113813699 A US 201113813699A US 2013140273 A1 US2013140273 A1 US 2013140273A1
Authority
US
United States
Prior art keywords
slurry
chemical mechanical
chosen
mechanical polishing
polishing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/813,699
Inventor
Haisheng Lu
Xinping Qu
Jingxuan Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fudan University
Original Assignee
Fudan University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fudan University filed Critical Fudan University
Assigned to FUDAN UNIVERSITY reassignment FUDAN UNIVERSITY ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LU, Haisheng, QU, Xinping, WANG, JINGXUAN
Publication of US20130140273A1 publication Critical patent/US20130140273A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/28Acidic compositions for etching iron group metals
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Definitions

  • the present invention relates to microelectronics technology, especially relates to chemical mechanical polishing (CMP) slurries and related applications.
  • CMP chemical mechanical polishing
  • the size of the copper interconnect structure is getting more and more smaller.
  • the thickness of barrier or adhesion layer in the copper interconnect structure is getting thinner.
  • the traditional copper barrier/adhesion layer stack—Ta/TaN is not suitable any more, as the resistivity of Ta is relatively high and copper cannot be directly electroplated onto Ta. Compared with Ta, cobalt has lower resistivity and is relatively cheaper.
  • the adhesion between Cu and Co is good. Cu can easily nucleate on Co, also copper can be directly electroplated on cobalt.
  • Porous low k dielectrics material has been already used in the current interconnect structures. It is reported that low k can be easily damaged by plasma or polishing slurries. In current chemical mechanical polishing processing, to reduce the damage to low-k dielectrics, most of the current slurries used for copper and barriers are acidic. But it is observed that copper and cobalt easily suffered from dissolution in acidic solution containing oxidant (H 2 O 2 ). This makes the polishing rate of copper and cobalt is so high that it will induce the dishing of copper line. The dissolution of the cobalt adhesion layer on the sidewall of the copper interconnect structure can lead to the delamination of copper lines and cause reliability problem. So adding effective inhibitors in the acid slurry is very important, which can reduce the polishing rate of Co, and prevent the dissolution of Co layer. along the sidewall of the copper interconnect structure.
  • the present invention is to provide a kind of slurry used for chemical mechanical polishing in microelectronics technology.
  • the slurries of the present invention can effectively inhibit the cobalt corrosion rate in the acid slurry, which can prevent the reliability problem caused by over polishing or corrosion.
  • the present invention provides a kind of slurry for chemical mechanical polishing of cobalt, which comprises components by weight as follows, inhibitor 0.01-2%, oxidant 0-5%, abrasive 0.1-10%, complexing agent 0.001-10%, and the rest of water.
  • the pH value of the slurries is adjusted to 3-5 by pH value adjustor.
  • the inhibitor is chosen one or more from the five-membered heterocycle compound containing S and N atoms or containing S or N atom, and their derivatives.
  • the role of the inhibitor is to reduce the corrosion rate of Cu and Co in the slurry, which can improve the efficiency of planarization, reduce the dishing defects and prevent the dissolution of adhesion layer along the sidewall of the interconnect structure.
  • the oxidant is chosen one or more from H 2 O 2 , (NH 4 ) 2 S 2 O 8 , KIO 4 , KClO 5 .
  • the role of oxidant is to oxidize Cu, Co and the barrier metal into the corresponding metal oxides, hydroxides or ions.
  • the best choice is H 2 O 2 .
  • the weight proportion of the oxidant in the slurry is in the range of 0-5%, and the best weight proportion is 0.5%-5%.
  • the abrasive is chosen one or more from SiO 2 , CeO 2 , and Al 2 O 3 .
  • the role of abrasive is to remove the metal or metal reaction products which contact with abrasive particles through mechanical friction force.
  • the best choice is colloidal SiO 2 .
  • the size of the abrasive particles has influence on the polishing rate and surface roughness. In the present invention, the size of the abrasive is in the range of 10-100 nm.
  • the complexing agent is chosen one or more from amino acid and citric acid.
  • the role of the complexing agent is to react with the metal ions on the metal surface or in the slurry, which reduces the metal particles in the slurry and prevents the metal ions contamination.
  • Said slurry for chemical mechanical polishing of cobalt therein the slurries have the list proportion of components by weight: inhibitor 0.01-1%, oxidant 0.5-2%, abrasives 1-5%, complexing agent 0.4%, and the rest of water.
  • the inhibitor can be chosen one or more from Benzotriazole, 2-mercaptothiazole, 2-mercaptobenzothiazole, imidazole, 2-Aminobenzimidazole, 2-Mercaptobenzimidazole and 2-Methylbenzimidazole.
  • the best choice is Benzotriazole and 2-mercaptothiazole. Benzotriazole and 2-mercaptothiazole can effectively prevent the corrosion of Cu and Co.
  • Said slurry for chemical mechanical polishing of cobalt therein the chosen complexing agent can be one or more kinds of amino acid, such as glycine, glutamic, leucine and arginine acid.
  • the complexing agent can also be other organic acid, such as citric acid. The best choice is glycine as complexing agent.
  • Said slurry for chemical mechanical polishing of cobalt therein the pH value adjustor is chosen one or more from nitric acid, sulphuric acid, dilute acetic acid, hydrochloric acid, potassium hydroxide, sodium hydroxide, sodium carbonate and sodium bicarbonate.
  • the best choices are nitric acid and potassium hydroxide.
  • Co is used as adhesion or barrier layer for copper interconnects
  • Co can also be used as nano-crystalline in memory device and metal gate in MOSFET. All the use of Co, cobalt alloy and cobalt compounds in the electric circuit, and the process needing polishing process, are included in the applications of the present invention.
  • the provided slurries in the present invention have the pH value in the range of 3-5. If the pH value of the slurry is too low, especially when the pH value is lower than 2, the CMP slurry can cause corrosion on the copper lines and the polishing equipment. If the pH value is in the range of 6-8, the SiO 2 abrasives are not stable. If the pH value of the slurry is very high, especially when the pH value is higher than 9, the slurries can damage the low-k dielectrics. So in the present invention, the pH values of the slurries are adjusted in the range of 3-5.
  • the slurries for chemical mechanical polishing of Co in the present invention can effectively inhibit Co corrosion rate and reduce the polishing rate of Co, which can effectively reduce the defects after polishing process.
  • FIG. 1 a is the cross-sectional schematic structure of the dual damascene structure before polishing.
  • FIG. 1 b is the cross-sectional schematic structure of the dual damascene structure after polishing.
  • FIG. 3 is the polishing rate of Co as a function of Benzotriazole concentration in the slurries in embodiment 2.
  • the method of applying the slurries in the present invention is similar as the applying of traditional slurries.
  • wafer is held face down by the polishing head on the polishing pad, and the slurries are pumped on the polishing pad and is spread uniformly on the wafer surface with the rotation of polishing platen.
  • the copper and adhesion/barrier layers on the top of the dielectrics are polished away as the pressure is added between wafer and polishing pad, with the rotation of polishing head and platen.
  • FIG. 1 a is the cross-sectional schematic structure of the dual damascene structure before polishing.
  • FIG. 1 b is the crosssectional schematic structure of the dual damascene structure after polishing by using the slurries in the present invention.
  • dielectrics 10 could be silicon dioxide, or low-k dielectrics.
  • the materials of copper adhesion/barrier layer 20 could be stack containing Co and metal nitrides or metal carbon nitrides, such as Co/TaN, Co/TiN, Co/TaCN, Co/TiCN, or could be a single cobalt alloy layer, such as CoMo, CoTa, CoTi and CoW.
  • the method of depositing adhesion/barrier layer could be Physical vapor deposition (PVD), Atomic layer deposition (ALD), or chemical vapor deposition(CVD).
  • the material of etch stop layer 30 could be SiN, SiC, SiCN and other hard etching dielectrics materials.
  • the components of slurries 1 wt % colloidal SiO 2 ; 0.75 wt % glycine; 0.12 wt % 2-mercaptothiazole; and the rest of water. Using the diluted nitric acid and potassium hydroxide to adjust the pH value to 3.
  • the polisher is CP-4 bench top polisher made by CETR Company in this embodiment.
  • the polishing pressure is 2 psi
  • flow rate is 100 ml/min
  • rotation rate is 150 rpm for both of polishing head and platen.
  • the slurries is the same as those in embodiment 1 except without 2-mercaptothiazole;
  • the polishing equipment and mechanical parameters are also the same as those in embodiment 1.
  • Table 1 lists the corrosion rate and polishing rate of Co in embodiment 1 and Contrast 1.
  • the polishing rate and corrosion rate of Co are obviously reduced.
  • the thickness of the adhesion layer is not greater than 5 nm, preventing the corrosion of Co is very important.
  • the corrosion rate of Co is controlled to a low value and the polishing rate of Co is controlled to 27 nm/min. this indicates 2-mercaptothiazole is a good inhibitor for Co corrosion.
  • the components of slurries 5 wt % colloidal SiO 2 ; 0.5 wt % H 2 O 2 ; 0.75 wt % glycine; 0-0.24 wt % Benzotriazole; and the rest of water.
  • the pH value of the slurry is adjust to 5.
  • the concentrations of Benzotriazole are controlled to 0.06 wt %, 0.12 wt %, 0.18 wt %, 0.24 wt % for all the slurries.
  • the polisher is CP-4 bench top polisher made by CETR Company in this embodiment.
  • the polishing pressure is 2 psi
  • flow rate is 100 ml/min
  • rotation rate is 150 rpm for both of polishing head and platen.
  • Table 2 lists the corrosion rate of Co in the slurries with different concentrations of Benzotriazole.
  • FIG. 3 shows the polishing rate of Co as a function of concentrations of Benzotriazole in embodiment 2.
  • the polishing rate of Co is reduced steadily as the increase of Benzotriazole concentration.
  • the polishing rate is reduced from 484 nm/min to 75 nm/min. and the corrosion rate of Co is small (2 nm/min) when Benzotriazole concentration is 0.24 wt %.
  • the polishing rate of 75 nm/min is enough for polishing the Co or Co alloy adhesion layer with thickness less than 5 nm.
  • the present invention involves a polishing technology using Co or Co alloy as a metal layer in the integrated circuit.
  • Co is mainly used as a barrier layer or adhesion layer for copper metallization, but Co could also be used as nano-crystalline in memory devises, self-aligned silicide and metal gate for MOSFET. All the use of Co, cobalt alloy and cobalt compounds in the electric circuits, and the processes needing polishing process, are included in the applications of the present invention.

Abstract

A slurry for chemical mechanical polishing of Co. The slurry comprises components by weight as follows, Inhibitor 0.01-2%, Oxidant 0-5%, Abrasive 0.1-10%, Complexing agent 0.001-10%, and the rest of water. The pH value of the slurries is adjusted to 3-5 by a pH value adjustor. The inhibitor is chosen from one or more kinds of five-membered heterocycle compound containing S and N atoms or containing S or N atom. The oxidant is one or more chosen from H2O2, (NH4)2S2O8, KIO4, and KClO5. The abrasive is one or more chosen from SiO2, CeO2, and Al2O3. The complexing agent is one or more chosen from amino acid and citric acid. The slurry can effectively prevent Co over corrosion and reduce the polishing rate of Co in the polishing process.

Description

    TECHNICAL FIELD
  • The present invention relates to microelectronics technology, especially relates to chemical mechanical polishing (CMP) slurries and related applications.
  • BACKGROUND OF THE INVENTION
  • With the continuous shrink of feature size in ultra large scale integrated Circuits (ULSI) technology, the size of the copper interconnect structure is getting more and more smaller. To reduce the RC delay, the thickness of barrier or adhesion layer in the copper interconnect structure is getting thinner. The traditional copper barrier/adhesion layer stack—Ta/TaN is not suitable any more, as the resistivity of Ta is relatively high and copper cannot be directly electroplated onto Ta. Compared with Ta, cobalt has lower resistivity and is relatively cheaper. The adhesion between Cu and Co is good. Cu can easily nucleate on Co, also copper can be directly electroplated on cobalt.
  • Porous low k dielectrics material has been already used in the current interconnect structures. It is reported that low k can be easily damaged by plasma or polishing slurries. In current chemical mechanical polishing processing, to reduce the damage to low-k dielectrics, most of the current slurries used for copper and barriers are acidic. But it is observed that copper and cobalt easily suffered from dissolution in acidic solution containing oxidant (H2O2). This makes the polishing rate of copper and cobalt is so high that it will induce the dishing of copper line. The dissolution of the cobalt adhesion layer on the sidewall of the copper interconnect structure can lead to the delamination of copper lines and cause reliability problem. So adding effective inhibitors in the acid slurry is very important, which can reduce the polishing rate of Co, and prevent the dissolution of Co layer. along the sidewall of the copper interconnect structure.
  • DESCRIPTION OF THE INVENTION
  • The present invention is to provide a kind of slurry used for chemical mechanical polishing in microelectronics technology. The slurries of the present invention can effectively inhibit the cobalt corrosion rate in the acid slurry, which can prevent the reliability problem caused by over polishing or corrosion.
  • To achieve the said object, the present invention provides a kind of slurry for chemical mechanical polishing of cobalt, which comprises components by weight as follows, inhibitor 0.01-2%, oxidant 0-5%, abrasive 0.1-10%, complexing agent 0.001-10%, and the rest of water. The pH value of the slurries is adjusted to 3-5 by pH value adjustor.
  • The inhibitor is chosen one or more from the five-membered heterocycle compound containing S and N atoms or containing S or N atom, and their derivatives. The role of the inhibitor is to reduce the corrosion rate of Cu and Co in the slurry, which can improve the efficiency of planarization, reduce the dishing defects and prevent the dissolution of adhesion layer along the sidewall of the interconnect structure.
  • The oxidant is chosen one or more from H2O2, (NH4)2S2O8, KIO4, KClO5. The role of oxidant is to oxidize Cu, Co and the barrier metal into the corresponding metal oxides, hydroxides or ions. Among all the oxidants, the best choice is H2O2. The weight proportion of the oxidant in the slurry is in the range of 0-5%, and the best weight proportion is 0.5%-5%.
  • The abrasive is chosen one or more from SiO2, CeO2, and Al2O3. The role of abrasive is to remove the metal or metal reaction products which contact with abrasive particles through mechanical friction force. Among all the abrasives, the best choice is colloidal SiO2. The size of the abrasive particles has influence on the polishing rate and surface roughness. In the present invention, the size of the abrasive is in the range of 10-100 nm.
  • The complexing agent is chosen one or more from amino acid and citric acid. The role of the complexing agent is to react with the metal ions on the metal surface or in the slurry, which reduces the metal particles in the slurry and prevents the metal ions contamination.
  • Said slurry for chemical mechanical polishing of cobalt, therein the slurries have the list proportion of components by weight: inhibitor 0.01-1%, oxidant 0.5-2%, abrasives 1-5%, complexing agent 0.4%, and the rest of water.
  • Said slurry for chemical mechanical polishing of cobalt, therein the Chosen five-membered heterocycle compound as inhibitors has two heteroatoms in ring. More detailedly speaking, the inhibitor can be chosen one or more from Benzotriazole, 2-mercaptothiazole, 2-mercaptobenzothiazole, imidazole, 2-Aminobenzimidazole, 2-Mercaptobenzimidazole and 2-Methylbenzimidazole. Among all the inhibitors, the best choice is Benzotriazole and 2-mercaptothiazole. Benzotriazole and 2-mercaptothiazole can effectively prevent the corrosion of Cu and Co.
  • Said slurry for chemical mechanical polishing of cobalt, therein the chosen complexing agent can be one or more kinds of amino acid, such as glycine, glutamic, leucine and arginine acid. The complexing agent can also be other organic acid, such as citric acid. The best choice is glycine as complexing agent.
  • Said slurry for chemical mechanical polishing of cobalt, therein the pH value adjustor is chosen one or more from nitric acid, sulphuric acid, dilute acetic acid, hydrochloric acid, potassium hydroxide, sodium hydroxide, sodium carbonate and sodium bicarbonate. The best choices are nitric acid and potassium hydroxide.
  • In the present invention, we also provide the application of the slurries on chemical mechanical polishing of Co technology. In integrated circuits, Co is used as adhesion or barrier layer for copper interconnects, while Co can also be used as nano-crystalline in memory device and metal gate in MOSFET. All the use of Co, cobalt alloy and cobalt compounds in the electric circuit, and the process needing polishing process, are included in the applications of the present invention.
  • The provided slurries in the present invention have the pH value in the range of 3-5. If the pH value of the slurry is too low, especially when the pH value is lower than 2, the CMP slurry can cause corrosion on the copper lines and the polishing equipment. If the pH value is in the range of 6-8, the SiO2 abrasives are not stable. If the pH value of the slurry is very high, especially when the pH value is higher than 9, the slurries can damage the low-k dielectrics. So in the present invention, the pH values of the slurries are adjusted in the range of 3-5.
  • The slurries for chemical mechanical polishing of Co in the present invention can effectively inhibit Co corrosion rate and reduce the polishing rate of Co, which can effectively reduce the defects after polishing process.
  • BRIEF DESCRIPTION OF THE FIGURES
  • FIG. 1 a is the cross-sectional schematic structure of the dual damascene structure before polishing. FIG. 1 b is the cross-sectional schematic structure of the dual damascene structure after polishing.
  • FIG. 2 a is the compared potentiodynamic polarization plots of Co in the slurries(pH=3) in embodiment 1 and contrast 1. FIG. 2 b is the compared potentiodynamic polarization plots of Co in the slurries (pH=5) in embodiment 1 and contrast 1.
  • FIG. 3 is the polishing rate of Co as a function of Benzotriazole concentration in the slurries in embodiment 2.
  • THE BEST METHOD OF APPLYING THE PRESENT INVENTION
  • Combined with figures and embodiments, the best method of applying the present invention is described as following:
  • The method of applying the slurries in the present invention is similar as the applying of traditional slurries. In the polishing process, wafer is held face down by the polishing head on the polishing pad, and the slurries are pumped on the polishing pad and is spread uniformly on the wafer surface with the rotation of polishing platen. The copper and adhesion/barrier layers on the top of the dielectrics are polished away as the pressure is added between wafer and polishing pad, with the rotation of polishing head and platen. It should be noticed that, the method of applying the slurries described above is based on the traditional polishing equipments in this field. For the special polishing equipment, reasonably adjusting the applying method based on the real case can also achieve the role of chemical mechanical planarization. FIG. 1 a is the cross-sectional schematic structure of the dual damascene structure before polishing. FIG. 1 b is the crosssectional schematic structure of the dual damascene structure after polishing by using the slurries in the present invention. In FIG. 1 a and lb, dielectrics 10 could be silicon dioxide, or low-k dielectrics. The materials of copper adhesion/barrier layer 20 could be stack containing Co and metal nitrides or metal carbon nitrides, such as Co/TaN, Co/TiN, Co/TaCN, Co/TiCN, or could be a single cobalt alloy layer, such as CoMo, CoTa, CoTi and CoW. The method of depositing adhesion/barrier layer could be Physical vapor deposition (PVD), Atomic layer deposition (ALD), or chemical vapor deposition(CVD). The material of etch stop layer 30 could be SiN, SiC, SiCN and other hard etching dielectrics materials. By using the slurries in the present invention, the excess copper and the adhesion layer and barrier layer 20 above dielectrics 10 are removed, and the structure shown in FIG. 1 a is changed into the structure shown in FIG. 1 b. Through the following embodiments the method of applying the slurries in the present invention is further described.
  • EMBODIMENT 1
  • The components of slurries: 1 wt % colloidal SiO2; 0.75 wt % glycine; 0.12 wt % 2-mercaptothiazole; and the rest of water. Using the diluted nitric acid and potassium hydroxide to adjust the pH value to 3.
  • The polishing equipment and mechanical parameters: the polisher is CP-4 bench top polisher made by CETR Company in this embodiment. The polishing pressure is 2 psi, flow rate is 100 ml/min, and rotation rate is 150 rpm for both of polishing head and platen.
  • Contrast 1
  • The slurries is the same as those in embodiment 1 except without 2-mercaptothiazole; The polishing equipment and mechanical parameters are also the same as those in embodiment 1.
  • Table 1 lists the corrosion rate and polishing rate of Co in embodiment 1 and Contrast 1.
  • TABLE 1
    corrosion rate and polishing rate of Co in embodiment 1 and contrast 1
    2-mercaptothiazole
    0 wt % 2- 0.12 wt %
    mercaptothiazole 2-mercaptothiazole
    Corrosion rate of Co□nm/min□ 5 0
    Polishing rate of Co□nm/min□ 73 27
  • Shown in table 1, after adding 2-mercaptothiazole in the slurry, the polishing rate and corrosion rate of Co are obviously reduced. As in the real interconnect structure, the thickness of the adhesion layer is not greater than 5 nm, preventing the corrosion of Co is very important. In embodiment 1, by adding 2-mercaptothiazole in the slurry, the corrosion rate of Co is controlled to a low value and the polishing rate of Co is controlled to 27 nm/min. this indicates 2-mercaptothiazole is a good inhibitor for Co corrosion.
  • FIG. 2 a is the compared potentiodynamic polarization plots of Co in the slurries(pH=3) in embodiment 1 and contrast 1. FIG. 2 b is the compared potentiodynamic polarization plots of Co in the slurries (pH=5) in embodiment 1 and contrast 1. From FIG. 2 a and FIG. 2 b, we can see that both of the anodic and cathodic current are reduced obviously no matter the pH value of slurry is at 3 or 5 after adding 0.12 wt % 2-mercaptothiazole, and corrosion current also reduces obviously. There is a passivation region on the anodic potentiodynamic polarization plots of Co in the slurries containing 0.12 wt % 2-mercaptothiazole, and these indicate 2-mercaptothiazole can adsorb on the surface of Co and then prevent Co corrosion.
  • EMBODIMENT 2
  • The components of slurries: 5 wt % colloidal SiO2; 0.5 wt % H2O2; 0.75 wt % glycine; 0-0.24 wt % Benzotriazole; and the rest of water. The pH value of the slurry is adjust to 5. The concentrations of Benzotriazole are controlled to 0.06 wt %, 0.12 wt %, 0.18 wt %, 0.24 wt % for all the slurries.
  • The polishing equipment and mechanical parameters: the polisher is CP-4 bench top polisher made by CETR Company in this embodiment. The polishing pressure is 2 psi, flow rate is 100 ml/min, and rotation rate is 150 rpm for both of polishing head and platen.
  • Table 2 lists the corrosion rate of Co in the slurries with different concentrations of Benzotriazole.
  • TABLE 2
    corrosion rate of Co in embodiment 2
    Concentrations of
    Benzotriazole□wt %□
    0 0.06 0.12 0.18 0.24
    Corrosion rate of Co 50 14 9 6 2
    (nm/min)
  • From the data in table 2, we can see that the corrosion rate of Co is decreased as the increase of concentration of Benzotriazole. When the concentration of Benzotriazole is up to 0.24 wt %, the corrosion rate of Co is reduced to 2 nm/min. This indicates that Benzotriazole is a good inhibitor for Co corrosion. The mechanism of Benzotriazole inhibiting Co corrosion could be described as the adsorption of Benzotriazole on Co surface and then preventing the contact between Co and the slurry, finally preventing the corrosion of Co.
  • FIG. 3 shows the polishing rate of Co as a function of concentrations of Benzotriazole in embodiment 2. As it is shown in FIG. 3, the polishing rate of Co is reduced steadily as the increase of Benzotriazole concentration. When the Benzotriazole concentration is up to 0.24 wt %, the polishing rate is reduced from 484 nm/min to 75 nm/min. and the corrosion rate of Co is small (2 nm/min) when Benzotriazole concentration is 0.24 wt %. The polishing rate of 75 nm/min is enough for polishing the Co or Co alloy adhesion layer with thickness less than 5 nm.
  • EXAMPLE 3-8
  • The components of the slurries (the rest is water) and the experimental data of embodiments 3-8 are listed in table 3.
  • TABLE 3
    components of the slurries and experiment results of embodiments 3-8
    embodiment 3 embodiment 4 embodiment 5 embodiment 6 embodiment 7 embodiment 8
    Inhibitor wt % Benzotriazole Benzotriazole/ Benzotriazole/ Benzotriazole/ Benzotriazole/ imidazo/
    0.3 % imidazole 2-Aminobenz 2-Mercaptob 2-Mercaptoben Benzotriazole/
    1:1) imidazole enzimidazole zimidaz (1.:1) 2-mercaptoth
    0.7% (1:1) (1:1) 1.2% iazole (1:1:1)
    1% 2% 1.8%
    Oxidant wt % H2O2 (NH4)2S2O8 KIO4 KClO4 (NH4)2S2O8/ H2O2/
    0.1%   5% 1% 4% H2O2 (1.:2) KIO4 (3:1)
      2% 0.6%
    Abrasive Colloidal Colloidal CeO2 Al2O3 Colloidal SiO2/ Colloidal
    wt % SiO2 SiO2 3.5%   10%  CeO2 SiO2/ Al2O3/
      1% 0.1% (1.:1) Ce02(3:1:1)
      7% 5.2%
    Complexing glycine/citric arginine Citric acid Glutamic acid glycine/ glycine/
    agent wt % acid (1.:1) 0.4% 2% 6% Glutamic acid arginine/
    0.1% (3.:1) Citric acid
    10% (1.:1:4)
    0.8%
    pH value 3.0 4.0 5.0 4.0 3.0 3.0
    Corrosion 1.5 5 3 5 5 2
    rate of Co
    □nm/min□
    Polishingrate 45 85 100 220 120 60
    of Co
    □nm/min□
    The proportion is by weight in embodiments 3-8.
  • The present invention involves a polishing technology using Co or Co alloy as a metal layer in the integrated circuit. In integrated circuit, Co is mainly used as a barrier layer or adhesion layer for copper metallization, but Co could also be used as nano-crystalline in memory devises, self-aligned silicide and metal gate for MOSFET. All the use of Co, cobalt alloy and cobalt compounds in the electric circuits, and the processes needing polishing process, are included in the applications of the present invention.
  • Although the content of the present invention has been detailed introduced by the embodiments above, we should notice that the description about the present invention above should not be a limitation for the present invention. The expert in this filed can change or replace the present invention after reading the content above. So the protected range of this invention should be limited by the claims of the present invention.

Claims (10)

1. A slurry for chemical mechanical polishing of Co, comprises components by weight as follows, inhibitor 0.01-2%, oxidant 0-5%, abrasive 0.1-10%, complexing agent 0.001-10%, and the rest of water; the pH value of the slurry is adjusted to 3-5 by pH value adjustor;
said inhibitor is chosen from one or more kinds of five-membered heterocycle compound containing S and N atoms or containing S or N atom;
said oxidant is chosen one or more from H2O2, (NH4)2S2O8, KIO4, KClO5;
said abrasive is chosen one or more from SiO2, CeO2, and Al2O3;
said complexing agent is chosen one or more from amino acid and citric acid.
2. The slurry for chemical mechanical polishing of Co of claim 1, wherein said slurry comprises components by weight as follows, inhibitor 0.01-1%, oxidant 0.5-2%, abrasive 1-5%, complexing agent 0.4%, and the rest of water.
3. The slurry for chemical mechanical polishing of Co of claim 1 or claim 2, wherein said five-membered heterocycle compound chosen as inhibitor has two heteroatoms in ring.
4. The slurry for chemical mechanical polishing of Co of claim 3, wherein said inhibitor is chosen one or more from Benzotriazole, 2-mercaptothiazole, 2-mercaptobenzothiazole, imidazole, 2-Aminobenzimidazole, 2-Mercaptobenzimidazole and 2-Methylbenzimidazole.
5. The slurry for chemical mechanical polishing of Co of claim 4, wherein said inhibitor is mixing of Benzotriazole and 2-mercaptothiazole.
6. The slurry for chemical mechanical polishing of Co of claim 1 or claim 2 or claim 4 or claim 5, wherein said particle size of the abrasive is in the range of 10-100 nm.
7. The slurry for chemical mechanical polishing of Co of claim 1 or claim 2 or claim 4 or claim 5, wherein said complexing agent is chosen one or more from glycine, glutamic, leucine and arginine acid.
8. The slurry for chemical mechanical polishing of Co of claim 7, wherein said complexing agent is glycine acid.
9. The slurry for chemical mechanical polishing of Co of claim 1, the pH value adjustor is chosen one or more from nitric acid, sulphuric acid, dilute acetic acid, hydrochloric acid, potassium hydroxide, sodium hydroxide, sodium carbonate and sodium bicarbonate.
10. The application of the slurry for chemical mechanical polishing of Co according to claim 1 in polishing process.
US13/813,699 2011-07-05 2011-12-05 Slurry for chemical mechanical polishing of cobalt Abandoned US20130140273A1 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
CN201110186116A CN102304327A (en) 2011-07-05 2011-07-05 Polishing solution based on metal Co for polishing process
CN201110186116.5 2011-07-05
CN201110372757.X 2011-11-22
CN201110372757.XA CN102516875B (en) 2011-07-05 2011-11-22 Polishing solution based on polishing process of metal Co and application thereof
PCT/CN2011/002027 WO2013003991A1 (en) 2011-07-05 2011-12-05 Polishing liquid for polishing process based on metal co and use thereof

Publications (1)

Publication Number Publication Date
US20130140273A1 true US20130140273A1 (en) 2013-06-06

Family

ID=45378248

Family Applications (1)

Application Number Title Priority Date Filing Date
US13/813,699 Abandoned US20130140273A1 (en) 2011-07-05 2011-12-05 Slurry for chemical mechanical polishing of cobalt

Country Status (6)

Country Link
US (1) US20130140273A1 (en)
EP (1) EP2592122A4 (en)
JP (1) JP2014509064A (en)
KR (1) KR101439797B1 (en)
CN (2) CN102304327A (en)
WO (1) WO2013003991A1 (en)

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2977418A1 (en) 2014-07-25 2016-01-27 Air Products And Chemicals, Inc. Chemical mechanical polishing (cmp) of cobalt-containing substrate
US20160108286A1 (en) * 2014-10-21 2016-04-21 Cabot Microelectronics Corporation Slurry for chemical mechanical polishing of cobalt
US20160115353A1 (en) * 2014-10-21 2016-04-28 Cabot Microelectronics Corporation Cobalt polishing accelerators
US9534148B1 (en) 2015-12-21 2017-01-03 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of polishing semiconductor substrate
US9834704B2 (en) 2014-10-21 2017-12-05 Cabot Microelectronics Corporation Cobalt dishing control agents
EP3257910A1 (en) 2016-06-16 2017-12-20 Versum Materials US, LLC Chemical mechanical polishing (cmp) composition, method and system for cobalt-containing substrate
US10059860B2 (en) 2014-02-26 2018-08-28 Fujimi Incorporated Polishing composition
US10077382B1 (en) 2017-03-06 2018-09-18 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method for polishing cobalt-containing substrate
US10124464B2 (en) 2014-10-21 2018-11-13 Cabot Microelectronics Corporation Corrosion inhibitors and related compositions and methods
WO2018217628A1 (en) * 2017-05-25 2018-11-29 Fujifilm Planar Solutions, LLC Chemical mechanical polishing slurry for cobalt applications
US10170335B1 (en) 2017-09-21 2019-01-01 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing method for cobalt
US10233356B2 (en) 2017-03-06 2019-03-19 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing slurry for cobalt-containing substrate
US10283373B2 (en) * 2014-07-09 2019-05-07 Hitachi Chemical Company, Ltd. CMP polishing liquid and polishing method
RU2687649C2 (en) * 2017-10-04 2019-05-15 Общество с ограниченной ответственностью "КРОКУС НАНОЭЛЕКТРОНИКА" Method of chemical-mechanical polishing of thick layers of cobalt-containing alloys
US10377921B2 (en) 2017-09-21 2019-08-13 Rohm and Haas Electronics Materials CMP Holdings, Inc. Chemical mechanical polishing method for cobalt
US10522398B2 (en) 2017-08-31 2019-12-31 International Business Machines Corporation Modulating metal interconnect surface topography
US10570315B2 (en) 2016-11-08 2020-02-25 Fujimi Incorporated Buffered slurry formulation for cobalt CMP
US10570316B2 (en) 2014-07-15 2020-02-25 Basf Se Chemical mechanical polishing (CMP) composition
US10738219B2 (en) 2014-12-22 2020-08-11 Basf Se Use of a chemical mechanical polishing (CMP) composition for polishing of cobalt and / or cobalt alloy comprising substrates
CN113597456A (en) * 2018-12-31 2021-11-02 Cmc材料股份有限公司 Composition for tungsten chemical mechanical polishing
US11688607B2 (en) * 2018-10-25 2023-06-27 Taiwan Semiconductor Manufacturing Company Ltd. Slurry
US11773292B2 (en) 2017-09-29 2023-10-03 Fujimi Incorporated Polishing composition

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102786879B (en) * 2012-07-17 2014-04-23 清华大学 Barium titanate chemico-mechanical polishing aqueous composition and its application
JP6156630B2 (en) * 2013-05-24 2017-07-05 Jsr株式会社 Chemical mechanical polishing aqueous dispersion and chemical mechanical polishing method
CN103265893B (en) * 2013-06-04 2015-12-09 复旦大学 A kind of polishing fluid of the glossing based on metal M o, its preparation method and application
CN104745085B (en) * 2013-12-25 2018-08-21 安集微电子(上海)有限公司 A kind of chemical mechanical polishing liquid for cobalt barrier polishing
JP6379764B2 (en) * 2014-07-10 2018-08-29 日立化成株式会社 Polishing liquid and polishing method
WO2016008896A1 (en) * 2014-07-15 2016-01-21 Basf Se A chemical mechanical polishing (cmp) composition
US9735030B2 (en) * 2014-09-05 2017-08-15 Fujifilm Planar Solutions, LLC Polishing compositions and methods for polishing cobalt films
JP2016056254A (en) * 2014-09-08 2016-04-21 株式会社フジミインコーポレーテッド Polishing composition
KR101733162B1 (en) * 2015-03-20 2017-05-08 유비머트리얼즈주식회사 Polishing slurry and substrate polishing method using the same
CN104830235B (en) * 2015-04-29 2017-06-23 清华大学 Polishing fluid and its application for the chemically mechanical polishing of cobalt barrier layer structure
US10032644B2 (en) * 2015-06-05 2018-07-24 Versum Materials Us, Llc Barrier chemical mechanical planarization slurries using ceria-coated silica abrasives
US9528030B1 (en) * 2015-10-21 2016-12-27 Cabot Microelectronics Corporation Cobalt inhibitor combination for improved dishing
JP6641980B2 (en) * 2015-12-22 2020-02-05 日立化成株式会社 Polishing liquid and polishing method
WO2019006668A1 (en) * 2017-07-04 2019-01-10 深圳市恒兆智科技有限公司 Polishing agent, copper part and polishing process therefor
US20200263056A1 (en) 2019-02-19 2020-08-20 AGC Inc. Polishing composition and polishing method
CN113652317A (en) * 2021-07-16 2021-11-16 张家港安储科技有限公司 post-CMP cleaning composition for use in semiconductor wafer cleaning
CN115160933B (en) * 2022-07-27 2023-11-28 河北工业大学 Alkaline polishing solution for cobalt CMP of cobalt interconnection integrated circuit and preparation method thereof
CN115820127A (en) * 2022-11-07 2023-03-21 上海交通大学 Chemical mechanical polishing solution suitable for copper-cobalt interconnection structure and preparation method thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6068879A (en) * 1997-08-26 2000-05-30 Lsi Logic Corporation Use of corrosion inhibiting compounds to inhibit corrosion of metal plugs in chemical-mechanical polishing
US6117795A (en) * 1998-02-12 2000-09-12 Lsi Logic Corporation Use of corrosion inhibiting compounds in post-etch cleaning processes of an integrated circuit
US20050066585A1 (en) * 2003-09-25 2005-03-31 Jinru Bian High-rate barrier polishing composition
US20070082456A1 (en) * 2003-11-14 2007-04-12 Nobuo Uotani Polishing composition and polishing method

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3397501B2 (en) * 1994-07-12 2003-04-14 株式会社東芝 Abrasive and polishing method
DE60039996D1 (en) * 1999-08-13 2008-10-02 Cabot Microelectronics Corp CHEMICAL-MECHANICAL POLYMER SYSTEMS AND METHOD FOR THEIR USE
JP2002231666A (en) * 2001-01-31 2002-08-16 Fujimi Inc Composition for polishing, and polishing method using the composition
SG144688A1 (en) * 2001-07-23 2008-08-28 Fujimi Inc Polishing composition and polishing method employing it
US20050076580A1 (en) * 2003-10-10 2005-04-14 Air Products And Chemicals, Inc. Polishing composition and use thereof
EP1888735B1 (en) * 2005-05-26 2013-08-07 Advanced Technology Materials, Inc. Copper passivating post-chemical mechanical polishing cleaning composition and method of use
JP5317436B2 (en) * 2007-06-26 2013-10-16 富士フイルム株式会社 Polishing liquid for metal and polishing method using the same
CN101358107A (en) * 2007-08-03 2009-02-04 安集微电子(上海)有限公司 Polishing solution for polishing semiconductor close over layer
JP5428205B2 (en) * 2008-06-04 2014-02-26 日立化成株式会社 Polishing liquid for metal
JP2011003665A (en) * 2009-06-17 2011-01-06 Jsr Corp Aqueous dispersant for chemical-mechanical polishing, and chemical-mechanical polishing method using the same
CN102101982A (en) * 2009-12-18 2011-06-22 安集微电子(上海)有限公司 Chemical mechanical polishing solution

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6068879A (en) * 1997-08-26 2000-05-30 Lsi Logic Corporation Use of corrosion inhibiting compounds to inhibit corrosion of metal plugs in chemical-mechanical polishing
US6117795A (en) * 1998-02-12 2000-09-12 Lsi Logic Corporation Use of corrosion inhibiting compounds in post-etch cleaning processes of an integrated circuit
US20050066585A1 (en) * 2003-09-25 2005-03-31 Jinru Bian High-rate barrier polishing composition
US20070082456A1 (en) * 2003-11-14 2007-04-12 Nobuo Uotani Polishing composition and polishing method

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10059860B2 (en) 2014-02-26 2018-08-28 Fujimi Incorporated Polishing composition
US10283373B2 (en) * 2014-07-09 2019-05-07 Hitachi Chemical Company, Ltd. CMP polishing liquid and polishing method
US10570316B2 (en) 2014-07-15 2020-02-25 Basf Se Chemical mechanical polishing (CMP) composition
US20160027657A1 (en) * 2014-07-25 2016-01-28 Air Products And Chemicals, Inc. Chemical Mechanical Polishing (CMP) of Colbalt-Containing Substrate
EP2977418A1 (en) 2014-07-25 2016-01-27 Air Products And Chemicals, Inc. Chemical mechanical polishing (cmp) of cobalt-containing substrate
US10217645B2 (en) * 2014-07-25 2019-02-26 Versum Materials Us, Llc Chemical mechanical polishing (CMP) of cobalt-containing substrate
US10124464B2 (en) 2014-10-21 2018-11-13 Cabot Microelectronics Corporation Corrosion inhibitors and related compositions and methods
US9850403B2 (en) * 2014-10-21 2017-12-26 Cabot Microelectronics Corporation Cobalt polishing accelerators
US9944828B2 (en) * 2014-10-21 2018-04-17 Cabot Microelectronics Corporation Slurry for chemical mechanical polishing of cobalt
US9834704B2 (en) 2014-10-21 2017-12-05 Cabot Microelectronics Corporation Cobalt dishing control agents
US9688885B2 (en) * 2014-10-21 2017-06-27 Cabot Microelectronics Corporation Cobalt polishing accelerators
US20160115353A1 (en) * 2014-10-21 2016-04-28 Cabot Microelectronics Corporation Cobalt polishing accelerators
US20160108286A1 (en) * 2014-10-21 2016-04-21 Cabot Microelectronics Corporation Slurry for chemical mechanical polishing of cobalt
US10738219B2 (en) 2014-12-22 2020-08-11 Basf Se Use of a chemical mechanical polishing (CMP) composition for polishing of cobalt and / or cobalt alloy comprising substrates
US9534148B1 (en) 2015-12-21 2017-01-03 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of polishing semiconductor substrate
EP3257910A1 (en) 2016-06-16 2017-12-20 Versum Materials US, LLC Chemical mechanical polishing (cmp) composition, method and system for cobalt-containing substrate
US10745589B2 (en) 2016-06-16 2020-08-18 Versum Materials Us, Llc Chemical mechanical polishing (CMP) of cobalt-containing substrate
US10570315B2 (en) 2016-11-08 2020-02-25 Fujimi Incorporated Buffered slurry formulation for cobalt CMP
US10233356B2 (en) 2017-03-06 2019-03-19 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing slurry for cobalt-containing substrate
US10077382B1 (en) 2017-03-06 2018-09-18 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method for polishing cobalt-containing substrate
US10676646B2 (en) 2017-05-25 2020-06-09 Fujifilm Electronic Materials U.S.A., Inc. Chemical mechanical polishing slurry for cobalt applications
WO2018217628A1 (en) * 2017-05-25 2018-11-29 Fujifilm Planar Solutions, LLC Chemical mechanical polishing slurry for cobalt applications
US10522398B2 (en) 2017-08-31 2019-12-31 International Business Machines Corporation Modulating metal interconnect surface topography
US11069567B2 (en) 2017-08-31 2021-07-20 International Business Machines Corporation Modulating metal interconnect surface topography
US10377921B2 (en) 2017-09-21 2019-08-13 Rohm and Haas Electronics Materials CMP Holdings, Inc. Chemical mechanical polishing method for cobalt
US10170335B1 (en) 2017-09-21 2019-01-01 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing method for cobalt
US11773292B2 (en) 2017-09-29 2023-10-03 Fujimi Incorporated Polishing composition
RU2687649C2 (en) * 2017-10-04 2019-05-15 Общество с ограниченной ответственностью "КРОКУС НАНОЭЛЕКТРОНИКА" Method of chemical-mechanical polishing of thick layers of cobalt-containing alloys
US11688607B2 (en) * 2018-10-25 2023-06-27 Taiwan Semiconductor Manufacturing Company Ltd. Slurry
CN113597456A (en) * 2018-12-31 2021-11-02 Cmc材料股份有限公司 Composition for tungsten chemical mechanical polishing

Also Published As

Publication number Publication date
EP2592122A1 (en) 2013-05-15
WO2013003991A1 (en) 2013-01-10
KR20130132389A (en) 2013-12-04
KR101439797B1 (en) 2014-09-11
CN102516875B (en) 2014-01-08
JP2014509064A (en) 2014-04-10
WO2013003991A8 (en) 2013-04-04
CN102516875A (en) 2012-06-27
CN102304327A (en) 2012-01-04
EP2592122A4 (en) 2014-01-22

Similar Documents

Publication Publication Date Title
US20130140273A1 (en) Slurry for chemical mechanical polishing of cobalt
US10217645B2 (en) Chemical mechanical polishing (CMP) of cobalt-containing substrate
US8222145B2 (en) Method and composition for chemical mechanical planarization of a metal-containing substrate
US20050076580A1 (en) Polishing composition and use thereof
WO2013112490A1 (en) Slurry for cobalt applications
JP2004006628A (en) Method for manufacturing semiconductor device
KR20010073037A (en) Abrasive liquid for metal and method for polishing
US20050126588A1 (en) Chemical mechanical polishing slurries and cleaners containing salicylic acid as a corrosion inhibitor
US20140263167A1 (en) Polishing composition and polishing method using same, and substrate manufacturing method
US11401441B2 (en) Chemical mechanical planarization (CMP) composition and methods therefore for copper and through silica via (TSV) applications
TW201418434A (en) Polishing composition
KR20150143627A (en) Cleaning agent for metal wiring substrate, and method for cleaning semiconductor substrate
Chiu et al. High-selectivity damascene chemical mechanical polishing
KR100856542B1 (en) Slurry for Cu CMP and Method of forming Cu interconnection line
KR20010040066A (en) Method and composite arrangement inhibiting corrosion of a metal layer following chemical mechanical polishing
US7422700B1 (en) Compositions and methods of electrochemical removal of material from a barrier layer of a wafer
KR101526006B1 (en) Cmp slurry composition for copper and polishing method using the same
KR20170069820A (en) Cmp slurry composition for metal wiring and polishing method using the same
JP2007095840A (en) Chemical mechanical polishing method
JP2005056879A (en) Solution and method for polishing cooper-based metal
Cheng et al. Ruthenium and Copper CMP in periodate-based slurry with BTA and K 2 MoO 4 as compound corrosion inhibitors
KR100672941B1 (en) Solution of inhibiting Copper erosion and CMP process using the solution
CN116745375A (en) Chemical Mechanical Planarization (CMP) for copper and Through Silicon Vias (TSVs)

Legal Events

Date Code Title Description
AS Assignment

Owner name: FUDAN UNIVERSITY, CHINA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LU, HAISHENG;QU, XINPING;WANG, JINGXUAN;REEL/FRAME:029813/0507

Effective date: 20130204

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION