US20130137279A1 - Exhaust Unit, Substrate Processing Apparatus, and Method of Manufacturing Semiconductor Device - Google Patents

Exhaust Unit, Substrate Processing Apparatus, and Method of Manufacturing Semiconductor Device Download PDF

Info

Publication number
US20130137279A1
US20130137279A1 US13/687,709 US201213687709A US2013137279A1 US 20130137279 A1 US20130137279 A1 US 20130137279A1 US 201213687709 A US201213687709 A US 201213687709A US 2013137279 A1 US2013137279 A1 US 2013137279A1
Authority
US
United States
Prior art keywords
gas
exhaust
exhaust pipe
processing apparatus
substrate processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/687,709
Inventor
Tetsuo Yamamoto
Tsutomu Kato
Satoshi Okada
Yuji Takebayashi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Assigned to HITACHI KOKUSAI ELECTRIC INC. reassignment HITACHI KOKUSAI ELECTRIC INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KATO, TSUTOMU, OKADA, SATOSHI, TAKEBAYASHI, YUJI, YAMAMOTO, TETSUO
Publication of US20130137279A1 publication Critical patent/US20130137279A1/en
Priority to US14/622,126 priority Critical patent/US9646821B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices

Definitions

  • the present invention relates to a substrate processing apparatus, and more particularly, to a substrate processing apparatus capable of processing a semiconductor silicon wafer.
  • a substrate processing apparatus for manufacturing a capacitor used in a semiconductor device such as a dynamic random access memory (DRAM) on a substrate such as a semiconductor silicon wafer is disclosed in Japanese Patent Application Laid-Open No. 2010-50439.
  • DRAM dynamic random access memory
  • the present invention is directed to providing a substrate processing apparatus with increased conductance of an exhaust system while preventing or suppressing an increase in footprint thereof, thereby reducing an inner pressure thereof.
  • an exhaust unit including a first exhaust pipe connected to a process container configured to accommodate and process a substrate, the first exhaust pipe having circular or oval cross-section perpendicular to an exhausting direction thereof; and a second exhaust pipe connected to the first exhaust pipe, the second exhaust pipe having square or rectangular cross-section perpendicular to the exhausting direction.
  • a substrate processing apparatus including a process container configured to accommodate a substrate; a process gas supply system configured to supply a process gas for processing the substrate into the process container; and an exhaust system configured to exhaust the process container, wherein the exhaust system includes: a first exhaust pipe connected to the process container, the first exhaust pipe having circular or oval cross-section perpendicular to an exhausting direction thereof; and a second exhaust pipe connected to the first exhaust pipe, the second exhaust pipe having square or rectangular cross-section perpendicular to the exhausting direction.
  • a method of manufacturing a semiconductor device including processing a substrate accommodated in a process container by supplying a process gas onto the substrate; and exhausting the process container using an exhaust system including a first exhaust pipe connected to the process container, the first exhaust pipe having circular or oval cross-section perpendicular to an exhausting direction thereof; and a second exhaust pipe connected to the first exhaust pipe, the second exhaust pipe having square or rectangular cross-section perpendicular to the exhausting direction.
  • a substrate processing apparatus including a process chamber configured to accommodate a plurality of substrates stacked together, a process gas supply unit configured to supply a process gas for processing the plurality of substrates into the process chamber, and an exhaust unit configured to exhaust the process chamber.
  • the exhaust unit includes a vacuum pump, and exhaust pipes configured to connect the process chamber and the vacuum pump. At least a portion of the exhaust pipes has a rib structure and includes pipes in which cross-sections perpendicular to an exhaust direction have rectangular or oval shaped portions
  • FIG. 1 is a perspective view schematically illustrating a substrate processing apparatus according to an embodiment of the present invention.
  • FIG. 2 is a schematic configuration diagram schematically exemplifying a process furnace and accompanying members thereof in a substrate processing apparatus according to an embodiment of the present invention wherein a longitudinal cross-sectional view of a process furnace portion is schematically shown.
  • FIG. 3 is a lateral cross-sectional view illustrating the process furnace of FIG. 2 taken along line C-C.
  • FIG. 4 is a perspective view schematically illustrating a substrate processing apparatus according to an embodiment of the present invention.
  • FIG. 5 is a perspective view schematically illustrating an exhaust pipe used in a substrate processing apparatus according to an embodiment of the present invention.
  • FIG. 6 is a perspective view schematically illustrating an exhaust pipe used in a substrate processing apparatus according to another embodiment of the present invention.
  • FIG. 7 is a plan view schematically illustrating a substrate processing apparatus according to an embodiment of the present invention.
  • FIG. 8 is a plan view schematically illustrating a substrate processing apparatus according to a comparative example.
  • FIG. 9 is a plan view schematically illustrating a substrate processing apparatus according to another comparative example.
  • FIG. 10 is a flowchart illustrating a process of forming a zirconium oxide film using a substrate processing apparatus according to an embodiment of the present invention.
  • FIG. 11 is a timing chart illustrating a process of forming a zirconium oxide film using a substrate processing apparatus according to an embodiment of the present invention.
  • the substrate processing apparatus is configured as a semiconductor manufacturing apparatus to perform a film forming process as a substrate processing process used in a method of manufacturing an integrated circuit (IC) as a semiconductor device.
  • a substrate processing apparatus hereinafter also referred to simply as a ‘processing apparatus’
  • CVD chemical vapor deposition
  • the substrate processing apparatus 101 includes a housing 111 , and a cassette stage 114 is installed in the housing 111 .
  • the cassette 110 is loaded onto or unloaded from the cassette stage 114 by a carrying device (not shown) during a process.
  • the cassette 110 is placed on the cassette stage 114 by the carrying device in a manner that the wafer 200 in the cassette 110 is retained in a vertical posture and a wafer entrance of the cassette 110 is disposed upward.
  • the cassette stage 114 is configured in a manner that the cassette 110 is vertically rotated 90° toward the rear of the housing 111 to arrange the wafer 200 in the cassette 110 to have a horizontal posture, thereby allowing the wafer entrance of the cassette 110 to face the rear of the housing 111 .
  • a cassette shelf 105 is installed approximately at a center of the housing 111 with respect to a forward-backward direction.
  • the cassette shelf 105 includes a plurality of columns and a plurality of rows to store the cassettes 110 therein.
  • a transfer shelf 123 is installed in the cassette shelf 105 to accommodate the cassette 110 that is to be carried via a wafer transfer mechanism 125 .
  • a preparatory cassette shelf 107 is installed above the cassette stage 114 to preparatorily store the cassette 110 .
  • a cassette carrying device 118 is installed between the cassette stage 114 and the cassette shelf 105 .
  • the cassette carrying device 118 includes a cassette elevator 118 a that is movable upward/downward while retaining the cassette 110 , and a cassette carrying mechanism 118 b as a carrying mechanism.
  • the cassette carrying device 118 is configured in a manner that the cassette 110 is carried among the cassette stage 114 , the cassette shelf 105 , the preparatory cassette shelf 107 and the transfer shelf 123 through an association with the cassette elevator 118 a and the cassette carrying mechanism 118 b.
  • the wafer transfer mechanism 125 is installed at the rear of the cassette shelf 105 .
  • the wafer transfer mechanism 125 includes a wafer transfer device 125 a that can rotate the wafer 200 horizontally or move the wafer 200 in a straight direction, and a wafer transfer device elevator 125 b that moves the wafer transfer device 125 a upward/downward.
  • Tweezers 125 c are installed on the wafer transfer device 125 a to pick up and retain the wafer 200 in a horizontal posture.
  • the wafer transfer device 125 a is configured in a manner that the wafer 200 is loaded (charged) into a boat 217 from the cassette 110 on the transfer shelf 123 or is unloaded (discharged) from the boat 217 to be accommodated in the cassette 110 on the transfer shelf 123 using the tweezers 125 c as a unit for placing the wafer 200 through an association with the wafer transfer device 125 a and the wafer transfer device elevator 125 b.
  • a process furnace 202 is installed on an upper portion of a rear part of the housing 111 to thermally treat the wafer 200 , and a lower end of the process furnace 202 is configured to be opened and closed by a furnace port shutter 147 .
  • a boat elevator 115 is installed below the process furnace 202 to move the boat 217 upward/downward with respect to the process furnace 202 .
  • a platform of the boat elevator 115 is connected to an arm 128 , and a seal cap 219 is installed parallel to the arm 128 .
  • the seal cap 219 is configured to vertically support the boat 217 and block the lower end of the process furnace 202 .
  • the boat 217 includes a plurality of retaining members, and is configured to horizontally retain a plurality of wafers 200 (e.g., about 50 to 150 wafers) in a state where the plurality of wafers 200 are concentrically arranged in a vertical direction.
  • a plurality of wafers 200 e.g., about 50 to 150 wafers
  • a cleaning unit 134 a that supplies clean air (clean atmosphere) is installed above the cassette shelf 105 .
  • the cleaning unit 134 a includes a supply fan (not shown) and a dust filter (not shown), and is configured to circulate clean air within the housing 111 .
  • a cleaning unit 134 b that supplies clean air is installed at a left end of the housing 111 .
  • the cleaning unit 134 b also includes a supply fan (not shown) and a dust filter (not shown), and is configured to circulate clean air near the wafer transfer device 125 a, the boat 217 , or the like. The clean air is circulated near the wafer transfer device 125 a or the boat 217 and is then exhausted from the housing 111 .
  • the housing 111 includes rear parts 301 and 302 at a rear side thereof.
  • a space between the rear parts 301 and 302 is used as a maintenance space 303 for maintenance of the substrate processing apparatus 101 .
  • the cassette 110 When the cassette 110 is loaded onto the cassette stage 114 by the carrying device (not shown), the cassette 110 is placed on the cassette stage 114 in a manner that the wafer 200 is retained on the cassette stage 114 in a vertical posture and the wafer entrance of the cassette 110 faces upward. The cassette 110 is then vertically rotated 90° toward the rear of the housing 111 by the cassette stage 114 such that the wafer 200 in the cassette 110 is disposed in a horizontal posture and the wafer entrance of the cassette 110 faces the rear of the housing 111 .
  • the cassette 110 is automatically carried to a predetermined shelf position at the cassette shelf 105 or the preparatory cassette shelf 107 by the cassette carrying device 118 , is temporarily stored at the predetermined shelf position, and is then transferred to the transfer shelf 123 from the cassette shelf 105 or the preparatory cassette shelf 107 by the cassette carrying device 118 or is directly carried to the transfer shelf 123 .
  • the wafer 200 is picked up from the cassette 110 via the wafer entrance of the cassette 110 using the tweezers 125 c of the wafer transfer device 125 a, and is loaded (charged) into the boat 217 through an association with the wafer transfer device 125 a and the wafer transfer device elevator 125 b.
  • the wafer transfer device 125 a that transfers the wafer 200 to the boat 217 is returned to the cassette 110 so as to load a subsequent wafer 200 into the boat 217 .
  • the furnace port shutter 147 that blocks the lower end of the process furnace 202 is opened to expose the lower end of the process furnace 202 .
  • the boat 217 retaining the predetermined number of the wafers 200 is then loaded into the process furnace 202 by a lifting movement of the boat elevator 115 , and the lower end of the process furnace 202 is blocked by the seal cap 219 .
  • a predetermined treatment is performed on the wafers 200 in the process furnace 202 . Thereafter, the wafers 200 and the cassette 110 are unloaded from the housing 111 in reverse order.
  • the process furnace 202 used in the substrate processing apparatus 101 described above will now be described with reference to FIG. 2 .
  • a heater 207 which is a heating device (heating means) for heating the wafers 200 is installed in the process furnace 202 .
  • the heater 207 includes a cylindrical insulating member with the top being closed and a plurality of heater wires, and has a unit structure in which the plurality of heater wires are installed with respect to the insulating member.
  • the heater 207 is installed vertically while being supported by a heater base (not shown) as a retaining plate.
  • a heating power source (not shown) that supplies power to the heater 207 is installed.
  • a reaction tube 203 made of quartz forming a reaction container (process container) for processing the wafer 200 is installed concentrically with the heater 207 .
  • the seal cap 219 is installed below the reaction tube 203 as a furnace port lid capable of air-tightly sealing an aperture in a lower end of the reaction tube 203 .
  • the seal cap 219 is configured to vertically abut the lower end of the reaction tube 203 .
  • the seal cap 219 is made of a metal such as stainless steel, and has a disc shape.
  • a sealing member (hereinafter referred to as an ‘O-ring’) 220 is disposed between a ring-shaped flange installed on an end of the aperture in the lower end of the reaction tube 203 and an upper surface of the seal cap 219 to air-tightly seal the ring-shaped flange and the upper surface of the seal cap 219 .
  • At least the reaction tube 203 and the seal cap 219 forms a process chamber 201 .
  • a boat support 218 supporting the boat 217 is installed on the seal cap 219 .
  • the boat support 218 is made of a heat-resistant material such as quartz or silicon carbide, and functions as both an insulating member and a supporting member.
  • the boat 217 is made of a heat-resistant material such as quartz or silicon carbide.
  • the boat 217 includes a bottom board 210 fixed onto the boat support 218 , a top board 211 disposed above the bottom board 210 and a plurality of pillars 212 installed between the bottom board 210 and the top board 211 (see FIG. 1 ). The plurality of wafers 200 are retained in the boat 217 .
  • the plurality of wafers 200 are stacked in multistage and arranged concentrically in a tube axial direction of the reaction tube 203 , and supported by the pillars 212 of the boat 217 while the wafers 200 are retained at predetermined intervals and in a horizontal posture.
  • a rotation mechanism 267 that rotates the boat 217 is installed at a side of the seal cap 219 opposite to the process chamber 201 .
  • a rotation shaft 255 of the rotation mechanism 267 is connected to the boat support 218 through the seal cap 219 , and rotates the plurality of wafers 200 by rotating the boat 217 via the boat support 218 by the rotation mechanism 267 so as to improve uniformity of substrate processing.
  • the seal cap 219 can be moved upward or downward by the boat elevator 115 which is a lifting mechanism installed outside the reaction tube 203 , thereby loading the boat 217 into or unloading the boat 217 from the process chamber 201 .
  • the boat 217 supported by the boat support 218 is loaded into the process chamber 218 while the plurality of wafers 200 to be batch-processed are stacked in multistage with respect to the boat 217 .
  • the process furnace 202 is configured in a manner that the plurality of wafers 200 inserted into the process chamber 201 are heated to a predetermined temperature by the heater 207 .
  • a nozzle 249 a and a nozzle 249 b are installed below the reaction tube 203 to pass through the reaction tube 203 .
  • the nozzles 249 a and 249 b are connected to a gas supply pipe 232 a and a gas supply pipe 232 b, respectively.
  • the two nozzles 249 a and 249 b and the two gas supply pipes 232 a and 232 b are installed in the reaction tube 203 so that a plurality of types of gases may be supplied into the process chamber 201 .
  • the gas supply pipe 232 a and the gas supply pipe 232 b are connected to an inert gas supply pipe 232 e and an inert gas supply pipe 232 f, respectively.
  • a mass flow controller (MFC) 241 a which is a flow rate controller (flow rate control unit), a vaporizer 271 a which is a vaporizing device (vaporizing means) for generating a vapor gas as a source gas by vaporizing a liquid source, and a valve 243 a which is a opening/closing valve are sequentially installed at the gas supply pipe 232 a from an upstream side to a downstream side.
  • the vapor gas generated in the vaporizer 271 a is supplied into the process chamber 201 via the nozzle 249 a by opening the valve 243 a.
  • a vent line 232 i connected to an exhaust pipe 247 which will be described later is connected to the gas supply pipe 232 a between the vaporizer 271 a and the valve 243 a.
  • a valve 243 i which is a opening/closing valve is installed in the vent line 232 i to supply a source gas to the vent line 232 i via the valve 243 i when the source gas is not supplied into the process chamber 201 .
  • the supply of the vapor gas into the process chamber 201 may be discontinued while continuously generating the vapor gas by the vaporizer 271 a by closing the valve 243 a and opening the valve 243 i.
  • the inert gas supply pipe 232 e is connected to the gas supply pipe 232 a at a downstream side of the valve 243 a.
  • An MFC 241 e which is a flow rate controller (flow rate control unit) and a valve 243 e which is a opening/closing valve are sequentially installed at the inert gas supply pipe 232 e from an upstream side to a downstream side.
  • the nozzle 249 a described above is connected to a front end of the gas supply pipe 232 a.
  • the nozzle 249 a is installed in an arc-shaped space between an inner wall of the reaction tube 203 and the wafers 200 and extends from a lower portion to an upper portion of the inner wall of the reaction tube 203 in a stacking direction.
  • the nozzle 249 a may be an L-shaped long nozzle.
  • Gas supply holes 250 a are disposed on a side surface of the nozzle 249 a to supply a gas.
  • the gas supply holes 250 a are open toward a center of the reaction tube 203 .
  • the gas supply holes 250 a are disposed from the lower portion to the upper portion of the reaction tube 203 , and have the same opening area and the same pitch.
  • the gas supply pipe 232 a, the vent line 232 i, the valves 243 a and 243 i, the vaporizer 271 a, the MFC 241 a and the nozzle 249 a constitutes a first gas supply system.
  • the inert gas supply pipe 232 e, the MFC 241 e and the valve 243 e constitutes a first inert gas supply system.
  • An ozonizer 500 which generates ozone (O 3 ) gas, a valve 243 c, an MFC 241 b which is flow rate controller (flow rate control unit), and a valve 243 b which is a opening/closing valve are sequentially installed at the gas supply pipe 232 b to an upstream side to a downstream side.
  • An upstream side of the gas supply pipe 232 b is connected to an oxygen gas supply source (not shown) that supplies oxygen (O 2 ) gas.
  • Oxygen (O 2 ) gas supplied to the ozonizer 500 is changed into ozone (O 3 ) gas by the ozonizer 500 and then supplied into the process chamber 201 .
  • a vent line 232 h connected to the exhaust pipe 247 which will be described later is connected to the gas supply pipe 232 b between the MFC 241 b and the valve 243 b.
  • a valve 243 h which is a opening/closing valve is installed at the vent line 232 h to supply a source gas into the vent line 232 h via the valve 243 h when the ozone (O 3 ) gas is not supplied into the process chamber 201 .
  • the supply of the ozone (O 3 ) gas into the process chamber 201 may be discontinued while the ozone (O 3 ) gas is continuously generated by the ozonizer 500 .
  • the inert gas supply pipe 232 f is connected to the gas supply pipe 232 b at a downstream side of the valve 243 b.
  • An MFC 241 f which is a flow rate controller (flow rat control unit) and a valve 243 f which is a opening/closing valve are sequentially installed at the inert gas supply pipe 232 f from an upstream side to a downstream side.
  • the nozzle 249 b described above is connected to a front end of the gas supply pipe 232 b.
  • the nozzle 249 b is installed in an arc-shaped space between an inner wall of the reaction tube 203 and the wafers 200 and extends from a lower portion to an upper portion of the inner wall of the reaction tube 203 in a stacking direction.
  • the nozzle 249 b may be an L-shaped long nozzle.
  • Gas supply holes 250 b are disposed on a side surface of the nozzle 249 b to supply a gas.
  • the gas supply holes 250 b are open toward the center of the reaction tube 203 .
  • the gas supply holes 250 b are disposed from the lower portion to the upper portion of the reaction tube 203 , and have the same opening area and the same pitch.
  • the gas supply pipe 232 b, the vent line 232 h, the ozonizer 500 , the valves 243 c, 243 b, and 243 h, the MFC 241 b and the nozzle 249 b constitutes a second gas supply system.
  • the inert gas supply pipe 232 f, the MFC 241 f and the valve 243 f constitutes a second inert gas supply system.
  • a zirconium source gas i.e., a gas containing zirconium (Zr) (zirconium-containing gas) is supplied as a source gas into the process chamber 201 via the gas supply pipe 232 a, the MFC 241 a, the vaporizer 271 a, the valve 243 a and the nozzle 249 a.
  • Zr zirconium
  • TEMAZ tetrakis(ethylmethylamino)zirconium
  • the source gas may be in a solid, liquid or gaseous state at a room temperature and atmospheric pressure. However, the source gas is assumed to be in the liquid state hereinafter. When the source gas is in the gaseous state at the room temperature and atmospheric pressure, the vaporizer 500 is not required.
  • a gas containing oxygen (O) (oxygen-containing gas) such as oxygen (O 2 ) gas is supplied to the gas supply pipe 232 b, changed into ozone (O 3 ) gas by the ozonizer 500 , and then supplied as an oxidizing gas (oxidizing agent) into the process chamber 201 via the valve 243 c, the MFC 241 b, the valve 243 b and the nozzle 249 b.
  • oxygen (O 2 ) gas may be supplied as the oxidizing gas into the process chamber 201 without generating the ozone (O 3 ) gas by the ozonizer 500 .
  • Nitrogen (N 2 ) gas for example, is supplied into the process chamber 201 via the inert gas supply pipes 232 e and 232 f, the MFCs 241 e and 241 f, the valves 243 e and 243 f, the gas supply pipes 232 a and 232 b and the nozzles 249 a and 249 b.
  • a temperature sensor 263 is installed as a temperature detector.
  • the temperature sensor 263 is configured to control an amount of current to be supplied to the heater 207 based on temperature information detected by the temperature sensor 263 so that inside temperature of the process chamber 201 may have a desired temperature distribution.
  • the temperature sensor 263 has an L-shape similar to the nozzles 249 a and 249 b, and is installed along the inner wall of the reaction tube 203 .
  • An exhaust system 300 is installed in the reaction tube 203 to exhaust the atmosphere in the process chamber 201 .
  • An exhaust pipe 231 , an auto pressure controller (APC) valve 244 which is a pressure adjustor (pressure adjustment unit), an exhaust pipe 320 , an exhaust pipe 330 , an exhaust pipe 340 , a vacuum pump 246 which is a vacuum exhaust device and the exhaust pipe 247 are sequentially installed at the exhaust system 300 from an upstream side (a process chamber side) to a downstream side.
  • a pressure sensor 245 is installed as a pressure detector (pressure detection unit) at the exhaust pipe 231 to detect inside pressure of the process chamber 201 .
  • the exhaust pipe 247 installed at a downstream side of the vacuum pump 246 is connected to a waste gas processing device (not shown).
  • the exhaust system 300 is configured to vacuum-exhaust an inside of the process chamber 201 such that inside pressure of the process chamber 201 is at a predetermined pressure (degree of vacuum).
  • the APC valve 243 is a opening/closing valve configured to start or suspend the vacuum-exhaust of the process chamber 201 by opening/closing, and to adjust the pressure in the process chamber 201 by controlling the degree of opening and a conductance thereof.
  • a controller 121 which is a control unit (control member) is connected to the MFCs 241 a, 241 b, 241 e and 241 f, the valves 243 a, 243 b, 243 c, 243 e, 243 f, 243 h, and 243 i, the vaporizer 271 a, the ozonizer 500 , the pressure sensor 245 , the APC valve 244 , the vacuum pump 246 , the heating power source (not shown), the temperature sensor 263 , the boat rotation mechanism 267 and the boat elevator 115 .
  • the controller 121 controls flow rates of various gases by controlling the MFCs 241 a, 241 b, 241 e and 241 f; controls opening/closing of the valves 243 a, 243 b, 243 c, 243 e, 243 f, 243 h and 243 i; controls opening/closing of the APC valve 244 ; controls the degree of pressure using the pressure sensor 245 ; controls temperature of the heater 207 using the temperature sensor 263 ; controls the vaporizer 271 a and the ozonizer 500 ; controls driving/suspending of the vacuum pump 246 ; controls a rotation speed of the boat rotation mechanism 267 ; and controls a lifting operation of the boat elevator 115 .
  • the exhaust pipe 231 , the APC valve 244 , the exhaust pipe 320 , the exhaust pipe 330 , the exhaust pipe 340 , the vacuum pump 246 and the exhaust pipe 247 are sequentially installed in the exhaust system 300 from the upstream side (process chamber side) to the downstream side of the exhaust system 300 .
  • the exhaust pipes 231 , 320 , 340 and 247 are round pipes each having a circular cross-section.
  • Exhaust pipes 331 through 334 are sequentially installed at the exhaust pipe 330 , from the upstream side to the downstream side of the exhaust pipe 330 .
  • the exhaust pipes 331 through 333 have the same lateral cross-sections and are vertically stacked.
  • the exhaust pipes 331 to 333 are rectangular-shaped pipes having rectangular cross-sections.
  • the exhaust pipes 331 through 333 may be accommodated in the rear part 302 of the housing 111 of the substrate processing apparatus 101 , and the footprint of the substrate processing apparatus 101 is not expanded (see FIG. 7 ).
  • a rear part 302 ′ of the housing 111 needs to be expanded so as to accommodate the circular pipe 360 as illustrated in FIG. 8 , thereby expanding the footprint of the substrate processing apparatus 101 .
  • the inside pressure of the substrate processing apparatus may be reduced to several Pas to several tens of Pas when the square-shaped exhaust pipes 331 through 333 illustrated in FIG. 7 that may be accommodated in the rear part 302 of the housing 111 are used, compared to a circular pipe 351 illustrated in FIG.
  • the conductance of the exhaust system 300 may be improved without increasing the footprint of the substrate processing apparatus 101 , and the exhaust performance of the vacuum pump 246 is also improved.
  • the inside pressure of the substrate processing apparatus 101 may be reduced without increasing the footprint of the substrate processing apparatus 101 , and gas adsorption characteristics in regard to miniaturization of semiconductor device may be improved.
  • the cross-sectional areas of the exhaust pipes 331 through 333 are determined by an amount of exhaust (destination pressure in the process chamber 201 ).
  • the conductance of the exhaust system 300 may be improved, the amount of exhaust may be increased, and the pressure of the substrate processing apparatus 101 may be reduced. Therefore, the substrate processing apparatus 101 according to the present embodiment may be preferably used in an apparatus using a source gas having a low vapor pressure.
  • the substrate processing apparatus 101 since an apparatus that performs plasma processing requires a low inside pressure, the substrate processing apparatus 101 according to the present embodiment may be used in the apparatus that performs plasma processing.
  • each of the exhaust pipes 331 through 333 may include at least one rib 370 for reinforcement.
  • the at least one rib 370 may be installed on inner sides of the exhaust pipes 331 through 333 as illustrated in FIG. 5 or may be installed on outer sides of the exhaust pipes 331 through 333 as illustrated in FIG. 6 .
  • the exhaust pipes 331 through 333 accommodated in the rear part 302 of the housing 111 are also installed on the floor 400 of the clean room.
  • the exhaust pipe 334 is also a rectangular-shaped exhaust pipe having a rectangular lateral cross-section, the exhaust pipe 334 is installed below the floor 400 of the clean room.
  • the exhaust pipe 340 and the vacuum pump 246 are also installed below the floor 400 of the clean room.
  • the exhaust pipe 247 is connected to a waste gas processing apparatus (not shown) installed outdoors via the floor 400 of the clean room.
  • the process furnace 202 is not directly connected to the rectangular-shaped exhaust pipe 330 (exhaust pipes 331 through 333 ) so that the process furnace 202 need not be taken out of the substrate processing apparatus 101 for setup or maintenance.
  • each of the lateral cross-sections of the exhaust pipes 331 through 333 is rectangular-shaped, the lateral cross-sections of the exhaust pipes 331 through 333 may be square-shaped or L-shaped. In this case, it is preferable that a rib is included on the inner or outer side of each of the exhaust pipes 331 through 333 for reinforcement.
  • exhaust pipes each having an oval cross-section may be used as the exhaust pipes 331 through 333 .
  • a rib may also be included on the inner or outer sides of the exhaust pipes 331 through 333 for reinforcement thereof.
  • the exhaust pipes 331 through 333 having rectangular-shaped or oval-shaped cross-sections perpendicular to an exhaust direction are preferable.
  • a rib is included in the inner or outer side of each of the exhaust pipes 331 through 333 for reinforcement.
  • an insulating film which may be preferably used for a capacitor of a dynamic random access memory (DRAM), on a substrate using the process furnace 202 of the substrate processing apparatus 101 described above will be described as a process included in a manufacturing process of a semiconductor apparatus (semiconductor device).
  • operations of the elements of the substrate processing apparatus 101 are controlled by the controller 121 .
  • a plurality of types of gases containing elements of a film that are to be formed are simultaneously supplied during chemical vapor deposition (CVD), and are alternately supplied during atomic layer deposition (ALD).
  • a silicon nitride film (SiN film) or a silicon oxide film (SiO film) is formed by controlling supply conditions of a gas such as a gas supply flow rate, a gas supply time and plasma power.
  • the supply conditions are controlled such that a composition ratio of the SiN film satisfies (N/Si) ⁇ 1.33 which is a stoichiometric composition when the SiN film is formed for example, and that a composition ratio of the SiO film satisfies (O/Si) ⁇ 2 which is a stoichiometric composition when the SiO film is formed for example.
  • the supply conditions may be controlled such that a composition ratio of a film that is to be formed is different from a stoichiometric composition.
  • the supply conditions may be controlled such that a composition of at least one of the elements of the film is beyond the range of a stoichiometric composition, compared to the other elements.
  • a film may be formed while controlling a ratio of the elements of the film such as the composition ratio of the film.
  • gases e.g., TEMAZ gas and ozone (O 3 ) gas, which contain two elements, e.g., zirconium (Zr) and oxygen (O)
  • the inside of the process chamber 201 is maintained at a predetermined temperature, e.g., 150° C. to 250° C., by controlling the heater 207 .
  • Step S 201 the boat 217 supporting the plurality of wafers 200 is lifted by the boat elevator 115 and then loaded into the process chamber 201 (boat loading) (Step S 202 ).
  • the lower end of the reaction tube 203 is in a state of air-tight sealing by the seal cap 219 via the O-ring 220 .
  • the inside of the process chamber 201 is vacuum-exhausted by the vacuum pump 246 to a desired pressure (degree of vacuum).
  • the pressure in the process chamber 201 is measured by the pressure sensor 245 , and the APC valve 244 is feedback-controlled based on the measured pressure (Step S 203 : pressure control).
  • the inside of the process chamber 201 is heated to a desired temperature by the heater 207 .
  • the amount of current supplied to the heater 207 from the heating power source (not shown) is also feedback-controlled based on temperature information detected by the temperature sensor 263 so that the inside of the process chamber 201 has a desired temperature distribution (Step S 203 : temperature control).
  • the boat 217 is rotated by the rotation mechanism 267 , thus rotating the wafers 200 .
  • a process of forming a ZrO 2 film which is an insulating film by ALD is performed by supplying TEMAZ gas and ozone (O 3 ) gas into the process chamber 201 .
  • the process of forming the ZrO 2 film is performed by sequentially performing the following four steps.
  • Step S 204 the TEMAZ gas is supplied first.
  • the TEMAZ gas is supplied into the gas supply pipe 232 a via the vaporizer 271 a.
  • a flow rate of the TEMAZ gas flowing through the gas supply pipe 232 a is controlled by the MFC 241 a.
  • the TEMAZ gas having the flow rate thereof controlled is supplied into the process chamber 201 via the gas supply holes 250 a of the nozzle 249 a, and, at the same time, is exhausted via the gas exhaust system 300 .
  • an inert gas such as N 2 gas
  • N 2 gas is supplied into the inert gas supply pipe 232 e by opening the valve 243 e.
  • a flow rate of the N 2 gas flowing through the inert gas supply pipe 232 e is controlled by the MFC 241 e.
  • the N 2 gas having the flow rate thereof controlled is supplied into the process chamber 201 together with the TEMAZ gas and exhausted via the gas exhaust system 300 .
  • the pressure in the process chamber 201 is controlled to range, for example, from 50 to 400 Pa, by appropriately controlling the APC valve 244 .
  • the supply flow rate of the TEMAZ gas controlled by the MFC 241 a is controlled to range from 0.1 to 0.5 g/min for example.
  • a time period during which the wafer 200 is exposed to the TEMAZ gas, i.e., a gas supply time (irradiation time), is set to range from 30 to 240 seconds for example.
  • the temperature of the heater 207 is set such that the temperature of the wafer 200 ranges from 150 to 250° C. for example.
  • a layer containing zirconium (Zr) is formed on a surface of the wafer 200 . That is, a zirconium (Zr) layer may be formed as a zirconium (Zr)-containing layer on the wafer 200 having a thickness of less than one atomic layer to several atomic layers.
  • the zirconium (Zr)-containing layer may be a chemical adsorption (surface adsorption) layer of the TEMAZ gas.
  • Zirconium (Zr) is an element having only a solid state.
  • examples of the zirconium (Zr) layer may include a continuous layer, a discontinuous layer or a thin film formed by overlapping the continuous layer and the discontinuous layer.
  • the continuous layer including zirconium (Zr) may also be referred to as a thin film.
  • the chemical adsorption layer of the TEMAZ gas may include not only continuous chemical adsorption layers including gas molecules of the TEMAZ gas but also discontinuous chemical adsorption layers including the gas molecules of the TEMAZ gas.
  • the thickness of the zirconium (Zr) layer formed on the wafer 200 exceeds several atomic layers, the oxidization process performed in Step 206 which will be described later is not delivered to the entire zirconium-containing layer.
  • a minimum thickness of the zirconium-containing layer that may be formed on the wafer 200 is less than one atomic layer.
  • the zirconium-containing layer may have a thickness ranging from less than one atomic layer to several atomic layers.
  • conditions such as the temperature of the wafer 200 and the inside pressure of the process chamber 201 may be controlled such that a zirconium (Zr) layer is formed by depositing zirconium (Zr) on the wafer 200 under conditions where the TEMAZ gas is self-decomposed, and that a chemical adsorption layer of the TEMAZ gas is formed by chemically adsorbing the TEMAZ gas onto the wafer 200 under conditions where the TEMAZ gas is not self-decomposed.
  • a film-forming rate of the zirconium (Zr) layer on the wafer 200 may be higher than that that of the chemical adsorption layer of the TEMAZ gas.
  • a film may be more densely formed when the zirconium (Zr) layer is formed on the wafer 200 than when the chemical adsorption layer of the TEMAZ gas is formed on the wafer 200 .
  • Step S 205
  • Step S 205 after the zirconium-containing layer is formed, the valve 243 a is closed and the valve 243 i is opened to suspend the supply of the TEMAZ gas into the process chamber 201 and to flow the TEMAZ gas into the vent line 232 i.
  • the inside of the process vacuum 201 is vacuum-exhausted by the vacuum pump 246 by opening the APC valve 244 of the gas exhaust system 300 , thereby removing non-reacted or residual TEMAZ gas remaining in the process chamber 201 from the process chamber 201 after the zirconium-containing layer is formed.
  • N 2 gas is continuously supplied into the process chamber 201 by opening the valve 243 e.
  • the non-reacted or residual TEMAZ gas remaining in the process chamber 201 after the zirconium-containing layer is formed may be efficiently removed from the process chamber 201 .
  • a rare gas such as argon (Ar) gas, helium (He) gas, neon (Ne) gas or xenon (Xe) gas may be used as an inert gas instead of the N 2 gas.
  • Step S 206 after the residual gas is removed from the process chamber 201 , O 2 gas is supplied into the gas supply pipe 232 b.
  • the O 2 gas flowing through the gas supply pipe 232 b is changed into O 3 gas by the ozonizer 500 .
  • the O 3 gas is supplied into the process chamber via the gas supply holes 250 b of the nozzle 249 b while controlling a flow rate of the O 3 gas flowing through the gas supply pipe 232 b by the MFC 241 d, and at the same time, is exhausted via the gas exhaust system 300 .
  • N 2 gas is supplied into the inert gas supply pipe 232 f by opening the valve 243 f.
  • the N 2 gas is supplied into the process chamber 201 together with the O 3 gas, and at the same time, is exhausted via the gas exhaust system 300 .
  • the APC valve 244 is appropriately controlled such that the inner pressure of the process chamber 201 may range from 50 to 400 Pa for example.
  • a supply flow rate of the O 3 gas controlled by the MFC 241 b may range from 10 to 20 slm for example.
  • a time period during which the wafer 200 is exposed to the O 3 gas, i.e., a gas supply time (irradiation time), may range from 60 to 300 seconds for example.
  • the temperature of the heater 207 is set such that the temperature of the wafer 200 ranges from 150 to 250° C. similar to Step S 204 .
  • the gas supplied into the process chamber 201 is O 3 gas and the TEMAZ gas is not supplied into the process chamber 201 .
  • the O 3 gas reacts with a portion of the zirconium-containing layer formed on the wafer 200 in Step S 204 without causing a gaseous reaction.
  • the zirconium-containing layer is oxidized and modified into a layer containing zirconium and oxygen, i.e., a zirconium oxide (ZrO 2 ) layer.
  • Step S 207 the valve 243 b of the gas supply pipe 232 b is closed and the valve 243 h is opened to suspend the supply of the O 3 gas into the process chamber 201 and to supply the O 3 gas into the vent line 232 h.
  • the inside of the process chamber 201 is vacuum-exhausted by the vacuum pump 246 by opening the APC valve 244 of the gas exhaust system 300 , thereby removing non-reacted or residual O 3 gas remaining in the process chamber 201 from the process chamber 201 after an oxidization process is performed.
  • N 2 gas is continuously supplied into the process chamber 201 by opening the valve 243 f.
  • O 2 gas may be used as an oxygen-containing gas instead of the O 3 gas.
  • An insulating film containing zirconium and oxygen i.e., a ZrO 2 film, may be formed on the wafer 200 to a predetermined thickness by performing the cycle including Steps S 204 through S 207 at least once (Step S 208 ).
  • valves 243 e and valve 243 f are opened to supply an inert gas such as N 2 gas into the inert gas supply pipes 232 e and 232 f, and the inside of the process chamber 201 is purged with the inert gas by exhausting the process chamber 201 while supplying the inert gas such as the N 2 gas, into the process chamber 201 (gas purging: Step S 210 ). Thereafter, an atmosphere in the process chamber 201 is replaced with the inert gas (replacement of inert gas), and the pressure in the process chamber 201 is returned to a atmospheric pressure (atmosphere pressure recovery: Step S 212 ).
  • an atmosphere in the process chamber 201 is replaced with the inert gas (replacement of inert gas), and the pressure in the process chamber 201 is returned to a atmospheric pressure (atmosphere pressure recovery: Step S 212 ).
  • Step S 216 the processed wafer 200 supported by the boat 217 is unloaded from the process chamber 201 through the lower end of the reaction tube 203 .
  • Step S 216 the processed wafer 200 is discharged from the boat 217 (wafer discharging: Step S 216 ).
  • a substrate processing apparatus with the increase conductance of the exhaust system while preventing or suppressing the increase in footprint thereof, thereby reducing the inner pressure thereof.
  • a substrate processing apparatus includes a process container configured to accommodate a plurality of substrates; a process gas supply unit configured to supply a process gas into the process container to process the plurality of substrates; and an exhaust unit configured to exhaust the process container.
  • the exhaust unit includes a vacuum pump and exhaust pipes configured to connect the process container and the vacuum pump. At least a portion of the exhaust pipes has a rib structure, and cross-sections of the exhaust pipes perpendicular to an exhaust direction include rectangular or oval shaped portions.
  • the at least a portion of the exhaust pipes may have a rib structure and cross-sections of the exhaust pipes perpendicular to the exhaust direction may have a rectangular or oval shape.
  • the at least a portion of the exhaust pipes may have a rib structure, and cross-sections of the exhaust pipes perpendicular to the exhaust direction may have a rectangular shape.
  • an aspect ratio of the rectangular cross-section may be 8:1 or lower.
  • the process gas supply unit is configured to form a high-k dielectric film by alternately supplying two types of process gases into the process container.

Abstract

Provided is a substrate processing apparatus capable of increasing a conductance of an exhaust system while preventing or suppressing an increase in footprint of an apparatus, thereby reducing a pressure thereof. The substrate processing apparatus includes a process container (203) configured to accommodate a plurality of substrates (200) stacked together, process gas supply units (232 a, 232 b, 249 a, and 249 b) configured to supply process gases for processing the plurality of substrates (200) into the process container (203), and an exhaust unit (300) configured to exhaust the process container (203). The exhaust unit (300) includes a vacuum pump (246), and exhaust pipes configured to connect the process container (203) and the vacuum pump (246). At least a portion of the exhaust pipes has a rib structure (370), and includes pipes (331 to 333) in which cross-sections perpendicular to an exhaust direction have a rectangular or oval shape.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application claims foreign priority under 35 U.S.C. §119(a)-(d) to Application No. JP 2011-260937 filed on Nov. 29, 2011, entitled “Exhaust Unit, Substrate Processing Apparatus, and Method of Manufacturing Semiconductor Device,” the entire contents of which are hereby incorporated by reference.
  • FIELD OF THE INVENTION
  • The present invention relates to a substrate processing apparatus, and more particularly, to a substrate processing apparatus capable of processing a semiconductor silicon wafer.
  • BACKGROUND
  • A substrate processing apparatus for manufacturing a capacitor used in a semiconductor device such as a dynamic random access memory (DRAM) on a substrate such as a semiconductor silicon wafer is disclosed in Japanese Patent Application Laid-Open No. 2010-50439.
  • Recently, semiconductor devices are miniaturized, and a substrate processing apparatus for manufacture the semiconductor devices is required to have a low inside pressure in order to improve gas adsorption characteristics according to the miniaturization. Although it is efficient to increase an exhaust speed of a vacuum pump in order to achieve lower inside pressure, it is also necessary to improve a conductance of the exhaust system due to the variation of the exhaust speed of the substrate processing apparatus being dependent upon the conductance of the exhaust system. However, enlarging the diameter of the conventional exhaust system so as to increase the conductance thereof results in a large footprint of the substrate processing apparatus.
  • SUMMARY
  • The present invention is directed to providing a substrate processing apparatus with increased conductance of an exhaust system while preventing or suppressing an increase in footprint thereof, thereby reducing an inner pressure thereof.
  • According to one aspect of the present invention, there is provided an exhaust unit including a first exhaust pipe connected to a process container configured to accommodate and process a substrate, the first exhaust pipe having circular or oval cross-section perpendicular to an exhausting direction thereof; and a second exhaust pipe connected to the first exhaust pipe, the second exhaust pipe having square or rectangular cross-section perpendicular to the exhausting direction.
  • According to another aspect of the present invention, there is provided a substrate processing apparatus including a process container configured to accommodate a substrate; a process gas supply system configured to supply a process gas for processing the substrate into the process container; and an exhaust system configured to exhaust the process container, wherein the exhaust system includes: a first exhaust pipe connected to the process container, the first exhaust pipe having circular or oval cross-section perpendicular to an exhausting direction thereof; and a second exhaust pipe connected to the first exhaust pipe, the second exhaust pipe having square or rectangular cross-section perpendicular to the exhausting direction.
  • According to still another aspect of the present invention, there is provided a method of manufacturing a semiconductor device, the method including processing a substrate accommodated in a process container by supplying a process gas onto the substrate; and exhausting the process container using an exhaust system including a first exhaust pipe connected to the process container, the first exhaust pipe having circular or oval cross-section perpendicular to an exhausting direction thereof; and a second exhaust pipe connected to the first exhaust pipe, the second exhaust pipe having square or rectangular cross-section perpendicular to the exhausting direction.
  • According to yet another aspect of the present invention, there is provided a substrate processing apparatus including a process chamber configured to accommodate a plurality of substrates stacked together, a process gas supply unit configured to supply a process gas for processing the plurality of substrates into the process chamber, and an exhaust unit configured to exhaust the process chamber. The exhaust unit includes a vacuum pump, and exhaust pipes configured to connect the process chamber and the vacuum pump. At least a portion of the exhaust pipes has a rib structure and includes pipes in which cross-sections perpendicular to an exhaust direction have rectangular or oval shaped portions
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a perspective view schematically illustrating a substrate processing apparatus according to an embodiment of the present invention.
  • FIG. 2 is a schematic configuration diagram schematically exemplifying a process furnace and accompanying members thereof in a substrate processing apparatus according to an embodiment of the present invention wherein a longitudinal cross-sectional view of a process furnace portion is schematically shown.
  • FIG. 3 is a lateral cross-sectional view illustrating the process furnace of FIG. 2 taken along line C-C.
  • FIG. 4 is a perspective view schematically illustrating a substrate processing apparatus according to an embodiment of the present invention.
  • FIG. 5 is a perspective view schematically illustrating an exhaust pipe used in a substrate processing apparatus according to an embodiment of the present invention.
  • FIG. 6 is a perspective view schematically illustrating an exhaust pipe used in a substrate processing apparatus according to another embodiment of the present invention.
  • FIG. 7 is a plan view schematically illustrating a substrate processing apparatus according to an embodiment of the present invention.
  • FIG. 8 is a plan view schematically illustrating a substrate processing apparatus according to a comparative example.
  • FIG. 9 is a plan view schematically illustrating a substrate processing apparatus according to another comparative example.
  • FIG. 10 is a flowchart illustrating a process of forming a zirconium oxide film using a substrate processing apparatus according to an embodiment of the present invention.
  • FIG. 11 is a timing chart illustrating a process of forming a zirconium oxide film using a substrate processing apparatus according to an embodiment of the present invention.
  • DETAILED DESCRIPTION
  • Hereinafter, a substrate processing apparatus according to an exemplary embodiment of the present invention will be described with reference to the accompanying drawings. In one embodiment, the substrate processing apparatus is configured as a semiconductor manufacturing apparatus to perform a film forming process as a substrate processing process used in a method of manufacturing an integrated circuit (IC) as a semiconductor device. In addition, in the following disclosure, a case in which a batch-type vertical apparatus is used as a substrate processing apparatus (hereinafter also referred to simply as a ‘processing apparatus’) to perform oxidation, nitridation, diffusion, or chemical vapor deposition (CVD) on a substrate will be described.
  • Referring to FIG. 1, in a substrate processing apparatus 101, a cassette 110 accommodating a substrate, e.g., a wafer 200, is used, and the wafer 200 is made of semiconductor silicon or the like. The substrate processing apparatus 101 includes a housing 111, and a cassette stage 114 is installed in the housing 111. The cassette 110 is loaded onto or unloaded from the cassette stage 114 by a carrying device (not shown) during a process.
  • The cassette 110 is placed on the cassette stage 114 by the carrying device in a manner that the wafer 200 in the cassette 110 is retained in a vertical posture and a wafer entrance of the cassette 110 is disposed upward. The cassette stage 114 is configured in a manner that the cassette 110 is vertically rotated 90° toward the rear of the housing 111 to arrange the wafer 200 in the cassette 110 to have a horizontal posture, thereby allowing the wafer entrance of the cassette 110 to face the rear of the housing 111.
  • A cassette shelf 105 is installed approximately at a center of the housing 111 with respect to a forward-backward direction. The cassette shelf 105 includes a plurality of columns and a plurality of rows to store the cassettes 110 therein. In the cassette shelf 105, a transfer shelf 123 is installed to accommodate the cassette 110 that is to be carried via a wafer transfer mechanism 125.
  • A preparatory cassette shelf 107 is installed above the cassette stage 114 to preparatorily store the cassette 110.
  • A cassette carrying device 118 is installed between the cassette stage 114 and the cassette shelf 105. The cassette carrying device 118 includes a cassette elevator 118 a that is movable upward/downward while retaining the cassette 110, and a cassette carrying mechanism 118 b as a carrying mechanism. The cassette carrying device 118 is configured in a manner that the cassette 110 is carried among the cassette stage 114, the cassette shelf 105, the preparatory cassette shelf 107 and the transfer shelf 123 through an association with the cassette elevator 118 a and the cassette carrying mechanism 118 b.
  • The wafer transfer mechanism 125 is installed at the rear of the cassette shelf 105. The wafer transfer mechanism 125 includes a wafer transfer device 125 a that can rotate the wafer 200 horizontally or move the wafer 200 in a straight direction, and a wafer transfer device elevator 125 b that moves the wafer transfer device 125 a upward/downward. Tweezers 125 c are installed on the wafer transfer device 125 a to pick up and retain the wafer 200 in a horizontal posture. The wafer transfer device 125 a is configured in a manner that the wafer 200 is loaded (charged) into a boat 217 from the cassette 110 on the transfer shelf 123 or is unloaded (discharged) from the boat 217 to be accommodated in the cassette 110 on the transfer shelf 123 using the tweezers 125 c as a unit for placing the wafer 200 through an association with the wafer transfer device 125 a and the wafer transfer device elevator 125 b.
  • A process furnace 202 is installed on an upper portion of a rear part of the housing 111 to thermally treat the wafer 200, and a lower end of the process furnace 202 is configured to be opened and closed by a furnace port shutter 147.
  • A boat elevator 115 is installed below the process furnace 202 to move the boat 217 upward/downward with respect to the process furnace 202. A platform of the boat elevator 115 is connected to an arm 128, and a seal cap 219 is installed parallel to the arm 128. The seal cap 219 is configured to vertically support the boat 217 and block the lower end of the process furnace 202.
  • The boat 217 includes a plurality of retaining members, and is configured to horizontally retain a plurality of wafers 200 (e.g., about 50 to 150 wafers) in a state where the plurality of wafers 200 are concentrically arranged in a vertical direction.
  • A cleaning unit 134 a that supplies clean air (clean atmosphere) is installed above the cassette shelf 105. The cleaning unit 134 a includes a supply fan (not shown) and a dust filter (not shown), and is configured to circulate clean air within the housing 111.
  • A cleaning unit 134 b that supplies clean air is installed at a left end of the housing 111. The cleaning unit 134 b also includes a supply fan (not shown) and a dust filter (not shown), and is configured to circulate clean air near the wafer transfer device 125 a, the boat 217, or the like. The clean air is circulated near the wafer transfer device 125 a or the boat 217 and is then exhausted from the housing 111.
  • The housing 111 includes rear parts 301 and 302 at a rear side thereof. A space between the rear parts 301 and 302 is used as a maintenance space 303 for maintenance of the substrate processing apparatus 101.
  • A main operation of the substrate processing apparatus 101 will now be described.
  • When the cassette 110 is loaded onto the cassette stage 114 by the carrying device (not shown), the cassette 110 is placed on the cassette stage 114 in a manner that the wafer 200 is retained on the cassette stage 114 in a vertical posture and the wafer entrance of the cassette 110 faces upward. The cassette 110 is then vertically rotated 90° toward the rear of the housing 111 by the cassette stage 114 such that the wafer 200 in the cassette 110 is disposed in a horizontal posture and the wafer entrance of the cassette 110 faces the rear of the housing 111.
  • Thereafter, the cassette 110 is automatically carried to a predetermined shelf position at the cassette shelf 105 or the preparatory cassette shelf 107 by the cassette carrying device 118, is temporarily stored at the predetermined shelf position, and is then transferred to the transfer shelf 123 from the cassette shelf 105 or the preparatory cassette shelf 107 by the cassette carrying device 118 or is directly carried to the transfer shelf 123.
  • When the cassette 110 is transferred to the transfer shelf 123, the wafer 200 is picked up from the cassette 110 via the wafer entrance of the cassette 110 using the tweezers 125 c of the wafer transfer device 125 a, and is loaded (charged) into the boat 217 through an association with the wafer transfer device 125 a and the wafer transfer device elevator 125 b. The wafer transfer device 125 a that transfers the wafer 200 to the boat 217 is returned to the cassette 110 so as to load a subsequent wafer 200 into the boat 217.
  • When a predetermined number of the wafers 200 are loaded into the boat 217, the furnace port shutter 147 that blocks the lower end of the process furnace 202 is opened to expose the lower end of the process furnace 202. The boat 217 retaining the predetermined number of the wafers 200 is then loaded into the process furnace 202 by a lifting movement of the boat elevator 115, and the lower end of the process furnace 202 is blocked by the seal cap 219.
  • After the boat 217 is loaded, a predetermined treatment is performed on the wafers 200 in the process furnace 202. Thereafter, the wafers 200 and the cassette 110 are unloaded from the housing 111 in reverse order.
  • The process furnace 202 used in the substrate processing apparatus 101 described above will now be described with reference to FIG. 2.
  • Referring to FIG. 2, a heater 207 which is a heating device (heating means) for heating the wafers 200 is installed in the process furnace 202. The heater 207 includes a cylindrical insulating member with the top being closed and a plurality of heater wires, and has a unit structure in which the plurality of heater wires are installed with respect to the insulating member. The heater 207 is installed vertically while being supported by a heater base (not shown) as a retaining plate. In addition, a heating power source (not shown) that supplies power to the heater 207 is installed. Inside the heater 207, a reaction tube 203 made of quartz forming a reaction container (process container) for processing the wafer 200 is installed concentrically with the heater 207.
  • The seal cap 219 is installed below the reaction tube 203 as a furnace port lid capable of air-tightly sealing an aperture in a lower end of the reaction tube 203. The seal cap 219 is configured to vertically abut the lower end of the reaction tube 203. The seal cap 219 is made of a metal such as stainless steel, and has a disc shape. A sealing member (hereinafter referred to as an ‘O-ring’) 220 is disposed between a ring-shaped flange installed on an end of the aperture in the lower end of the reaction tube 203 and an upper surface of the seal cap 219 to air-tightly seal the ring-shaped flange and the upper surface of the seal cap 219. At least the reaction tube 203 and the seal cap 219 forms a process chamber 201.
  • A boat support 218 supporting the boat 217 is installed on the seal cap 219. The boat support 218 is made of a heat-resistant material such as quartz or silicon carbide, and functions as both an insulating member and a supporting member. The boat 217 is made of a heat-resistant material such as quartz or silicon carbide. The boat 217 includes a bottom board 210 fixed onto the boat support 218, a top board 211 disposed above the bottom board 210 and a plurality of pillars 212 installed between the bottom board 210 and the top board 211 (see FIG. 1). The plurality of wafers 200 are retained in the boat 217. The plurality of wafers 200 are stacked in multistage and arranged concentrically in a tube axial direction of the reaction tube 203, and supported by the pillars 212 of the boat 217 while the wafers 200 are retained at predetermined intervals and in a horizontal posture.
  • A rotation mechanism 267 that rotates the boat 217 is installed at a side of the seal cap 219 opposite to the process chamber 201. A rotation shaft 255 of the rotation mechanism 267 is connected to the boat support 218 through the seal cap 219, and rotates the plurality of wafers 200 by rotating the boat 217 via the boat support 218 by the rotation mechanism 267 so as to improve uniformity of substrate processing.
  • The seal cap 219 can be moved upward or downward by the boat elevator 115 which is a lifting mechanism installed outside the reaction tube 203, thereby loading the boat 217 into or unloading the boat 217 from the process chamber 201.
  • The boat 217 supported by the boat support 218 is loaded into the process chamber 218 while the plurality of wafers 200 to be batch-processed are stacked in multistage with respect to the boat 217. The process furnace 202 is configured in a manner that the plurality of wafers 200 inserted into the process chamber 201 are heated to a predetermined temperature by the heater 207.
  • In the process chamber 201, a nozzle 249 a and a nozzle 249 b are installed below the reaction tube 203 to pass through the reaction tube 203. The nozzles 249 a and 249 b are connected to a gas supply pipe 232 a and a gas supply pipe 232 b, respectively. The two nozzles 249 a and 249 b and the two gas supply pipes 232 a and 232 b are installed in the reaction tube 203 so that a plurality of types of gases may be supplied into the process chamber 201. In addition, as will be described below, the gas supply pipe 232 a and the gas supply pipe 232 b are connected to an inert gas supply pipe 232 e and an inert gas supply pipe 232 f, respectively.
  • A mass flow controller (MFC) 241 a which is a flow rate controller (flow rate control unit), a vaporizer 271 a which is a vaporizing device (vaporizing means) for generating a vapor gas as a source gas by vaporizing a liquid source, and a valve 243 a which is a opening/closing valve are sequentially installed at the gas supply pipe 232 a from an upstream side to a downstream side. The vapor gas generated in the vaporizer 271 a is supplied into the process chamber 201 via the nozzle 249 a by opening the valve 243 a. A vent line 232 i connected to an exhaust pipe 247 which will be described later is connected to the gas supply pipe 232 a between the vaporizer 271 a and the valve 243 a. A valve 243 i which is a opening/closing valve is installed in the vent line 232 i to supply a source gas to the vent line 232 i via the valve 243 i when the source gas is not supplied into the process chamber 201. The supply of the vapor gas into the process chamber 201 may be discontinued while continuously generating the vapor gas by the vaporizer 271 a by closing the valve 243 a and opening the valve 243 i. Although it takes considerable time to safely generate the vapor gas, it may take a very short time to switch between supplying the vapor gas into the process chamber 201 and discontinuing the supply of the vapor gas by opening and closing the valve 243 a and the valve 243 i. In addition, the inert gas supply pipe 232 e is connected to the gas supply pipe 232 a at a downstream side of the valve 243 a. An MFC 241 e which is a flow rate controller (flow rate control unit) and a valve 243 e which is a opening/closing valve are sequentially installed at the inert gas supply pipe 232 e from an upstream side to a downstream side.
  • The nozzle 249 a described above is connected to a front end of the gas supply pipe 232 a. The nozzle 249 a is installed in an arc-shaped space between an inner wall of the reaction tube 203 and the wafers 200 and extends from a lower portion to an upper portion of the inner wall of the reaction tube 203 in a stacking direction. The nozzle 249 a may be an L-shaped long nozzle. Gas supply holes 250 a are disposed on a side surface of the nozzle 249 a to supply a gas. The gas supply holes 250 a are open toward a center of the reaction tube 203. The gas supply holes 250 a are disposed from the lower portion to the upper portion of the reaction tube 203, and have the same opening area and the same pitch.
  • The gas supply pipe 232 a, the vent line 232 i, the valves 243 a and 243 i, the vaporizer 271 a, the MFC 241 a and the nozzle 249 a constitutes a first gas supply system. In addition, the inert gas supply pipe 232 e, the MFC 241 e and the valve 243 e constitutes a first inert gas supply system.
  • An ozonizer 500 which generates ozone (O3) gas, a valve 243 c, an MFC 241 b which is flow rate controller (flow rate control unit), and a valve 243 b which is a opening/closing valve are sequentially installed at the gas supply pipe 232 b to an upstream side to a downstream side. An upstream side of the gas supply pipe 232 b is connected to an oxygen gas supply source (not shown) that supplies oxygen (O2) gas. Oxygen (O2) gas supplied to the ozonizer 500 is changed into ozone (O3) gas by the ozonizer 500 and then supplied into the process chamber 201. A vent line 232 h connected to the exhaust pipe 247 which will be described later is connected to the gas supply pipe 232 b between the MFC 241 b and the valve 243 b. A valve 243 h which is a opening/closing valve is installed at the vent line 232 h to supply a source gas into the vent line 232 h via the valve 243 h when the ozone (O3) gas is not supplied into the process chamber 201. By closing the valve 243 b and opening the valve 243 h, the supply of the ozone (O3) gas into the process chamber 201 may be discontinued while the ozone (O3) gas is continuously generated by the ozonizer 500. Although it takes considerable time to safely refine the ozone (O3) gas, it may take a very short time to switch between supplying the ozone (O3) gas into the process chamber 201 and discontinuing the supply of the ozone (O3) gas by opening and shutting the valve 243 b and the valve 243 h. In addition, the inert gas supply pipe 232 f is connected to the gas supply pipe 232 b at a downstream side of the valve 243 b. An MFC 241 f which is a flow rate controller (flow rat control unit) and a valve 243 f which is a opening/closing valve are sequentially installed at the inert gas supply pipe 232 f from an upstream side to a downstream side.
  • The nozzle 249 b described above is connected to a front end of the gas supply pipe 232 b. The nozzle 249 b is installed in an arc-shaped space between an inner wall of the reaction tube 203 and the wafers 200 and extends from a lower portion to an upper portion of the inner wall of the reaction tube 203 in a stacking direction. The nozzle 249 b may be an L-shaped long nozzle. Gas supply holes 250 b are disposed on a side surface of the nozzle 249 b to supply a gas. The gas supply holes 250 b are open toward the center of the reaction tube 203. The gas supply holes 250 b are disposed from the lower portion to the upper portion of the reaction tube 203, and have the same opening area and the same pitch.
  • The gas supply pipe 232 b, the vent line 232 h, the ozonizer 500, the valves 243 c, 243 b, and 243 h, the MFC 241 b and the nozzle 249 b constitutes a second gas supply system. In addition, the inert gas supply pipe 232 f, the MFC 241 f and the valve 243 f constitutes a second inert gas supply system.
  • A zirconium source gas, i.e., a gas containing zirconium (Zr) (zirconium-containing gas) is supplied as a source gas into the process chamber 201 via the gas supply pipe 232 a, the MFC 241 a, the vaporizer 271 a, the valve 243 a and the nozzle 249 a. For example, tetrakis(ethylmethylamino)zirconium (TEMAZ) gas may be used as the zirconium-containing gas. In addition, the source gas may be in a solid, liquid or gaseous state at a room temperature and atmospheric pressure. However, the source gas is assumed to be in the liquid state hereinafter. When the source gas is in the gaseous state at the room temperature and atmospheric pressure, the vaporizer 500 is not required.
  • A gas containing oxygen (O) (oxygen-containing gas) such as oxygen (O2) gas is supplied to the gas supply pipe 232 b, changed into ozone (O3) gas by the ozonizer 500, and then supplied as an oxidizing gas (oxidizing agent) into the process chamber 201 via the valve 243 c, the MFC 241 b, the valve 243 b and the nozzle 249 b. Alternatively, the oxygen (O2) gas may be supplied as the oxidizing gas into the process chamber 201 without generating the ozone (O3) gas by the ozonizer 500.
  • Nitrogen (N2) gas, for example, is supplied into the process chamber 201 via the inert gas supply pipes 232 e and 232 f, the MFCs 241 e and 241 f, the valves 243 e and 243 f, the gas supply pipes 232 a and 232 b and the nozzles 249 a and 249 b.
  • In the reaction tube 203, a temperature sensor 263 is installed as a temperature detector. The temperature sensor 263 is configured to control an amount of current to be supplied to the heater 207 based on temperature information detected by the temperature sensor 263 so that inside temperature of the process chamber 201 may have a desired temperature distribution. The temperature sensor 263 has an L-shape similar to the nozzles 249 a and 249 b, and is installed along the inner wall of the reaction tube 203.
  • An exhaust system 300 is installed in the reaction tube 203 to exhaust the atmosphere in the process chamber 201. An exhaust pipe 231, an auto pressure controller (APC) valve 244 which is a pressure adjustor (pressure adjustment unit), an exhaust pipe 320, an exhaust pipe 330, an exhaust pipe 340, a vacuum pump 246 which is a vacuum exhaust device and the exhaust pipe 247 are sequentially installed at the exhaust system 300 from an upstream side (a process chamber side) to a downstream side. A pressure sensor 245 is installed as a pressure detector (pressure detection unit) at the exhaust pipe 231 to detect inside pressure of the process chamber 201. The exhaust pipe 247 installed at a downstream side of the vacuum pump 246 is connected to a waste gas processing device (not shown). The exhaust system 300 is configured to vacuum-exhaust an inside of the process chamber 201 such that inside pressure of the process chamber 201 is at a predetermined pressure (degree of vacuum). The APC valve 243 is a opening/closing valve configured to start or suspend the vacuum-exhaust of the process chamber 201 by opening/closing, and to adjust the pressure in the process chamber 201 by controlling the degree of opening and a conductance thereof.
  • A controller 121 which is a control unit (control member) is connected to the MFCs 241 a, 241 b, 241 e and 241 f, the valves 243 a, 243 b, 243 c, 243 e, 243 f, 243 h, and 243 i, the vaporizer 271 a, the ozonizer 500, the pressure sensor 245, the APC valve 244, the vacuum pump 246, the heating power source (not shown), the temperature sensor 263, the boat rotation mechanism 267 and the boat elevator 115. The controller 121 controls flow rates of various gases by controlling the MFCs 241 a, 241 b, 241 e and 241 f; controls opening/closing of the valves 243 a, 243 b, 243 c, 243 e, 243 f, 243 h and 243 i; controls opening/closing of the APC valve 244; controls the degree of pressure using the pressure sensor 245; controls temperature of the heater 207 using the temperature sensor 263; controls the vaporizer 271 a and the ozonizer 500; controls driving/suspending of the vacuum pump 246; controls a rotation speed of the boat rotation mechanism 267; and controls a lifting operation of the boat elevator 115.
  • The exhaust system 300 will now be described in more detail. As described above, the exhaust pipe 231, the APC valve 244, the exhaust pipe 320, the exhaust pipe 330, the exhaust pipe 340, the vacuum pump 246 and the exhaust pipe 247 are sequentially installed in the exhaust system 300 from the upstream side (process chamber side) to the downstream side of the exhaust system 300. Referring to FIG. 4, the exhaust pipes 231, 320, 340 and 247 are round pipes each having a circular cross-section. Exhaust pipes 331 through 334 are sequentially installed at the exhaust pipe 330, from the upstream side to the downstream side of the exhaust pipe 330.
  • The exhaust pipes 331 through 333 have the same lateral cross-sections and are vertically stacked. The exhaust pipes 331 to 333 are rectangular-shaped pipes having rectangular cross-sections. Thus, even when the conductances of the exhaust pipes 331 through 333 increases by increasing the lateral cross-sectional areas thereof, the exhaust pipes 331 through 333 may be accommodated in the rear part 302 of the housing 111 of the substrate processing apparatus 101, and the footprint of the substrate processing apparatus 101 is not expanded (see FIG. 7). In contrast, when a circular pipe 360 having a circular cross-section is used and a lateral cross-sectional area of the circular pipe 360 is increased to increase the conductances thereof, a rear part 302′ of the housing 111 needs to be expanded so as to accommodate the circular pipe 360 as illustrated in FIG. 8, thereby expanding the footprint of the substrate processing apparatus 101. According to the structure of the exhaust system 300, in case of supplying N2 at 30 slm, the inside pressure of the substrate processing apparatus may be reduced to several Pas to several tens of Pas when the square-shaped exhaust pipes 331 through 333 illustrated in FIG. 7 that may be accommodated in the rear part 302 of the housing 111 are used, compared to a circular pipe 351 illustrated in FIG. 9 that may be accommodated in the rear part 302 of the housing 111. As described above, by using the rectangular-shaped exhaust pipes 331 through 333, the conductance of the exhaust system 300 may be improved without increasing the footprint of the substrate processing apparatus 101, and the exhaust performance of the vacuum pump 246 is also improved. As a result, the inside pressure of the substrate processing apparatus 101 may be reduced without increasing the footprint of the substrate processing apparatus 101, and gas adsorption characteristics in regard to miniaturization of semiconductor device may be improved. In addition, the cross-sectional areas of the exhaust pipes 331 through 333 are determined by an amount of exhaust (destination pressure in the process chamber 201).
  • As described above, since, according to the present embodiment, the conductance of the exhaust system 300 may be improved, the amount of exhaust may be increased, and the pressure of the substrate processing apparatus 101 may be reduced. Therefore, the substrate processing apparatus 101 according to the present embodiment may be preferably used in an apparatus using a source gas having a low vapor pressure.
  • In addition, since an apparatus that performs plasma processing requires a low inside pressure, the substrate processing apparatus 101 according to the present embodiment may be used in the apparatus that performs plasma processing.
  • Referring to FIG. 5, an aspect ratio (a ratio between height A and width B=A/B) of each of the exhaust pipes 331 through 333 may be 8:1 or lower. Such aspect ratio is preferable because a desired destination pressure may be obtained while maintaining a width of the apparatus to be the same as that of a conventional apparatus wherein a circular pipe is used.
  • In addition, as illustrated in FIG. 5, each of the exhaust pipes 331 through 333 may include at least one rib 370 for reinforcement. The at least one rib 370 may be installed on inner sides of the exhaust pipes 331 through 333 as illustrated in FIG. 5 or may be installed on outer sides of the exhaust pipes 331 through 333 as illustrated in FIG. 6.
  • Since the housing 111 is installed on a floor 400 of a clean room, the exhaust pipes 331 through 333 accommodated in the rear part 302 of the housing 111 are also installed on the floor 400 of the clean room. Although the exhaust pipe 334 is also a rectangular-shaped exhaust pipe having a rectangular lateral cross-section, the exhaust pipe 334 is installed below the floor 400 of the clean room. Thus, the footprint of the substrate processing apparatus 101 is not directly influenced by the size of the exhaust pipe 334. The exhaust pipe 340 and the vacuum pump 246 are also installed below the floor 400 of the clean room. The exhaust pipe 247 is connected to a waste gas processing apparatus (not shown) installed outdoors via the floor 400 of the clean room.
  • The process furnace 202 is not directly connected to the rectangular-shaped exhaust pipe 330 (exhaust pipes 331 through 333) so that the process furnace 202 need not be taken out of the substrate processing apparatus 101 for setup or maintenance.
  • Although each of the lateral cross-sections of the exhaust pipes 331 through 333 is rectangular-shaped, the lateral cross-sections of the exhaust pipes 331 through 333 may be square-shaped or L-shaped. In this case, it is preferable that a rib is included on the inner or outer side of each of the exhaust pipes 331 through 333 for reinforcement.
  • Alternatively, exhaust pipes each having an oval cross-section may be used as the exhaust pipes 331 through 333. In this case, a rib may also be included on the inner or outer sides of the exhaust pipes 331 through 333 for reinforcement thereof.
  • As described above, the exhaust pipes 331 through 333 having rectangular-shaped or oval-shaped cross-sections perpendicular to an exhaust direction are preferable. In this case, it is preferable that a rib is included in the inner or outer side of each of the exhaust pipes 331 through 333 for reinforcement.
  • Next, a sequence of forming an insulating film, which may be preferably used for a capacitor of a dynamic random access memory (DRAM), on a substrate using the process furnace 202 of the substrate processing apparatus 101 described above will be described as a process included in a manufacturing process of a semiconductor apparatus (semiconductor device). In the following disclosure, operations of the elements of the substrate processing apparatus 101 are controlled by the controller 121.
  • A plurality of types of gases containing elements of a film that are to be formed are simultaneously supplied during chemical vapor deposition (CVD), and are alternately supplied during atomic layer deposition (ALD). A silicon nitride film (SiN film) or a silicon oxide film (SiO film) is formed by controlling supply conditions of a gas such as a gas supply flow rate, a gas supply time and plasma power. In the CVD and the ALD, the supply conditions are controlled such that a composition ratio of the SiN film satisfies (N/Si)≈1.33 which is a stoichiometric composition when the SiN film is formed for example, and that a composition ratio of the SiO film satisfies (O/Si)≈2 which is a stoichiometric composition when the SiO film is formed for example.
  • Alternatively, the supply conditions may be controlled such that a composition ratio of a film that is to be formed is different from a stoichiometric composition. In other words, the supply conditions may be controlled such that a composition of at least one of the elements of the film is beyond the range of a stoichiometric composition, compared to the other elements. As described above, a film may be formed while controlling a ratio of the elements of the film such as the composition ratio of the film.
  • A sequence of forming a film (a ZrO2 film) including a stoichiometric composition as a high-k dielectric insulating film for a capacitor of a DRAM by alternately supplying two types of gases, e.g., TEMAZ gas and ozone (O3) gas, which contain two elements, e.g., zirconium (Zr) and oxygen (O), will now be described with reference to FIGS. 10 and 11.
  • The inside of the process chamber 201 is maintained at a predetermined temperature, e.g., 150° C. to 250° C., by controlling the heater 207.
  • Thereafter, after the plurality of wafers 200 are loaded into the boat 217 (wafer charging) (Step S201), the boat 217 supporting the plurality of wafers 200 is lifted by the boat elevator 115 and then loaded into the process chamber 201 (boat loading) (Step S202). The lower end of the reaction tube 203 is in a state of air-tight sealing by the seal cap 219 via the O-ring 220.
  • Thereafter, the inside of the process chamber 201 is vacuum-exhausted by the vacuum pump 246 to a desired pressure (degree of vacuum). The pressure in the process chamber 201 is measured by the pressure sensor 245, and the APC valve 244 is feedback-controlled based on the measured pressure (Step S203: pressure control). In addition, the inside of the process chamber 201 is heated to a desired temperature by the heater 207. The amount of current supplied to the heater 207 from the heating power source (not shown) is also feedback-controlled based on temperature information detected by the temperature sensor 263 so that the inside of the process chamber 201 has a desired temperature distribution (Step S203: temperature control). Thereafter, the boat 217 is rotated by the rotation mechanism 267, thus rotating the wafers 200.
  • Thereafter, a process of forming a ZrO2 film which is an insulating film by ALD is performed by supplying TEMAZ gas and ozone (O3) gas into the process chamber 201. The process of forming the ZrO2 film is performed by sequentially performing the following four steps.
  • Step S204
  • In Step S204, the TEMAZ gas is supplied first. By opening the valve 243 a of the gas supply pipe 232 a and closing the valve 243 i of the vent line 232 i, the TEMAZ gas is supplied into the gas supply pipe 232 a via the vaporizer 271 a. A flow rate of the TEMAZ gas flowing through the gas supply pipe 232 a is controlled by the MFC 241 a. The TEMAZ gas having the flow rate thereof controlled is supplied into the process chamber 201 via the gas supply holes 250 a of the nozzle 249 a, and, at the same time, is exhausted via the gas exhaust system 300. At the same time, an inert gas such as N2 gas, is supplied into the inert gas supply pipe 232 e by opening the valve 243 e. A flow rate of the N2 gas flowing through the inert gas supply pipe 232 e is controlled by the MFC 241 e. The N2 gas having the flow rate thereof controlled is supplied into the process chamber 201 together with the TEMAZ gas and exhausted via the gas exhaust system 300.
  • The pressure in the process chamber 201 is controlled to range, for example, from 50 to 400 Pa, by appropriately controlling the APC valve 244. The supply flow rate of the TEMAZ gas controlled by the MFC 241 a is controlled to range from 0.1 to 0.5 g/min for example. A time period during which the wafer 200 is exposed to the TEMAZ gas, i.e., a gas supply time (irradiation time), is set to range from 30 to 240 seconds for example. The temperature of the heater 207 is set such that the temperature of the wafer 200 ranges from 150 to 250° C. for example.
  • By supplying the TEMAZ gas, a layer containing zirconium (Zr) is formed on a surface of the wafer 200. That is, a zirconium (Zr) layer may be formed as a zirconium (Zr)-containing layer on the wafer 200 having a thickness of less than one atomic layer to several atomic layers. The zirconium (Zr)-containing layer may be a chemical adsorption (surface adsorption) layer of the TEMAZ gas. Zirconium (Zr) is an element having only a solid state. Here, examples of the zirconium (Zr) layer may include a continuous layer, a discontinuous layer or a thin film formed by overlapping the continuous layer and the discontinuous layer. The continuous layer including zirconium (Zr) may also be referred to as a thin film. Examples of the chemical adsorption layer of the TEMAZ gas may include not only continuous chemical adsorption layers including gas molecules of the TEMAZ gas but also discontinuous chemical adsorption layers including the gas molecules of the TEMAZ gas. When the thickness of the zirconium (Zr) layer formed on the wafer 200 exceeds several atomic layers, the oxidization process performed in Step 206 which will be described later is not delivered to the entire zirconium-containing layer. A minimum thickness of the zirconium-containing layer that may be formed on the wafer 200 is less than one atomic layer. Thus, the zirconium-containing layer may have a thickness ranging from less than one atomic layer to several atomic layers. In addition, conditions such as the temperature of the wafer 200 and the inside pressure of the process chamber 201 may be controlled such that a zirconium (Zr) layer is formed by depositing zirconium (Zr) on the wafer 200 under conditions where the TEMAZ gas is self-decomposed, and that a chemical adsorption layer of the TEMAZ gas is formed by chemically adsorbing the TEMAZ gas onto the wafer 200 under conditions where the TEMAZ gas is not self-decomposed. In addition, a film-forming rate of the zirconium (Zr) layer on the wafer 200 may be higher than that that of the chemical adsorption layer of the TEMAZ gas. In addition, a film may be more densely formed when the zirconium (Zr) layer is formed on the wafer 200 than when the chemical adsorption layer of the TEMAZ gas is formed on the wafer 200.
  • Step S205
  • In Step S205, after the zirconium-containing layer is formed, the valve 243 a is closed and the valve 243 i is opened to suspend the supply of the TEMAZ gas into the process chamber 201 and to flow the TEMAZ gas into the vent line 232 i. The inside of the process vacuum 201 is vacuum-exhausted by the vacuum pump 246 by opening the APC valve 244 of the gas exhaust system 300, thereby removing non-reacted or residual TEMAZ gas remaining in the process chamber 201 from the process chamber 201 after the zirconium-containing layer is formed. In addition, N2 gas is continuously supplied into the process chamber 201 by opening the valve 243 e. By continuously supplying the N2 gas into the process chamber 201, the non-reacted or residual TEMAZ gas remaining in the process chamber 201 after the zirconium-containing layer is formed may be efficiently removed from the process chamber 201. A rare gas such as argon (Ar) gas, helium (He) gas, neon (Ne) gas or xenon (Xe) gas may be used as an inert gas instead of the N2 gas.
  • Step S206
  • In Step S206, after the residual gas is removed from the process chamber 201, O2 gas is supplied into the gas supply pipe 232 b. The O2 gas flowing through the gas supply pipe 232 b is changed into O3 gas by the ozonizer 500. By opening the valves 243 c and 243 b of the gas supply pipe 232 b and closing the valve 243 h of the vent line 232 h, the O3 gas is supplied into the process chamber via the gas supply holes 250 b of the nozzle 249 b while controlling a flow rate of the O3 gas flowing through the gas supply pipe 232 b by the MFC 241 d, and at the same time, is exhausted via the gas exhaust system 300. At the same time, N2 gas is supplied into the inert gas supply pipe 232 f by opening the valve 243 f. The N2 gas is supplied into the process chamber 201 together with the O3 gas, and at the same time, is exhausted via the gas exhaust system 300.
  • When the O3 gas is supplied, the APC valve 244 is appropriately controlled such that the inner pressure of the process chamber 201 may range from 50 to 400 Pa for example. A supply flow rate of the O3 gas controlled by the MFC 241 b may range from 10 to 20 slm for example. A time period during which the wafer 200 is exposed to the O3 gas, i.e., a gas supply time (irradiation time), may range from 60 to 300 seconds for example. The temperature of the heater 207 is set such that the temperature of the wafer 200 ranges from 150 to 250° C. similar to Step S204.
  • The gas supplied into the process chamber 201 is O3 gas and the TEMAZ gas is not supplied into the process chamber 201. Thus, the O3 gas reacts with a portion of the zirconium-containing layer formed on the wafer 200 in Step S204 without causing a gaseous reaction. Accordingly, the zirconium-containing layer is oxidized and modified into a layer containing zirconium and oxygen, i.e., a zirconium oxide (ZrO2) layer.
  • Step S207
  • In Step S207, the valve 243 b of the gas supply pipe 232 b is closed and the valve 243 h is opened to suspend the supply of the O3 gas into the process chamber 201 and to supply the O3 gas into the vent line 232 h. The inside of the process chamber 201 is vacuum-exhausted by the vacuum pump 246 by opening the APC valve 244 of the gas exhaust system 300, thereby removing non-reacted or residual O3 gas remaining in the process chamber 201 from the process chamber 201 after an oxidization process is performed. In addition, N2 gas is continuously supplied into the process chamber 201 by opening the valve 243 f. By continuously supplying the N2 gas into the process chamber 201, the non-reacted or residual O3 gas remaining in the process chamber 201 after the oxidization process is performed may be efficiently removed from the process chamber 201. O2 gas may be used as an oxygen-containing gas instead of the O3 gas.
  • An insulating film containing zirconium and oxygen, i.e., a ZrO2 film, may be formed on the wafer 200 to a predetermined thickness by performing the cycle including Steps S204 through S207 at least once (Step S208).
  • After the formation of the ZrO2 film having the predetermined thickness, the valves 243 e and valve 243 f are opened to supply an inert gas such as N2 gas into the inert gas supply pipes 232 e and 232 f, and the inside of the process chamber 201 is purged with the inert gas by exhausting the process chamber 201 while supplying the inert gas such as the N2 gas, into the process chamber 201 (gas purging: Step S210). Thereafter, an atmosphere in the process chamber 201 is replaced with the inert gas (replacement of inert gas), and the pressure in the process chamber 201 is returned to a atmospheric pressure (atmosphere pressure recovery: Step S212). Thereafter, the seal cap 219 is moved downward by the boat elevator 115 to open the lower end of the reaction tube 203, and at the same time, the processed wafer 200 supported by the boat 217 is unloaded from the process chamber 201 through the lower end of the reaction tube 203 (boat unloading: Step S214). Thereafter, the processed wafer 200 is discharged from the boat 217 (wafer discharging: Step S216).
  • According to the present invention, a substrate processing apparatus with the increase conductance of the exhaust system while preventing or suppressing the increase in footprint thereof, thereby reducing the inner pressure thereof.
  • Exemplary Embodiments of the Present Invention
  • Exemplary embodiments of the present invention are supplementarily noted.
  • Supplementary Note 1
  • According to an embodiment of the present invention, a substrate processing apparatus includes a process container configured to accommodate a plurality of substrates; a process gas supply unit configured to supply a process gas into the process container to process the plurality of substrates; and an exhaust unit configured to exhaust the process container. The exhaust unit includes a vacuum pump and exhaust pipes configured to connect the process container and the vacuum pump. At least a portion of the exhaust pipes has a rib structure, and cross-sections of the exhaust pipes perpendicular to an exhaust direction include rectangular or oval shaped portions.
  • Supplementary Note 2
  • In the substrate processing apparatus described in Supplementary Note 1, the at least a portion of the exhaust pipes may have a rib structure and cross-sections of the exhaust pipes perpendicular to the exhaust direction may have a rectangular or oval shape.
  • Supplementary Note 3
  • In the substrate processing apparatus described in Supplementary Note 1, the at least a portion of the exhaust pipes may have a rib structure, and cross-sections of the exhaust pipes perpendicular to the exhaust direction may have a rectangular shape.
  • Supplementary Note 4
  • In the substrate processing apparatus described in Supplementary Note 1, an aspect ratio of the rectangular cross-section may be 8:1 or lower.
  • Supplementary Note 5
  • In the substrate processing apparatus described in one of Supplementary Notes 1 through 4, the process gas supply unit is configured to form a high-k dielectric film by alternately supplying two types of process gases into the process container.
  • While this invention has been particularly shown and described with reference to exemplary embodiments thereof, it will be understood by those of ordinary skill in the art that various changes in form and details may be made therein without departing from the spirit and scope of the invention as defined by the appended claims.

Claims (20)

What is claimed is:
1. An exhaust unit comprising:
a first exhaust pipe connected to a process container configured to accommodate and process a substrate, the first exhaust pipe having circular or oval cross-section perpendicular to an exhausting direction thereof; and
a second exhaust pipe connected to the first exhaust pipe, the second exhaust pipe having square or rectangular cross-section perpendicular to the exhausting direction.
2. The exhaust unit of claim 1, wherein at least a portion of the second exhaust pipe comprises a rib structure.
3. The exhaust unit of claim 2, wherein the rib structure is disposed at an inner side thereof.
4. The exhaust unit of claim 2, wherein the rib structure is disposed at an outer side thereof.
5. The exhaust unit of claim 1, wherein an aspect ratio of the cross-section of the second exhaust pipe is 8:1 or lower.
6. A substrate processing apparatus comprising:
a process container configured to accommodate a substrate;
a process gas supply system configured to supply a process gas for processing the substrate into the process container; and
an exhaust system configured to exhaust the process container,
wherein the exhaust system comprises:
a first exhaust pipe connected to the process container, the first exhaust pipe having circular or oval cross-section perpendicular to an exhausting direction thereof; and
a second exhaust pipe connected to the first exhaust pipe, the second exhaust pipe having square or rectangular cross-section perpendicular to the exhausting direction.
7. The substrate processing apparatus of claim 6, wherein at least a portion of the second exhaust pipe comprises a rib structure.
8. The substrate processing apparatus of claim 7, wherein the rib structure is disposed at an inner side thereof.
9. The substrate processing apparatus of claim 7, wherein the rib structure is disposed at an outer side thereof.
10. The substrate processing apparatus of claim 6, wherein an aspect ratio of the cross-section of the second exhaust pipe is 8:1 or lower.
11. The substrate processing apparatus of claim 6, wherein the gas supply system is configured to alternately and repeatedly supply at least two types of process gases into the process container without mixing the at least two types of process gases to form a film on the substrate.
12. The substrate processing apparatus of claim 11, wherein at least one of the at least two types of process gases comprises a gas having a low vapor pressure.
13. The substrate processing apparatus of claim 11, wherein the film comprises a high-k dielectric film.
14. The substrate processing apparatus of claim 6, wherein an area of the cross-section of the second exhaust pipe is larger than that of the first exhaust pipe.
15. The substrate processing apparatus of claim 6, wherein the substrates are stacked in plurality and processed in the process container.
16. A method of manufacturing a semiconductor device, comprising:
processing a substrate accommodated in a process container by supplying a process gas onto the substrate; and
exhausting the process container using an exhaust system comprising a first exhaust pipe connected to the process container, the first exhaust pipe having circular or oval cross-section perpendicular to an exhausting direction thereof; and a second exhaust pipe connected to the first exhaust pipe, the second exhaust pipe having square or rectangular cross-section perpendicular to the exhausting direction.
17. The method of claim 16, wherein at least a portion of the second exhaust pipe comprises a rib structure.
18. The method of claim 16, wherein the processing of the substrate comprises alternately and repeatedly supplying at least two types of process gases into the process container without mixing the at least two types of process gases to form a film on the substrate.
19. The method of claim 17, wherein at least one of the at least two types of process gases comprises a gas having a low vapor pressure.
20. The method of claim 16, wherein the film comprises a high-k dielectric film.
US13/687,709 2011-11-29 2012-11-28 Exhaust Unit, Substrate Processing Apparatus, and Method of Manufacturing Semiconductor Device Abandoned US20130137279A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/622,126 US9646821B2 (en) 2011-11-29 2015-02-13 Method of manufacturing semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2011-260937 2011-11-29
JP2011260937A JP5921168B2 (en) 2011-11-29 2011-11-29 Substrate processing equipment

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/622,126 Division US9646821B2 (en) 2011-11-29 2015-02-13 Method of manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
US20130137279A1 true US20130137279A1 (en) 2013-05-30

Family

ID=48467280

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/687,709 Abandoned US20130137279A1 (en) 2011-11-29 2012-11-28 Exhaust Unit, Substrate Processing Apparatus, and Method of Manufacturing Semiconductor Device
US14/622,126 Active US9646821B2 (en) 2011-11-29 2015-02-13 Method of manufacturing semiconductor device

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/622,126 Active US9646821B2 (en) 2011-11-29 2015-02-13 Method of manufacturing semiconductor device

Country Status (3)

Country Link
US (2) US20130137279A1 (en)
JP (1) JP5921168B2 (en)
KR (1) KR101403982B1 (en)

Cited By (235)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140045278A1 (en) * 2012-08-10 2014-02-13 Hitachi Kokusai Electric Inc. Method of Manufacturing Semiconductor Device, Method of Processing Substrate and Substrate Processing Apparatus
US20190198359A1 (en) * 2016-06-30 2019-06-27 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device
US10692744B2 (en) * 2017-06-23 2020-06-23 Tokyo Electron Limited Method of inspecting gas supply system
US10692743B2 (en) * 2017-06-23 2020-06-23 Tokyo Electron Limited Method of inspecting gas supply system
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6462139B2 (en) * 2015-09-17 2019-01-30 株式会社Kokusai Electric Gas supply unit, substrate processing apparatus, and method for manufacturing semiconductor device
JP6891252B2 (en) * 2016-06-30 2021-06-18 株式会社Kokusai Electric Substrate processing equipment, semiconductor device manufacturing methods, programs and recording media
JP6591711B2 (en) * 2019-03-27 2019-10-16 株式会社Kokusai Electric Substrate processing apparatus, semiconductor device manufacturing method, and recording medium
JP6616917B2 (en) * 2019-03-27 2019-12-04 株式会社Kokusai Electric Substrate processing apparatus, semiconductor device manufacturing method, and recording medium
JP6591710B2 (en) * 2019-03-27 2019-10-16 株式会社Kokusai Electric Substrate processing apparatus, semiconductor device manufacturing method, and recording medium
JP6625256B2 (en) * 2019-03-27 2019-12-25 株式会社Kokusai Electric Substrate processing apparatus, semiconductor device manufacturing method, and recording medium
JP6591712B2 (en) * 2019-03-27 2019-10-16 株式会社Kokusai Electric Substrate processing apparatus, semiconductor device manufacturing method, and recording medium

Citations (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2863563A (en) * 1953-06-26 1958-12-09 Sintercast Corp America Filter
US4343771A (en) * 1979-07-27 1982-08-10 Pullman Incorporated Horizontal cross-flow scrubber
US4817557A (en) * 1983-05-23 1989-04-04 Anicon, Inc. Process and apparatus for low pressure chemical vapor deposition of refractory metal
US5116784A (en) * 1990-11-30 1992-05-26 Tokyo Electron Limited Method of forming semiconductor film
US5211729A (en) * 1991-08-30 1993-05-18 Sematech, Inc. Baffle/settling chamber for a chemical vapor deposition equipment
US5268033A (en) * 1991-07-01 1993-12-07 Jeffrey Stewart Table top parylene deposition chamber
US5380370A (en) * 1993-04-30 1995-01-10 Tokyo Electron Limited Method of cleaning reaction tube
US5401212A (en) * 1990-08-29 1995-03-28 Intelligent Enclosures Corporation Environmental control system
US5415585A (en) * 1993-02-17 1995-05-16 Tokyo Electron Limited Decompression apparatus
US5445521A (en) * 1993-05-31 1995-08-29 Tokyo Electron Kabushiki Kaisha Heat treating method and device
US5536321A (en) * 1995-10-27 1996-07-16 Specialty Coating Systems, Inc. Parylene deposition apparatus including a post-pyrolysis filtering chamber and a deposition chamber inlet filter
US5914091A (en) * 1996-02-15 1999-06-22 Atmi Ecosys Corp. Point-of-use catalytic oxidation apparatus and method for treatment of voc-containing gas streams
US5928426A (en) * 1996-08-08 1999-07-27 Novellus Systems, Inc. Method and apparatus for treating exhaust gases from CVD, PECVD or plasma etch reactors
JP2976972B1 (en) * 1998-07-31 1999-11-10 日本電気株式会社 Gas rectifier
US6019816A (en) * 1997-06-03 2000-02-01 Samsung Electronics Co., Ltd. Systems and methods for removing residue from process gases exhausted from microelectronic device fabrication processes
US6030591A (en) * 1994-04-06 2000-02-29 Atmi Ecosys Corporation Process for removing and recovering halocarbons from effluent process streams
US6045618A (en) * 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6063197A (en) * 1997-09-29 2000-05-16 Advanced Micro Devices, Inc. Trap for capturing waste by-product generated by a chemical vapor deposition system
US6099649A (en) * 1997-12-23 2000-08-08 Applied Materials, Inc. Chemical vapor deposition hot-trap for unreacted precursor conversion and effluent removal
US6156107A (en) * 1996-11-13 2000-12-05 Tokyo Electron Limited Trap apparatus
US6328777B1 (en) * 1998-12-23 2001-12-11 Thomas Josef Heimbach Gesellschaft Mit Beschrankter Haftung & Co. Filter system
US6332925B1 (en) * 1996-05-23 2001-12-25 Ebara Corporation Evacuation system
US20010054381A1 (en) * 1998-12-14 2001-12-27 Salvador P Umotoy High temperature chemical vapor deposition chamber
US20020092421A1 (en) * 1997-01-17 2002-07-18 Hayes Michael W. Integrated ion implant scrubber system
US20020100417A1 (en) * 1998-06-18 2002-08-01 Jun-Ichi Suzuki Heating-type trap device and film-deposition apparatus
US20030037730A1 (en) * 1999-03-11 2003-02-27 Tokyo Electron Limited Processing system, evacuating system for processing system, low-pressure CVD system, and evacuating system and trapping device for low-pressure CVD system
US20030164225A1 (en) * 1998-04-20 2003-09-04 Tadashi Sawayama Processing apparatus, exhaust processing process and plasma processing
US20040025786A1 (en) * 2002-04-05 2004-02-12 Tadashi Kontani Substrate processing apparatus and reaction container
US20040124131A1 (en) * 2002-09-11 2004-07-01 Aitchison Bradley J. Precursor material delivery system for atomic layer deposition
US20040154746A1 (en) * 2003-02-05 2004-08-12 Park Jun-Sig Apparatus and method for producing a semiconductor device including a byproduct control system
US20050016453A1 (en) * 2003-04-23 2005-01-27 Seidel Thomas E. Collection of unused precursors in ALD
US20050098114A1 (en) * 2003-11-12 2005-05-12 Specialty Coating Systems, Inc. Vapor deposition apparatus
US20060207314A1 (en) * 2005-03-16 2006-09-21 Tokyo Electron Limited Vacuum apparatus, method for measuring a leak rate thereof, program used in measuring the leak rate and storage medium storing the program
JP2006295099A (en) * 2005-03-16 2006-10-26 Tokyo Electron Ltd Vacuum equipment, method for measuring its leak rate, program and storage medium used for measuring leak rate
US20070295274A1 (en) * 2006-06-26 2007-12-27 Aaron Webb Batch Processing Platform For ALD and CVD
US20090074964A1 (en) * 1999-07-20 2009-03-19 Sven Lindfors Method and apparatus for removing substances from gases
US20090088001A1 (en) * 2007-10-01 2009-04-02 Hitachi Kokusai Electric Inc. Substrate processing apparatus and manufacturing method of semiconductor device
WO2009082608A1 (en) * 2007-12-20 2009-07-02 S.O.I.Tec Silicon On Insulator Technologies Apparatus for delivering precursor gases to an epitaxial growth substrate
US20090217634A1 (en) * 2005-07-01 2009-09-03 Newprotech Co., Ltd. Apparatus For Trapping Residual Product Of Semiconductor Manufacturing Process
US20100012292A1 (en) * 2007-03-31 2010-01-21 Tokyo Electron Limited Trap apparatus, exhaust system and processing system using same
WO2010024334A1 (en) * 2008-08-28 2010-03-04 東京エレクトロン株式会社 Plasma processing apparatus, plasma processing method, method for cleaning plasma processing apparatus and pressure control valve for plasma processing apparatus
US20100083898A1 (en) * 2008-07-23 2010-04-08 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US20100159122A1 (en) * 2008-12-19 2010-06-24 Canon Kabushiki Kaisha Deposition film forming apparatus, deposition film forming method and electrophotographic photosensitive member manufacturing method
US20100278999A1 (en) * 2009-05-01 2010-11-04 Tokyo Electron Limited Plasma process apparatus and plasma process method
US20110252969A1 (en) * 2008-08-19 2011-10-20 Oerlikon Solar Ag, Trubbach Hot-trap assembly for trapping unreacted gas by- products
US20110259521A1 (en) * 2008-11-07 2011-10-27 Tokyo Electron Limited Substrate treatment apparatus
US20130067891A1 (en) * 2009-12-23 2013-03-21 Craig Hittle Exhaust aftertreatment system

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3338884B2 (en) * 1993-09-20 2002-10-28 株式会社日立製作所 Semiconductor processing equipment
JPH07170245A (en) 1993-12-15 1995-07-04 Mitsubishi Electric Corp Frequency controller
JP2001284267A (en) * 2000-04-03 2001-10-12 Canon Inc Exhaust gas processing method, and plasma processing method and apparatus
JP2002270396A (en) * 2001-03-14 2002-09-20 Matsushita Electric Ind Co Ltd Plasma processing equipment
JP4694209B2 (en) * 2005-01-05 2011-06-08 株式会社日立国際電気 Substrate processing apparatus and semiconductor device manufacturing method
KR20070107516A (en) * 2006-05-03 2007-11-07 삼성전자주식회사 Vacuum line for semiconductor manufacturing device and method for maintenance of vacuum exhaust unit
JP4899879B2 (en) * 2007-01-17 2012-03-21 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
JP2010121659A (en) * 2008-11-17 2010-06-03 Eagle Ind Co Ltd Heating unit of tube internal wall surface
KR20100059358A (en) * 2008-11-26 2010-06-04 세메스 주식회사 Exhaust unit, and apparatus and method for treating substrate
JP5576101B2 (en) * 2008-12-25 2014-08-20 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus

Patent Citations (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2863563A (en) * 1953-06-26 1958-12-09 Sintercast Corp America Filter
US4343771A (en) * 1979-07-27 1982-08-10 Pullman Incorporated Horizontal cross-flow scrubber
US4817557A (en) * 1983-05-23 1989-04-04 Anicon, Inc. Process and apparatus for low pressure chemical vapor deposition of refractory metal
US5401212A (en) * 1990-08-29 1995-03-28 Intelligent Enclosures Corporation Environmental control system
US5116784A (en) * 1990-11-30 1992-05-26 Tokyo Electron Limited Method of forming semiconductor film
US5268033A (en) * 1991-07-01 1993-12-07 Jeffrey Stewart Table top parylene deposition chamber
US5211729A (en) * 1991-08-30 1993-05-18 Sematech, Inc. Baffle/settling chamber for a chemical vapor deposition equipment
US5415585A (en) * 1993-02-17 1995-05-16 Tokyo Electron Limited Decompression apparatus
US5380370A (en) * 1993-04-30 1995-01-10 Tokyo Electron Limited Method of cleaning reaction tube
US5445521A (en) * 1993-05-31 1995-08-29 Tokyo Electron Kabushiki Kaisha Heat treating method and device
US6030591A (en) * 1994-04-06 2000-02-29 Atmi Ecosys Corporation Process for removing and recovering halocarbons from effluent process streams
US6045618A (en) * 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US5536321A (en) * 1995-10-27 1996-07-16 Specialty Coating Systems, Inc. Parylene deposition apparatus including a post-pyrolysis filtering chamber and a deposition chamber inlet filter
US5914091A (en) * 1996-02-15 1999-06-22 Atmi Ecosys Corp. Point-of-use catalytic oxidation apparatus and method for treatment of voc-containing gas streams
US6332925B1 (en) * 1996-05-23 2001-12-25 Ebara Corporation Evacuation system
US5928426A (en) * 1996-08-08 1999-07-27 Novellus Systems, Inc. Method and apparatus for treating exhaust gases from CVD, PECVD or plasma etch reactors
US6156107A (en) * 1996-11-13 2000-12-05 Tokyo Electron Limited Trap apparatus
US20020092421A1 (en) * 1997-01-17 2002-07-18 Hayes Michael W. Integrated ion implant scrubber system
US6019816A (en) * 1997-06-03 2000-02-01 Samsung Electronics Co., Ltd. Systems and methods for removing residue from process gases exhausted from microelectronic device fabrication processes
US6063197A (en) * 1997-09-29 2000-05-16 Advanced Micro Devices, Inc. Trap for capturing waste by-product generated by a chemical vapor deposition system
US6099649A (en) * 1997-12-23 2000-08-08 Applied Materials, Inc. Chemical vapor deposition hot-trap for unreacted precursor conversion and effluent removal
US20030164225A1 (en) * 1998-04-20 2003-09-04 Tadashi Sawayama Processing apparatus, exhaust processing process and plasma processing
US20020100417A1 (en) * 1998-06-18 2002-08-01 Jun-Ichi Suzuki Heating-type trap device and film-deposition apparatus
JP2000044385A (en) * 1998-07-31 2000-02-15 Nec Corp Gas rectifier
JP2976972B1 (en) * 1998-07-31 1999-11-10 日本電気株式会社 Gas rectifier
US20010054381A1 (en) * 1998-12-14 2001-12-27 Salvador P Umotoy High temperature chemical vapor deposition chamber
US6328777B1 (en) * 1998-12-23 2001-12-11 Thomas Josef Heimbach Gesellschaft Mit Beschrankter Haftung & Co. Filter system
US20030037730A1 (en) * 1999-03-11 2003-02-27 Tokyo Electron Limited Processing system, evacuating system for processing system, low-pressure CVD system, and evacuating system and trapping device for low-pressure CVD system
US20090074964A1 (en) * 1999-07-20 2009-03-19 Sven Lindfors Method and apparatus for removing substances from gases
US20040025786A1 (en) * 2002-04-05 2004-02-12 Tadashi Kontani Substrate processing apparatus and reaction container
US20040124131A1 (en) * 2002-09-11 2004-07-01 Aitchison Bradley J. Precursor material delivery system for atomic layer deposition
US20040154746A1 (en) * 2003-02-05 2004-08-12 Park Jun-Sig Apparatus and method for producing a semiconductor device including a byproduct control system
US20050016453A1 (en) * 2003-04-23 2005-01-27 Seidel Thomas E. Collection of unused precursors in ALD
US20050098114A1 (en) * 2003-11-12 2005-05-12 Specialty Coating Systems, Inc. Vapor deposition apparatus
US20060207314A1 (en) * 2005-03-16 2006-09-21 Tokyo Electron Limited Vacuum apparatus, method for measuring a leak rate thereof, program used in measuring the leak rate and storage medium storing the program
JP2006295099A (en) * 2005-03-16 2006-10-26 Tokyo Electron Ltd Vacuum equipment, method for measuring its leak rate, program and storage medium used for measuring leak rate
US20090217634A1 (en) * 2005-07-01 2009-09-03 Newprotech Co., Ltd. Apparatus For Trapping Residual Product Of Semiconductor Manufacturing Process
US20070295274A1 (en) * 2006-06-26 2007-12-27 Aaron Webb Batch Processing Platform For ALD and CVD
US20100012292A1 (en) * 2007-03-31 2010-01-21 Tokyo Electron Limited Trap apparatus, exhaust system and processing system using same
US20090088001A1 (en) * 2007-10-01 2009-04-02 Hitachi Kokusai Electric Inc. Substrate processing apparatus and manufacturing method of semiconductor device
WO2009082608A1 (en) * 2007-12-20 2009-07-02 S.O.I.Tec Silicon On Insulator Technologies Apparatus for delivering precursor gases to an epitaxial growth substrate
US20100258053A1 (en) * 2007-12-20 2010-10-14 Chantal Arena Apparatus for delivering precursor gases to an epitaxial growth substrate
US20100083898A1 (en) * 2008-07-23 2010-04-08 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US20110252969A1 (en) * 2008-08-19 2011-10-20 Oerlikon Solar Ag, Trubbach Hot-trap assembly for trapping unreacted gas by- products
WO2010024334A1 (en) * 2008-08-28 2010-03-04 東京エレクトロン株式会社 Plasma processing apparatus, plasma processing method, method for cleaning plasma processing apparatus and pressure control valve for plasma processing apparatus
WO2010024036A1 (en) * 2008-08-28 2010-03-04 東京エレクトロン株式会社 Plasma processing device and method for cleaning plasma processing device
US20120111427A1 (en) * 2008-08-28 2012-05-10 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, method for cleaning plasma processing apparatus and pressure control valve for plasma processing apparatus
US20110259521A1 (en) * 2008-11-07 2011-10-27 Tokyo Electron Limited Substrate treatment apparatus
US20100159122A1 (en) * 2008-12-19 2010-06-24 Canon Kabushiki Kaisha Deposition film forming apparatus, deposition film forming method and electrophotographic photosensitive member manufacturing method
US20100278999A1 (en) * 2009-05-01 2010-11-04 Tokyo Electron Limited Plasma process apparatus and plasma process method
US20130067891A1 (en) * 2009-12-23 2013-03-21 Craig Hittle Exhaust aftertreatment system

Non-Patent Citations (6)

* Cited by examiner, † Cited by third party
Title
"Heating & Cooling Products: Product Catalog." 2011. pp. 1-32. Source location: Heating & Cooling Products. www.hc-products.com. Available: http://www.hc-products.com/HC%20Products%20Web%20Catalog.pdf. Accessed: 14 June 2015. *
"Solid Scrubber for the Semiconductor Industry" in SBIR Success Stories. United States Environmental Protection Agency (US EPA). 2006. pp. 14-15. Available at US EPA: http://www.epa.gov/sites/production/files/2015-06/documents/solid.pdf. Accessed 20 January 2016. *
Arno, J.; et al., "Developments in the Abatement of Ion Implant Process Effluents," in Proceedings of the 14th International Conference on Ion Implantation Technology. IEEE. 2002. pp. 483-486. *
K. Tsishchanka. "Section 4.5 Optimization Problems." 2010. pp. 1-13. at "Calculus Website." Source location: Kiryl Tsishchanka's Home Page, Courant Institute of Mathematical Sciences. https://cims.nyu.edu/~kiryl/.Available: http://cims.nyu.edu/~kiryl/Calculus/Section_4.5--Optimization%20Problems/Optimization_Problems.pdf. Accessed: 4 Aug 2016. *
Sweeney, J.; et al., "Zero Footprint Dry Scrubber for Ion Implant: Development and Evaluation in a Manufacturing Environment," in Proceedings of the 14th International Conference on Ion Implantation Technology. IEEE. 2002. pp. 479-482. *
Vasilis Fthenakis. "Options for Abating Greenhouse Gases from Exhaust Streams." BNL-52652. December 7, 2001. pp. 1-31. Source location: Brookhaven National Laboratory. https://www.bnl.gov/world/. Available: https://www.bnl.gov/isd/documents/23784.pdf. Accessed: 4 August 2016. *

Cited By (280)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US8791031B2 (en) * 2012-08-10 2014-07-29 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus
US20140045278A1 (en) * 2012-08-10 2014-02-13 Hitachi Kokusai Electric Inc. Method of Manufacturing Semiconductor Device, Method of Processing Substrate and Substrate Processing Apparatus
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11456190B2 (en) 2016-06-30 2022-09-27 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device
US10636681B2 (en) * 2016-06-30 2020-04-28 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device
US20190198359A1 (en) * 2016-06-30 2019-06-27 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10692743B2 (en) * 2017-06-23 2020-06-23 Tokyo Electron Limited Method of inspecting gas supply system
US10692744B2 (en) * 2017-06-23 2020-06-23 Tokyo Electron Limited Method of inspecting gas supply system
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11967488B2 (en) 2022-05-16 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Also Published As

Publication number Publication date
US20150162184A1 (en) 2015-06-11
US9646821B2 (en) 2017-05-09
JP2013115275A (en) 2013-06-10
JP5921168B2 (en) 2016-05-24
KR101403982B1 (en) 2014-06-05
KR20130060134A (en) 2013-06-07

Similar Documents

Publication Publication Date Title
US9646821B2 (en) Method of manufacturing semiconductor device
US9206931B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
US9496134B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device and semiconductor device
US10910217B2 (en) Method for manufacturing semiconductor device, non-transitory computer-readable recording medium, and substrate processing apparatus
US10131984B2 (en) Substrate processing apparatus
US8685866B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
JP5284182B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
US8741731B2 (en) Method of manufacturing a semiconductor device
US9437421B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
US20110290182A1 (en) Method of manufacturing semiconductor device, cleaning method, and substrate processing apparatus
US8658247B2 (en) Film deposition method
JP5882509B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
JP5805461B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
JP2011054938A (en) Substrate processing apparatus, method of manufacturing semiconductor device, and method of confirming operation of liquid flowrate control device
KR20150077250A (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
WO2011093203A1 (en) Semiconductor device manufacturing method, substrate processing apparatus, and semiconductor device
JP2010141076A (en) Wafer processing apparatus and method of manufacturing semiconductor device
US20180286725A1 (en) Substrate retrainer and substrate processing apparatus
JP7361202B2 (en) Substrate processing equipment, gas supply equipment, cleaning method for raw material supply pipes, semiconductor device manufacturing method and program
WO2023175849A1 (en) Substrate treatment device, substrate support, semiconductor device production method, substrate treatment method, and program
JP2011155033A (en) Method of manufacturing semiconductor device, and semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: HITACHI KOKUSAI ELECTRIC INC., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YAMAMOTO, TETSUO;KATO, TSUTOMU;OKADA, SATOSHI;AND OTHERS;REEL/FRAME:029685/0598

Effective date: 20121122

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION