US20110256692A1 - Multiple precursor concentric delivery showerhead - Google Patents

Multiple precursor concentric delivery showerhead Download PDF

Info

Publication number
US20110256692A1
US20110256692A1 US12/785,241 US78524110A US2011256692A1 US 20110256692 A1 US20110256692 A1 US 20110256692A1 US 78524110 A US78524110 A US 78524110A US 2011256692 A1 US2011256692 A1 US 2011256692A1
Authority
US
United States
Prior art keywords
gas
channel
showerhead
coupled
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/785,241
Inventor
Alexander Tam
Anzhong Chang
Sumedh Acharya
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US12/785,241 priority Critical patent/US20110256692A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ACHARYA, SUMEDH, CHANG, ANZHONG, TAM, ALEXANDER
Publication of US20110256692A1 publication Critical patent/US20110256692A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/14Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means with multiple outlet openings; with strainers in or outside the outlet opening
    • B05B1/18Roses; Shower heads
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation

Definitions

  • Embodiments of the present invention generally relate to methods and apparatus for chemical vapor deposition (CVD) on a substrate, and, in particular, to a showerhead design for use in metal organic chemical vapor deposition (MOCVD) and/or hydride vapor phase epitaxy (HVPE).
  • CVD chemical vapor deposition
  • MOCVD metal organic chemical vapor deposition
  • HVPE hydride vapor phase epitaxy
  • Group III-V films are finding greater importance in the development and fabrication of a variety of semiconductor devices, such as short wavelength light emitting diodes (LEDs), laser diodes (LDs), and electronic devices including high power, high frequency, high temperature transistors and integrated circuits.
  • LEDs light emitting diodes
  • LDs laser diodes
  • electronic devices including high power, high frequency, high temperature transistors and integrated circuits.
  • short wavelength (e.g., blue/green to ultraviolet) LEDs are fabricated using the Group III-nitride semiconducting material gallium nitride (GaN). It has been observed that short wavelength LEDs fabricated using GaN can provide significantly greater efficiencies and longer operating lifetimes than short wavelength LEDs fabricated using non-nitride semiconducting materials, such as Group II-VI materials.
  • MOCVD metal organic chemical vapor deposition
  • This chemical vapor deposition method is generally performed in a reactor having a temperature controlled environment to assure the stability of a first precursor gas which contains at least one element from Group III, such as gallium (Ga).
  • a second precursor gas such as ammonia (NH 3 ) provides the nitrogen needed to form a Group III-nitride.
  • the two precursor gases are injected into a processing zone within the reactor where they mix and move towards a heated substrate in the processing zone.
  • a carrier gas may be used to assist in the transport of the precursor gases towards the substrate.
  • the precursors react at the surface of the heated substrate to form a Group III-nitride layer, such as GaN, on the substrate surface.
  • the quality of the film depends in part upon deposition uniformity which, in turn, depends upon uniform mixing of the precursors across the substrate.
  • each substrate may have a diameter ranging from 50 mm to 100 mm or larger.
  • the uniform mixing of precursors over larger substrates and/or more substrates and larger deposition areas is desirable in order to increase yield and throughput. These factors are important since they directly affect the cost to produce an electronic device and, thus, a device manufacturer's competitiveness in the marketplace.
  • Interaction of the precursor gases with the hot hardware components which are often found in the processing zone of an LED or LD forming reactor, generally causes the precursor to break-down and deposit on these hot surfaces.
  • the hot reactor surfaces are formed by radiation from the heat sources used to heat the substrates.
  • the deposition of the precursor materials on the hot surfaces can be especially problematic when it occurs in or on the precursor distribution components, such as the showerhead. Deposition on the precursor distribution components affects the flow distribution uniformity over time. Therefore, there is a need for a gas distribution apparatus that prevents or reduces the likelihood that the MOCVD precursors, or HVPE precursors, are heated to a temperature that causes them to break down and affect the performance of the gas distribution device.
  • the present invention generally provides improved methods and apparatus for depositing Group III-nitride films using MOCVD and/or HVPE processes.
  • One embodiment of the present invention provides a showerhead apparatus comprising a first gas channel coupled to a first gas inlet, a second gas channel coupled to a second gas inlet, a plurality of first gas conduits fluidly coupling the first gas channel to an exit surface of the showerhead apparatus, and a plurality of second gas conduits fluidly coupling the second gas channel to the exit surface of the showerhead apparatus.
  • the first gas channel is isolated from the second gas channel, and at least one of the first gas conduits is disposed within at least one of the second gas conduits.
  • a substrate processing apparatus comprising a chamber body, a substrate support, and a showerhead apparatus, wherein a processing volume is defined by the chamber body, the substrate support, and the showerhead apparatus.
  • the showerhead apparatus comprises a first gas channel coupled to a first gas inlet, a second gas channel coupled to a second gas inlet, a plurality of first gas conduits fluidly coupling the first gas channel to the processing volume, and a plurality of second gas conduits fluidly coupling the second gas channel to the processing volume.
  • the first gas channel is isolated from the second gas channel, and at least one of the first gas conduits is concentrically disposed within at least one of the second gas conduits.
  • Yet another embodiment of the present invention provides a method of processing substrates comprising introducing a first gas into a processing volume of a processing chamber through a first gas inlet coupled to a first gas channel of a showerhead assembly and introducing a second gas into the processing volume of the processing chamber through a second gas inlet coupled to a second gas channel of the showerhead assembly.
  • the first gas channel is isolated from the second gas channel, and the first gas is delivered into the processing volume through a plurality of first gas conduits and the second gas is delivered into the processing volume through a plurality of second gas conduits.
  • At least one of the first gas conduits is concentrically disposed within at least one of the second gas conduits.
  • FIG. 1 is a schematic plan view illustrating one embodiment of a processing system for fabricating compound nitride semiconductor devices according to embodiments described herein.
  • FIG. 2 is a schematic cross-sectional view of a metal-organic chemical vapor deposition (MOCVD) chamber for fabricating compound nitride semiconductor devices according to one embodiment of the present invention.
  • MOCVD metal-organic chemical vapor deposition
  • FIG. 3 is an enlarged view of detail A shown in FIG. 2 .
  • FIG. 4A is a partial, schematic, bottom view of a multiple precursor showerhead showing a classic, one-to-one, square pattern of gas passages.
  • FIG. 4B is a partial, schematic, bottom view of the showerhead from FIG. 2 and according to one embodiment of the present invention.
  • Embodiments of the present invention generally provide a method and apparatus that may be utilized for deposition of Group III-nitride films using MOCVD and/or HVPE hardware.
  • the apparatus a processing chamber that includes a showerhead with separate inlets and channels for delivering separate processing gases into a processing volume of the chamber without mixing the gases prior to entering the processing volume.
  • a plurality of concentric tube assemblies are disposed within the showerhead to separately deliver a first gas from a first gas channel and a second gas from a second gas channel into the processing volume of the chamber.
  • the showerhead further includes a cooling channel through which the plurality of concentric tube assemblies is disposed.
  • FIG. 1 is a schematic plan view illustrating one embodiment of a processing system 100 that comprises the one or more MOCVD chambers 102 for fabricating compound nitride semiconductor devices according to embodiments described herein.
  • the processing system 100 is closed to atmosphere.
  • the processing system 100 comprises a transfer chamber 106 , a MOCVD chamber 102 coupled with the transfer chamber 106 , a loadlock chamber 108 coupled with the transfer chamber 106 , a batch loadlock chamber 109 , for storing substrates, coupled with the transfer chamber 106 , and a load station 110 , for loading substrates, coupled with the loadlock chamber 108 .
  • the transfer chamber 106 comprises a robot assembly (not shown) operable to pick up and transfer substrates between the loadlock chamber 108 , the batch loadlock chamber 109 , and the MOCVD chamber 102 .
  • a robot assembly (not shown) operable to pick up and transfer substrates between the loadlock chamber 108 , the batch loadlock chamber 109 , and the MOCVD chamber 102 .
  • a single MOCVD chamber 102 is shown, it should be understood that more than one MOCVD chamber 102 or additionally, combinations of one or more MOCVD chambers 102 with one or more Hydride Vapor Phase Epitaxial (HVPE) chambers may also be coupled with the transfer chamber 106 .
  • HVPE Hydride Vapor Phase Epitaxial
  • the transfer chamber 106 remains under vacuum during substrate transfer processes.
  • the transfer chamber vacuum level may be adjusted to match the vacuum level of the MOCVD chamber 102 .
  • the transfer chamber 106 and the MOCVD chamber 102 may be maintained at the same vacuum level.
  • the transfer chamber vacuum level may be adjusted to match the vacuum level of the loadlock chamber 108 or batch load lock chamber 109 even through the vacuum level of the loadlock chamber 108 or batch load lock chamber 109 and the MOCVD chamber 102 may be different.
  • substrates are transferred in a high purity inert gas environment, such as, a high purity N 2 environment. In one embodiment, substrates transferred in an environment having greater than 90% N 2 . In certain embodiments, substrates are transferred in a high purity NH 3 environment. In one embodiment, substrates are transferred in an environment having greater than 90% NH 3 . In certain embodiments, substrates are transferred in a high purity H 2 environment. In one embodiment, substrates are transferred in an environment having greater than 90% H 2 .
  • the robot assembly transfers a substrate carrier plate 112 loaded with substrates into the single MOCVD chamber 102 to undergo deposition.
  • the substrate carrier plate 112 may have a diameter ranging from about 200 mm to about 750 mm.
  • the substrate carrier plate 112 may be formed from a variety of materials, including SiC or SiC-coated graphite.
  • the substrate carrier plate 112 comprises a silicon carbide material.
  • the substrate carrier plate 112 has a surface area of about 1,000 cm 2 or more, preferably 2,000 cm 2 or more, and more preferably 4,000 cm 2 or more.
  • the substrate carrier plate 112 is transferred from the MOCVD chamber 102 back to the loadlock chamber 108 via the transfer robot. In one embodiment, the substrate carrier plate 112 is then transferred to the load station 110 . In another embodiment, the substrate carrier plate 112 may be stored in either the loadlock chamber 108 or the batch load lock chamber 109 prior to further processing in the MOCVD chamber 102 .
  • One exemplary processing system 100 that may be adapted in accordance with embodiments of the present invention is described in U.S. patent application Ser. No. 12/023,572, filed Jan. 31, 2008, now published as US 2009-0194026, entitled PROCESSING SYSTEM FOR FABRICATING COMPOUND NITRIDE SEMICONDUCTOR DEVICES, which is hereby incorporated by reference in its entirety.
  • a system controller 160 controls activities and operating parameters of the processing system 100 .
  • the system controller 160 includes a computer processor and a computer-readable memory coupled to the processor.
  • the processor executes system control software, such as a computer program stored in memory. Exemplary aspects of the processing system 100 and methods of use adaptable to embodiments of the present invention are further described in U.S. patent application Ser. No. 11/404,516, filed Apr. 14, 2006, now published as US 2007-024516, entitled EPITAXIAL GROWTH OF COMPOUND NITRIDE STRUCTURES, which is hereby incorporated by reference in its entirety.
  • FIG. 2 is a schematic cross-sectional view of the MOCVD chamber 102 according to embodiments of the present invention.
  • the MOCVD chamber 102 comprises a chamber body 202 , a chemical delivery module 203 for delivering precursor gases, carrier gases, cleaning gases, and/or purge gases, a remote plasma system 226 with a plasma source, a susceptor or substrate support 214 , and a vacuum system 212 .
  • the chamber body 202 encloses a processing volume 208 .
  • a showerhead assembly 204 is disposed at one end of the processing volume 208
  • the substrate carrier plate 112 is disposed at the other end of the processing volume 208 .
  • the substrate carrier plate 112 may be disposed on the substrate support 214 .
  • the substrate support 214 has z-lift capability for moving in a vertical direction, as shown by arrow 215 .
  • the z-lift capability may be used to move the substrate support 214 upwardly, and closer to the showerhead assembly 204 , and downwardly, and further away from the showerhead assembly 204 .
  • the distance from the surface of the showerhead assembly 204 that is adjacent the processing volume 208 to the substrate carrier plate 112 during processing ranges from about 4 mm to about 41 mm.
  • the substrate support 214 comprises a heating element (e.g., a resistive heating element (not shown)) for controlling the temperature of the substrate support 214 and consequently controlling the temperature of the substrate carrier plate 112 and substrates 240 positioned on the substrate carrier plate 112 and the substrate support 214 .
  • a heating element e.g., a resistive heating element (not shown)
  • the showerhead assembly 204 has a first processing gas channel 204 A coupled with the chemical delivery module 203 via a first processing gas inlet 259 for delivering a first precursor or first process gas mixture to the processing volume 208 .
  • the chemical delivery module 203 is configured to deliver a metal organic precursor to the first processing gas channel 204 A.
  • the metal organic precursor comprises a suitable gallium (Ga) precursor (e.g., trimethyl gallium (“TMG”), triethyl gallium (TEG)), a suitable aluminum precursor (e.g., trimethyl aluminum (“TMA”)), or a suitable indium precursor (e.g., trimethyl indium (“TMI”)).
  • a blocker plate 255 is positioned across the first processing gas channel 204 A.
  • the blocker plate 255 has a plurality of orifices 257 disposed therethrough.
  • the blocker plate 255 is positioned between the first processing gas inlet 259 and the first processing gas channel 204 A for uniformly distributing gas received from the chemical delivery module 203 into the first processing gas channel 204 A.
  • the showerhead assembly 204 has a second processing gas channel 204 B coupled with the chemical delivery module 203 for delivering a second precursor or second process gas mixture to the processing volume 208 via a second processing gas inlet 258 .
  • the chemical delivery module 203 is configured to deliver a suitable nitrogen containing processing gas, such as ammonia (NH 3 ) or other MOCVD or HVPE processing gas, to the second processing gas channel 204 B.
  • the second processing gas channel 204 B is separated from the first processing gas channel 204 A by a first horizontal wall 276 of the showerhead 204 .
  • the showerhead assembly 204 may further include a temperature control channel 204 C coupled with a heat exchanging system 270 for flowing a heat exchanging fluid through the showerhead assembly 204 to help regulate the temperature of the showerhead assembly 204 .
  • Suitable heat exchanging fluids include, but are not limited to, water, water-based ethylene glycol mixtures, a perfluoropolyether (e.g., Galden® fluid), oil-based thermal transfer fluids, or similar fluids.
  • the second processing gas channel 204 B is separated from the temperature control channel 204 C by a second horizontal wall 277 of the showerhead 204 .
  • the temperature control channel 204 C may be separated from the processing volume 208 by a third horizontal wall 278 of the showerhead 204 .
  • FIG. 3 is an enlarged view of detail A shown in FIG. 2 .
  • the first precursor or first processing gas mixture such as a metal organic precursor
  • the first precursor or first processing gas mixture is delivered from the first processing gas channel 204 A through the second processing gas channel 204 B and the temperature control channel 204 C into the processing volume 208 via a plurality of inner gas conduits 246 .
  • the inner gas conduits 246 may be cylindrical tubes located within aligned holes disposed through the first horizontal wall 276 , the second horizontal wall 277 , and the third horizontal wall 278 of the showerhead 204 .
  • the inner gas conduits 246 are each attached to the first horizontal wall 276 of the showerhead assembly 204 by suitable means, such as brazing.
  • the second precursor or second processing gas mixture such as a nitrogen precursor, is delivered from the second processing gas channel 204 B through the temperature control channel 204 C and into the processing volume 208 via a plurality of outer gas conduits 245 .
  • the outer gas conduits 245 may be cylindrical tubes each located concentrically about a respective inner gas conduit 246 .
  • the outer gas conduits 245 are located within the aligned holes disposed through the second horizontal wall 277 and the third horizontal wall 278 of the showerhead 204 .
  • the outer gas conduits 245 are each attached to the second horizontal wall 277 of the showerhead assembly 204 by suitable means, such as brazing.
  • the MOCVD chamber 102 may be used for deposition of Group III-nitride films.
  • the Group III-nitride films are deposited at a temperature exceeding about 550° C.
  • a cooling fluid is circulated through the temperature control channel 204 C in order to cool the showerhead assembly 204 , and in particular, to cool the metal organic precursor being delivered through the inner gas conduits 246 , which extend through the cooling channel 204 C, to prevent decomposition of the metal organic precursor before it is introduced into the processing volume 208 .
  • each inner gas conduit 246 surrounding the metal organic precursor flowing through each inner gas conduit 246 with a flow of nitrogen-containing gas through the second processing gas channel 204 B and each outer conduit 245 , provides additional cooling and thermal insulation from the high processing temperatures within the processing volume 208 , in order to prevent decomposition of the metal organic precursor before it is introduced into the processing volume 208 .
  • FIG. 4A is a partial, schematic, bottom view of a multiple precursor showerhead 400 having a classic, one-to-one, square pattern of gas passages. Similar to embodiments of the present invention, the showerhead 400 has a first processing gas channel coupled to a processing region via a first gas conduit 402 and a second processing gas channel coupled to the processing region via a second gas conduit 404 . In the depicted configuration, the first conduits 402 and the second gas conduits 404 are configured in a one-to-one square pattern. The configuration depicted in FIG. 4A results in a pattern in which each row of conduits has more of the second gas conduits 404 than the first gas conduits 402 or vice versa.
  • first and second gas conduits results in a limited number of gas passages from each gas channel due to space constraints. The result is a less uniform than desirable distribution of gases across substrates positioned in the processing volume, resulting in less than desirable deposition uniformity.
  • FIG. 4B is a partial, schematic, bottom view of the showerhead assembly 204 from FIG. 2 and according to one embodiment of the present invention.
  • the concentric tube configuration comprising the outer gas conduit 245 that delivers a second gas from the second processing gas channel 204 B and the inner gas conduit 246 that delivers a first gas from the first processing gas channel 204 A are arranged in a much closer and more uniform pattern as compared to that shown in FIG. 4A .
  • the concentric tubes are configured in a hexagonal close packed arrangement. Such a configuration provides a significantly increased number of gas passages for both the first and second processing gases as compared to the configuration depicted in FIG. 4A . For instance, the configuration depicted in FIG.
  • Exemplary showerheads that may be adapted to practice embodiments described herein are described in U.S. patent application Ser. No. 11/873,132, filed Oct. 16, 2007, now published as US 2009-0098276, entitled MULTI-GAS STRAIGHT CHANNEL SHOWERHEAD, U.S. patent application Ser. No. 11/873,141, filed Oct. 16, 2007, now published as US 2009-0095222, entitled MULTI-GAS SPIRAL CHANNEL SHOWERHEAD, and U.S. patent application Ser. No. 11/873,170, filed Oct. 16, 2007, now published as US 2009-0095221, entitled MULTI-GAS CONCENTRIC INJECTION SHOWERHEAD, all of which are incorporated by reference in their entireties.
  • a lower dome 219 is disposed at one end of a lower volume 210 , and the substrate carrier plate 112 is disposed at the other end of the lower volume 210 .
  • the substrate carrier plate 112 is shown in an elevated, process position, but may be moved to a lower position where, for example, the substrates 240 may be loaded or unloaded.
  • An exhaust ring 220 may be disposed around the periphery of the substrate carrier plate 112 to help prevent deposition from occurring in the lower volume 210 and also help direct exhaust gases from the chamber 102 to exhaust ports 209 .
  • the lower dome 219 may be made of transparent material, such as high-purity quartz, to allow light to pass through for radiant heating of the substrates 240 .
  • the radiant heating may be provided by a plurality of inner lamps 221 A and outer lamps 221 B disposed below the lower dome 219 .
  • Reflectors 266 may be used to help control exposure of the chamber 102 to the radiant energy provided by the inner and outer lamps 221 A, 221 B. Additional rings of lamps (not shown) may also be used for finer temperature control of the substrates 240 .
  • a purge gas (e.g., a nitrogen containing gas) is delivered into the chamber 102 from the showerhead assembly 204 through one or more purge gas channels 281 coupled to a purge gas source 282 .
  • the purge gas is distributed through a plurality of orifices 284 about the periphery of the showerhead 204 .
  • the plurality of orifices 284 may be configured in a circular pattern about the periphery of the showerhead assembly 204 and positioned distribute the purge gas about the periphery of the substrate carrier plate 112 to prevent undesirable deposition on edges of the substrate carrier plate 112 , the showerhead 204 , and other components of the chamber 102 , which result in particle formation and, ultimately contamination of the substrates 240 .
  • the purge gas flows downwardly into multiple exhaust ports 209 , which are disposed around an annular exhaust channel 205 .
  • An exhaust conduit 206 connects the annular exhaust channel 205 to a vacuum system 212 , which includes a vacuum pump 207 .
  • the pressure of the chamber 102 may be controlled using a valve system, which controls the rate at which the exhaust gases are drawn from the annular exhaust channel 205 .
  • purge gas tubes 283 are disposed near the bottom of the chamber body 102 .
  • the purge gas enters the lower volume 210 of the chamber 102 and flows upwardly past the substrate carrier plate 112 and exhaust ring 220 and into the multiple exhaust ports 209 .
  • Other aspects of the MOCVD chamber 102 are described in U.S. patent application Ser. No. 12/023,520, filed Jan. 31, 2008, published as US 2009-0194024, and titled CVD APPARATUS, which is herein incorporated by reference in its entirety.
  • the chemical delivery module 203 supplies chemicals to the MOCVD chamber 102 .
  • Reactive gases e.g., first and second precursor gases
  • carrier gases e.g., nitrogen and second precursor gases
  • purge gases e.g., nitrogen and second precursor gases
  • cleaning gases may be supplied from the chemical delivery system through supply lines and into the chamber 102 .
  • the gases are supplied through supply lines and into a gas mixing box where they are mixed together and delivered to the showerhead assembly 204 .
  • supply lines for each of the gases include shut-off valves that can be used to automatically or manually shut-off the flow of the gas into its associated line, and mass flow controllers or other types of controllers that measure the flow of gas or liquid through the supply lines.
  • Supply lines for each of the gases may also include concentration monitors for monitoring precursor concentrations and providing real time feedback.
  • Backpressure regulators may be included to control precursor gas concentrations.
  • Valve switching control may be used for quick and accurate valve switching capability.
  • Moisture sensors in the gas lines measure water levels and can provide feedback to the system software which in turn can provide warnings/alerts to operators.
  • the gas lines may also be heated to prevent precursors and cleaning gases from condensing in the supply lines.
  • some of the sources may be liquid rather than gas.
  • the chemical delivery module includes a liquid injection system or other appropriate mechanism (e.g., a bubbler) to vaporize the liquid. Vapor from the liquids is then usually mixed with a carrier gas as would be understood by a person of skill in the art.
  • the remote plasma system 226 can produce a plasma for selected applications, such as chamber cleaning or etching residue from a process substrate.
  • Plasma species produced in the remote plasma system 226 from precursors supplied via an input line are sent via a conduit 204 D for dispersion through the showerhead assembly 204 to the MOCVD chamber 102 .
  • Precursor gases for a cleaning application may include chlorine containing gases, fluorine containing gases, iodine containing gases, bromine containing gases, nitrogen containing gases, and/or other reactive elements.
  • the remote plasma system 226 may also be adapted to deposit CVD layers flowing appropriate deposition precursor gases into remote plasma system 226 during a layer deposition process.
  • the remote plasma system 226 is used to deliver active chlorine species to the processing volume 208 for cleaning the interior of the MOCVD chamber 102 .
  • the temperature of the walls of the MOCVD chamber 102 and surrounding structures, such as the exhaust passageway, may be further controlled by circulating a heat-exchange liquid through channels (not shown) in the walls of the chamber 102 .
  • the heat-exchange liquid can be used to heat or cool the chamber body 202 depending on the desired effect. For example, hot liquid may help maintain an even thermal gradient during a thermal deposition process, whereas a cool liquid may be used to remove heat from the system during an in-situ plasma process, or to limit formation of deposition products on the walls of the chamber.
  • heating beneficially reduces or eliminates condensation of undesirable reactant products and improves the elimination of volatile products of the process gases and other contaminants that might contaminate the process if they were to condense on the walls of cool vacuum passages and migrate back into the processing chamber during periods of no gas flow.
  • a first precursor gas flows from the first processing gas channel 204 A in the showerhead assembly 204 and a second precursor gas flows from the second processing gas channel 204 B formed in the showerhead assembly 204 towards the surface of the substrates 240 .
  • the first precursor gas and/or second precursor gas may comprise one or more precursor gases or process gasses as well as carrier gases and dopant gases which may be mixed with the precursor gases.
  • the draw of the exhaust ports 209 may affect gas flow so that the process gases flow substantially tangential to the substrates 240 and may be uniformly distributed radially across the substrate deposition surfaces in a laminar flow.
  • the processing volume 208 may be maintained at a pressure of about 760 Torr down to about 80 Torr.
  • embodiments of the present invention include a showerhead assembly having concentric tube assemblies for separately delivering processing gases into a processing volume of a processing chamber.
  • the concentric tube assemblies may be disposed in a hexagonal close packed arrangement for providing greater uniformity of the processing gases into the processing volume of the processing chamber. As a result, improved deposition uniformity is achieved on a plurality of substrates positioned in the processing volume of the processing chamber.

Abstract

A method and apparatus that may be utilized for chemical vapor deposition and/or hydride vapor phase epitaxial (HVPE) deposition are provided. In one embodiment, the apparatus provides a processing chamber that includes a showerhead with separate inlets and channels for delivering separate processing gases into a processing volume of the chamber without mixing the gases prior to entering the processing volume. In one embodiment, a plurality of concentric tube assemblies are disposed within the showerhead to separately deliver a first gas from a first gas channel and a second gas from a second gas channel into the processing volume of the chamber. In one embodiment, the showerhead further includes a heat exchanging channel through which the plurality of concentric tube assemblies is disposed.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims benefit of U.S. Provisional Patent Application Ser. No. 61/324,271 (APPM/015324L), filed Apr. 14, 2010, which is herein incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the present invention generally relate to methods and apparatus for chemical vapor deposition (CVD) on a substrate, and, in particular, to a showerhead design for use in metal organic chemical vapor deposition (MOCVD) and/or hydride vapor phase epitaxy (HVPE).
  • 2. Description of the Related Art
  • Group III-V films are finding greater importance in the development and fabrication of a variety of semiconductor devices, such as short wavelength light emitting diodes (LEDs), laser diodes (LDs), and electronic devices including high power, high frequency, high temperature transistors and integrated circuits. For example, short wavelength (e.g., blue/green to ultraviolet) LEDs are fabricated using the Group III-nitride semiconducting material gallium nitride (GaN). It has been observed that short wavelength LEDs fabricated using GaN can provide significantly greater efficiencies and longer operating lifetimes than short wavelength LEDs fabricated using non-nitride semiconducting materials, such as Group II-VI materials.
  • One method that has been used for depositing Group III-nitrides, such as GaN, is metal organic chemical vapor deposition (MOCVD). This chemical vapor deposition method is generally performed in a reactor having a temperature controlled environment to assure the stability of a first precursor gas which contains at least one element from Group III, such as gallium (Ga). A second precursor gas, such as ammonia (NH3), provides the nitrogen needed to form a Group III-nitride. The two precursor gases are injected into a processing zone within the reactor where they mix and move towards a heated substrate in the processing zone. A carrier gas may be used to assist in the transport of the precursor gases towards the substrate. The precursors react at the surface of the heated substrate to form a Group III-nitride layer, such as GaN, on the substrate surface. The quality of the film depends in part upon deposition uniformity which, in turn, depends upon uniform mixing of the precursors across the substrate.
  • Multiple substrates may be arranged on a substrate carrier and each substrate may have a diameter ranging from 50 mm to 100 mm or larger. The uniform mixing of precursors over larger substrates and/or more substrates and larger deposition areas is desirable in order to increase yield and throughput. These factors are important since they directly affect the cost to produce an electronic device and, thus, a device manufacturer's competitiveness in the marketplace.
  • Interaction of the precursor gases with the hot hardware components, which are often found in the processing zone of an LED or LD forming reactor, generally causes the precursor to break-down and deposit on these hot surfaces. Typically, the hot reactor surfaces are formed by radiation from the heat sources used to heat the substrates. The deposition of the precursor materials on the hot surfaces can be especially problematic when it occurs in or on the precursor distribution components, such as the showerhead. Deposition on the precursor distribution components affects the flow distribution uniformity over time. Therefore, there is a need for a gas distribution apparatus that prevents or reduces the likelihood that the MOCVD precursors, or HVPE precursors, are heated to a temperature that causes them to break down and affect the performance of the gas distribution device.
  • Also, as the demand for LEDs, LDs, transistors, and integrated circuits increases, the efficiency of depositing high quality Group-III nitride films takes on greater importance. Therefore, there is a need for an improved deposition apparatus and process that can provide consistent film quality over larger substrates and larger deposition areas.
  • SUMMARY OF THE INVENTION
  • The present invention generally provides improved methods and apparatus for depositing Group III-nitride films using MOCVD and/or HVPE processes.
  • One embodiment of the present invention provides a showerhead apparatus comprising a first gas channel coupled to a first gas inlet, a second gas channel coupled to a second gas inlet, a plurality of first gas conduits fluidly coupling the first gas channel to an exit surface of the showerhead apparatus, and a plurality of second gas conduits fluidly coupling the second gas channel to the exit surface of the showerhead apparatus. The first gas channel is isolated from the second gas channel, and at least one of the first gas conduits is disposed within at least one of the second gas conduits.
  • Another embodiment provides a substrate processing apparatus comprising a chamber body, a substrate support, and a showerhead apparatus, wherein a processing volume is defined by the chamber body, the substrate support, and the showerhead apparatus. The showerhead apparatus comprises a first gas channel coupled to a first gas inlet, a second gas channel coupled to a second gas inlet, a plurality of first gas conduits fluidly coupling the first gas channel to the processing volume, and a plurality of second gas conduits fluidly coupling the second gas channel to the processing volume. The first gas channel is isolated from the second gas channel, and at least one of the first gas conduits is concentrically disposed within at least one of the second gas conduits.
  • Yet another embodiment of the present invention provides a method of processing substrates comprising introducing a first gas into a processing volume of a processing chamber through a first gas inlet coupled to a first gas channel of a showerhead assembly and introducing a second gas into the processing volume of the processing chamber through a second gas inlet coupled to a second gas channel of the showerhead assembly. The first gas channel is isolated from the second gas channel, and the first gas is delivered into the processing volume through a plurality of first gas conduits and the second gas is delivered into the processing volume through a plurality of second gas conduits. At least one of the first gas conduits is concentrically disposed within at least one of the second gas conduits.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 is a schematic plan view illustrating one embodiment of a processing system for fabricating compound nitride semiconductor devices according to embodiments described herein.
  • FIG. 2 is a schematic cross-sectional view of a metal-organic chemical vapor deposition (MOCVD) chamber for fabricating compound nitride semiconductor devices according to one embodiment of the present invention.
  • FIG. 3 is an enlarged view of detail A shown in FIG. 2.
  • FIG. 4A is a partial, schematic, bottom view of a multiple precursor showerhead showing a classic, one-to-one, square pattern of gas passages.
  • FIG. 4B is a partial, schematic, bottom view of the showerhead from FIG. 2 and according to one embodiment of the present invention.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.
  • DETAILED DESCRIPTION
  • Embodiments of the present invention generally provide a method and apparatus that may be utilized for deposition of Group III-nitride films using MOCVD and/or HVPE hardware. In one embodiment, the apparatus a processing chamber that includes a showerhead with separate inlets and channels for delivering separate processing gases into a processing volume of the chamber without mixing the gases prior to entering the processing volume. In one embodiment, a plurality of concentric tube assemblies are disposed within the showerhead to separately deliver a first gas from a first gas channel and a second gas from a second gas channel into the processing volume of the chamber. In one embodiment, the showerhead further includes a cooling channel through which the plurality of concentric tube assemblies is disposed.
  • FIG. 1 is a schematic plan view illustrating one embodiment of a processing system 100 that comprises the one or more MOCVD chambers 102 for fabricating compound nitride semiconductor devices according to embodiments described herein. In one embodiment, the processing system 100 is closed to atmosphere. The processing system 100 comprises a transfer chamber 106, a MOCVD chamber 102 coupled with the transfer chamber 106, a loadlock chamber 108 coupled with the transfer chamber 106, a batch loadlock chamber 109, for storing substrates, coupled with the transfer chamber 106, and a load station 110, for loading substrates, coupled with the loadlock chamber 108. The transfer chamber 106 comprises a robot assembly (not shown) operable to pick up and transfer substrates between the loadlock chamber 108, the batch loadlock chamber 109, and the MOCVD chamber 102. Although a single MOCVD chamber 102 is shown, it should be understood that more than one MOCVD chamber 102 or additionally, combinations of one or more MOCVD chambers 102 with one or more Hydride Vapor Phase Epitaxial (HVPE) chambers may also be coupled with the transfer chamber 106. It should also be understood that although a cluster tool is shown, the embodiments described herein may be performed using linear track systems.
  • In one embodiment, the transfer chamber 106 remains under vacuum during substrate transfer processes. The transfer chamber vacuum level may be adjusted to match the vacuum level of the MOCVD chamber 102. For example, when transferring substrates from a transfer chamber 106 into the MOCVD chamber 102 (or vice versa), the transfer chamber 106 and the MOCVD chamber 102 may be maintained at the same vacuum level. Then, when transferring substrates from the transfer chamber 106 to the load lock chamber 108 (or vice versa) or the batch load lock chamber 109 (or vice versa), the transfer chamber vacuum level may be adjusted to match the vacuum level of the loadlock chamber 108 or batch load lock chamber 109 even through the vacuum level of the loadlock chamber 108 or batch load lock chamber 109 and the MOCVD chamber 102 may be different. Thus, the vacuum level of the transfer chamber 106 is adjustable. In certain embodiments, substrates are transferred in a high purity inert gas environment, such as, a high purity N2 environment. In one embodiment, substrates transferred in an environment having greater than 90% N2. In certain embodiments, substrates are transferred in a high purity NH3 environment. In one embodiment, substrates are transferred in an environment having greater than 90% NH3. In certain embodiments, substrates are transferred in a high purity H2 environment. In one embodiment, substrates are transferred in an environment having greater than 90% H2.
  • In the processing system 100, the robot assembly (not shown) transfers a substrate carrier plate 112 loaded with substrates into the single MOCVD chamber 102 to undergo deposition. In one embodiment, the substrate carrier plate 112 may have a diameter ranging from about 200 mm to about 750 mm. The substrate carrier plate 112 may be formed from a variety of materials, including SiC or SiC-coated graphite. In one embodiment, the substrate carrier plate 112 comprises a silicon carbide material. In one embodiment, the substrate carrier plate 112 has a surface area of about 1,000 cm2 or more, preferably 2,000 cm2 or more, and more preferably 4,000 cm2 or more. After some or all deposition steps have been completed, the substrate carrier plate 112 is transferred from the MOCVD chamber 102 back to the loadlock chamber 108 via the transfer robot. In one embodiment, the substrate carrier plate 112 is then transferred to the load station 110. In another embodiment, the substrate carrier plate 112 may be stored in either the loadlock chamber 108 or the batch load lock chamber 109 prior to further processing in the MOCVD chamber 102. One exemplary processing system 100 that may be adapted in accordance with embodiments of the present invention is described in U.S. patent application Ser. No. 12/023,572, filed Jan. 31, 2008, now published as US 2009-0194026, entitled PROCESSING SYSTEM FOR FABRICATING COMPOUND NITRIDE SEMICONDUCTOR DEVICES, which is hereby incorporated by reference in its entirety.
  • In one embodiment, a system controller 160 controls activities and operating parameters of the processing system 100. The system controller 160 includes a computer processor and a computer-readable memory coupled to the processor. The processor executes system control software, such as a computer program stored in memory. Exemplary aspects of the processing system 100 and methods of use adaptable to embodiments of the present invention are further described in U.S. patent application Ser. No. 11/404,516, filed Apr. 14, 2006, now published as US 2007-024516, entitled EPITAXIAL GROWTH OF COMPOUND NITRIDE STRUCTURES, which is hereby incorporated by reference in its entirety.
  • FIG. 2 is a schematic cross-sectional view of the MOCVD chamber 102 according to embodiments of the present invention. The MOCVD chamber 102 comprises a chamber body 202, a chemical delivery module 203 for delivering precursor gases, carrier gases, cleaning gases, and/or purge gases, a remote plasma system 226 with a plasma source, a susceptor or substrate support 214, and a vacuum system 212. The chamber body 202 encloses a processing volume 208. A showerhead assembly 204 is disposed at one end of the processing volume 208, and the substrate carrier plate 112 is disposed at the other end of the processing volume 208. The substrate carrier plate 112 may be disposed on the substrate support 214. The substrate support 214 has z-lift capability for moving in a vertical direction, as shown by arrow 215. In one embodiment, the z-lift capability may be used to move the substrate support 214 upwardly, and closer to the showerhead assembly 204, and downwardly, and further away from the showerhead assembly 204. In one embodiment, the distance from the surface of the showerhead assembly 204 that is adjacent the processing volume 208 to the substrate carrier plate 112 during processing ranges from about 4 mm to about 41 mm. In certain embodiments, the substrate support 214 comprises a heating element (e.g., a resistive heating element (not shown)) for controlling the temperature of the substrate support 214 and consequently controlling the temperature of the substrate carrier plate 112 and substrates 240 positioned on the substrate carrier plate 112 and the substrate support 214.
  • In one embodiment, the showerhead assembly 204 has a first processing gas channel 204A coupled with the chemical delivery module 203 via a first processing gas inlet 259 for delivering a first precursor or first process gas mixture to the processing volume 208. In one embodiment, the chemical delivery module 203 is configured to deliver a metal organic precursor to the first processing gas channel 204A. In one example, the metal organic precursor comprises a suitable gallium (Ga) precursor (e.g., trimethyl gallium (“TMG”), triethyl gallium (TEG)), a suitable aluminum precursor (e.g., trimethyl aluminum (“TMA”)), or a suitable indium precursor (e.g., trimethyl indium (“TMI”)).
  • In one embodiment, a blocker plate 255 is positioned across the first processing gas channel 204A. The blocker plate 255 has a plurality of orifices 257 disposed therethrough. In one embodiment, the blocker plate 255 is positioned between the first processing gas inlet 259 and the first processing gas channel 204A for uniformly distributing gas received from the chemical delivery module 203 into the first processing gas channel 204A.
  • In one embodiment, the showerhead assembly 204 has a second processing gas channel 204B coupled with the chemical delivery module 203 for delivering a second precursor or second process gas mixture to the processing volume 208 via a second processing gas inlet 258. In one embodiment, the chemical delivery module 203 is configured to deliver a suitable nitrogen containing processing gas, such as ammonia (NH3) or other MOCVD or HVPE processing gas, to the second processing gas channel 204B. In one embodiment, the second processing gas channel 204B is separated from the first processing gas channel 204A by a first horizontal wall 276 of the showerhead 204.
  • The showerhead assembly 204 may further include a temperature control channel 204C coupled with a heat exchanging system 270 for flowing a heat exchanging fluid through the showerhead assembly 204 to help regulate the temperature of the showerhead assembly 204. Suitable heat exchanging fluids include, but are not limited to, water, water-based ethylene glycol mixtures, a perfluoropolyether (e.g., Galden® fluid), oil-based thermal transfer fluids, or similar fluids. In one embodiment, the second processing gas channel 204B is separated from the temperature control channel 204C by a second horizontal wall 277 of the showerhead 204. The temperature control channel 204C may be separated from the processing volume 208 by a third horizontal wall 278 of the showerhead 204.
  • FIG. 3 is an enlarged view of detail A shown in FIG. 2. Referring to FIGS. 2 and 3, in one embodiment, the first precursor or first processing gas mixture, such as a metal organic precursor, is delivered from the first processing gas channel 204A through the second processing gas channel 204B and the temperature control channel 204C into the processing volume 208 via a plurality of inner gas conduits 246. The inner gas conduits 246 may be cylindrical tubes located within aligned holes disposed through the first horizontal wall 276, the second horizontal wall 277, and the third horizontal wall 278 of the showerhead 204. In one embodiment, the inner gas conduits 246 are each attached to the first horizontal wall 276 of the showerhead assembly 204 by suitable means, such as brazing.
  • In one embodiment, the second precursor or second processing gas mixture, such as a nitrogen precursor, is delivered from the second processing gas channel 204B through the temperature control channel 204C and into the processing volume 208 via a plurality of outer gas conduits 245. The outer gas conduits 245 may be cylindrical tubes each located concentrically about a respective inner gas conduit 246. The outer gas conduits 245 are located within the aligned holes disposed through the second horizontal wall 277 and the third horizontal wall 278 of the showerhead 204. In one embodiment, the outer gas conduits 245 are each attached to the second horizontal wall 277 of the showerhead assembly 204 by suitable means, such as brazing.
  • As previously presented, the MOCVD chamber 102 may be used for deposition of Group III-nitride films. In one embodiment, the Group III-nitride films are deposited at a temperature exceeding about 550° C. In one embodiment, during processing, a cooling fluid is circulated through the temperature control channel 204C in order to cool the showerhead assembly 204, and in particular, to cool the metal organic precursor being delivered through the inner gas conduits 246, which extend through the cooling channel 204C, to prevent decomposition of the metal organic precursor before it is introduced into the processing volume 208. Additionally, it is believed that surrounding the metal organic precursor flowing through each inner gas conduit 246 with a flow of nitrogen-containing gas through the second processing gas channel 204B and each outer conduit 245, provides additional cooling and thermal insulation from the high processing temperatures within the processing volume 208, in order to prevent decomposition of the metal organic precursor before it is introduced into the processing volume 208.
  • FIG. 4A is a partial, schematic, bottom view of a multiple precursor showerhead 400 having a classic, one-to-one, square pattern of gas passages. Similar to embodiments of the present invention, the showerhead 400 has a first processing gas channel coupled to a processing region via a first gas conduit 402 and a second processing gas channel coupled to the processing region via a second gas conduit 404. In the depicted configuration, the first conduits 402 and the second gas conduits 404 are configured in a one-to-one square pattern. The configuration depicted in FIG. 4A results in a pattern in which each row of conduits has more of the second gas conduits 404 than the first gas conduits 402 or vice versa. Additionally, the one-to-one square pattern of first and second gas conduits (402 and 404) results in a limited number of gas passages from each gas channel due to space constraints. The result is a less uniform than desirable distribution of gases across substrates positioned in the processing volume, resulting in less than desirable deposition uniformity.
  • FIG. 4B is a partial, schematic, bottom view of the showerhead assembly 204 from FIG. 2 and according to one embodiment of the present invention. As depicted, the concentric tube configuration comprising the outer gas conduit 245 that delivers a second gas from the second processing gas channel 204B and the inner gas conduit 246 that delivers a first gas from the first processing gas channel 204A are arranged in a much closer and more uniform pattern as compared to that shown in FIG. 4A. In one embodiment, the concentric tubes are configured in a hexagonal close packed arrangement. Such a configuration provides a significantly increased number of gas passages for both the first and second processing gases as compared to the configuration depicted in FIG. 4A. For instance, the configuration depicted in FIG. 4B has over twice as many gas passages for each processing gas as the configuration depicted in FIG. 4A for showerheads (204, 400) having the same surface area exposed to the processing volume 208. As a result of the configuration depicted in FIG. 4B, each of the first and second processing gases, delivered from the first processing gas channel 204A and the second processing gas channel 204B, is delivered more evenly across the substrates 240 positioned in the processing volume 208, resulting in significantly more deposition uniformity than the configuration depicted in FIG. 4A.
  • Exemplary showerheads that may be adapted to practice embodiments described herein are described in U.S. patent application Ser. No. 11/873,132, filed Oct. 16, 2007, now published as US 2009-0098276, entitled MULTI-GAS STRAIGHT CHANNEL SHOWERHEAD, U.S. patent application Ser. No. 11/873,141, filed Oct. 16, 2007, now published as US 2009-0095222, entitled MULTI-GAS SPIRAL CHANNEL SHOWERHEAD, and U.S. patent application Ser. No. 11/873,170, filed Oct. 16, 2007, now published as US 2009-0095221, entitled MULTI-GAS CONCENTRIC INJECTION SHOWERHEAD, all of which are incorporated by reference in their entireties.
  • Referring back to FIG. 2, a lower dome 219 is disposed at one end of a lower volume 210, and the substrate carrier plate 112 is disposed at the other end of the lower volume 210. The substrate carrier plate 112 is shown in an elevated, process position, but may be moved to a lower position where, for example, the substrates 240 may be loaded or unloaded. An exhaust ring 220 may be disposed around the periphery of the substrate carrier plate 112 to help prevent deposition from occurring in the lower volume 210 and also help direct exhaust gases from the chamber 102 to exhaust ports 209. The lower dome 219 may be made of transparent material, such as high-purity quartz, to allow light to pass through for radiant heating of the substrates 240. The radiant heating may be provided by a plurality of inner lamps 221A and outer lamps 221B disposed below the lower dome 219. Reflectors 266 may be used to help control exposure of the chamber 102 to the radiant energy provided by the inner and outer lamps 221A, 221B. Additional rings of lamps (not shown) may also be used for finer temperature control of the substrates 240.
  • In certain embodiments of the present invention, a purge gas (e.g., a nitrogen containing gas) is delivered into the chamber 102 from the showerhead assembly 204 through one or more purge gas channels 281 coupled to a purge gas source 282. In this embodiment, the purge gas is distributed through a plurality of orifices 284 about the periphery of the showerhead 204. The plurality of orifices 284 may be configured in a circular pattern about the periphery of the showerhead assembly 204 and positioned distribute the purge gas about the periphery of the substrate carrier plate 112 to prevent undesirable deposition on edges of the substrate carrier plate 112, the showerhead 204, and other components of the chamber 102, which result in particle formation and, ultimately contamination of the substrates 240. The purge gas flows downwardly into multiple exhaust ports 209, which are disposed around an annular exhaust channel 205. An exhaust conduit 206 connects the annular exhaust channel 205 to a vacuum system 212, which includes a vacuum pump 207. The pressure of the chamber 102 may be controlled using a valve system, which controls the rate at which the exhaust gases are drawn from the annular exhaust channel 205.
  • In other embodiments, purge gas tubes 283 are disposed near the bottom of the chamber body 102. In this configuration, the purge gas enters the lower volume 210 of the chamber 102 and flows upwardly past the substrate carrier plate 112 and exhaust ring 220 and into the multiple exhaust ports 209. Other aspects of the MOCVD chamber 102 are described in U.S. patent application Ser. No. 12/023,520, filed Jan. 31, 2008, published as US 2009-0194024, and titled CVD APPARATUS, which is herein incorporated by reference in its entirety.
  • The chemical delivery module 203 supplies chemicals to the MOCVD chamber 102. Reactive gases (e.g., first and second precursor gases), carrier gases, purge gases, and cleaning gases may be supplied from the chemical delivery system through supply lines and into the chamber 102. In one embodiment, the gases are supplied through supply lines and into a gas mixing box where they are mixed together and delivered to the showerhead assembly 204. Generally supply lines for each of the gases include shut-off valves that can be used to automatically or manually shut-off the flow of the gas into its associated line, and mass flow controllers or other types of controllers that measure the flow of gas or liquid through the supply lines. Supply lines for each of the gases may also include concentration monitors for monitoring precursor concentrations and providing real time feedback. Backpressure regulators may be included to control precursor gas concentrations. Valve switching control may be used for quick and accurate valve switching capability. Moisture sensors in the gas lines measure water levels and can provide feedback to the system software which in turn can provide warnings/alerts to operators. The gas lines may also be heated to prevent precursors and cleaning gases from condensing in the supply lines. Depending upon the process used some of the sources may be liquid rather than gas. When liquid sources are used, the chemical delivery module includes a liquid injection system or other appropriate mechanism (e.g., a bubbler) to vaporize the liquid. Vapor from the liquids is then usually mixed with a carrier gas as would be understood by a person of skill in the art.
  • The remote plasma system 226 can produce a plasma for selected applications, such as chamber cleaning or etching residue from a process substrate. Plasma species produced in the remote plasma system 226 from precursors supplied via an input line are sent via a conduit 204D for dispersion through the showerhead assembly 204 to the MOCVD chamber 102. Precursor gases for a cleaning application may include chlorine containing gases, fluorine containing gases, iodine containing gases, bromine containing gases, nitrogen containing gases, and/or other reactive elements. The remote plasma system 226 may also be adapted to deposit CVD layers flowing appropriate deposition precursor gases into remote plasma system 226 during a layer deposition process. In one embodiment, the remote plasma system 226 is used to deliver active chlorine species to the processing volume 208 for cleaning the interior of the MOCVD chamber 102.
  • The temperature of the walls of the MOCVD chamber 102 and surrounding structures, such as the exhaust passageway, may be further controlled by circulating a heat-exchange liquid through channels (not shown) in the walls of the chamber 102. The heat-exchange liquid can be used to heat or cool the chamber body 202 depending on the desired effect. For example, hot liquid may help maintain an even thermal gradient during a thermal deposition process, whereas a cool liquid may be used to remove heat from the system during an in-situ plasma process, or to limit formation of deposition products on the walls of the chamber. This heating, referred to as heating by the “heat exchanger”, beneficially reduces or eliminates condensation of undesirable reactant products and improves the elimination of volatile products of the process gases and other contaminants that might contaminate the process if they were to condense on the walls of cool vacuum passages and migrate back into the processing chamber during periods of no gas flow.
  • In one embodiment, during processing, a first precursor gas flows from the first processing gas channel 204A in the showerhead assembly 204 and a second precursor gas flows from the second processing gas channel 204B formed in the showerhead assembly 204 towards the surface of the substrates 240. As noted above, the first precursor gas and/or second precursor gas may comprise one or more precursor gases or process gasses as well as carrier gases and dopant gases which may be mixed with the precursor gases. The draw of the exhaust ports 209 may affect gas flow so that the process gases flow substantially tangential to the substrates 240 and may be uniformly distributed radially across the substrate deposition surfaces in a laminar flow. In one embodiment, the processing volume 208 may be maintained at a pressure of about 760 Torr down to about 80 Torr.
  • In summary, embodiments of the present invention include a showerhead assembly having concentric tube assemblies for separately delivering processing gases into a processing volume of a processing chamber. The concentric tube assemblies may be disposed in a hexagonal close packed arrangement for providing greater uniformity of the processing gases into the processing volume of the processing chamber. As a result, improved deposition uniformity is achieved on a plurality of substrates positioned in the processing volume of the processing chamber.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (20)

1. A showerhead apparatus, comprising:
a first gas channel coupled to a first gas inlet;
a second gas channel coupled to a second gas inlet, wherein the first gas channel is isolated from the second gas channel;
a temperature control channel coupled to a heat exchanging system configured to supply a heat exchanging fluid through the temperature control channel;
a plurality of first gas conduits extending through the temperature control channel and fluidly coupling the first gas channel to an exit surface of the showerhead apparatus; and
a plurality of second gas conduits extending through the temperature control channel and fluidly coupling the second gas channel to the exit surface of the showerhead apparatus, wherein at least one of the first gas conduits is disposed within at least one of the second gas conduits.
2. The apparatus of claim 1, wherein each of the first and second gas conduits forms a concentric tube assembly.
3. The apparatus of claim 2, wherein the concentric tube assemblies are configured in a hexagonal close packed arrangement.
4. The apparatus of claim 3, wherein the first gas channel is disposed above the second gas channel.
5. The apparatus of claim 4, wherein the second gas channel is disposed above the temperature control channel.
6. The apparatus of claim 2, further comprising a blocker plate positioned between the first gas inlet and the first gas channel.
7. The apparatus of claim 2, wherein the showerhead apparatus has a plurality of gas passages disposed about the periphery of the exit surface, wherein the plurality of gas passages are fluidly coupled to a purge gas inlet, and wherein the plurality of gas passages are isolated from the first gas channel, the second gas channel, and the temperature control.
8. The apparatus of claim 2, wherein the first gas inlet is coupled to a metal organic gas source, and wherein the second gas inlet is coupled to a nitrogen containing gas source.
9. A substrate processing apparatus, comprising:
a chamber body;
a substrate support; and
a showerhead apparatus, wherein a processing volume is defined by the chamber body, the substrate support, and the showerhead apparatus, and wherein the showerhead apparatus comprises:
a first gas channel coupled to a first gas inlet;
a second gas channel coupled to a second gas inlet, wherein the first gas channel is isolated from the second gas channel;
a temperature control channel coupled to a heat exchanging system configured to supply a heat exchanging fluid through the temperature control channel;
a plurality of first gas conduits extending through the temperature control channel and fluidly coupling the first gas channel to the processing volume; and
a plurality of second gas conduits extending through the temperature control channel and fluidly coupling the second gas channel to the processing volume, wherein at least one of the first gas conduits is concentrically disposed within at least one of the second gas conduits.
10. The apparatus of claim 9, wherein each of the first and second gas conduits forms a concentric tube assembly.
11. The apparatus of claim 10, wherein the concentric tube assemblies are configured in a hexagonal close packed arrangement.
12. The apparatus of claim 9, wherein the showerhead further comprises a blocker plate disposed between the first gas inlet and the first gas channel.
13. The apparatus of claim 9, wherein the showerhead has a plurality of gas passages disposed about the periphery of a surface of the showerhead adjacent the processing volume, wherein the plurality of gas passages are fluidly coupled to a purge gas inlet, and wherein the plurality of gas passages are isolated from the first gas channel, the second gas channel, and the temperature control channel.
14. The apparatus of claim 9, wherein the first gas inlet is coupled to a metal organic gas source, and wherein the second gas inlet is coupled to a nitrogen containing gas source.
15. The apparatus of claim 9, wherein the second gas channel is disposed between the first gas channel and the temperature control channel.
16. A method of processing substrates, comprising:
introducing a first gas into a processing volume of a processing chamber through a first gas inlet coupled to a first gas channel of a showerhead assembly;
introducing a second gas into the processing volume of the processing chamber through a second gas inlet coupled to a second gas channel of the showerhead assembly, wherein the first gas channel is isolated from the second gas channel, wherein the first gas is delivered into the processing volume through a plurality of first plurality of second gas conduits, and wherein at least one of the first gas conduits is concentrically disposed within at least one of the second gas conduits; and
cooling the showerhead assembly by flowing a heat exchanging fluid through a temperature control channel disposed in the showerhead assembly, wherein the plurality of first and second gas conduits are disposed through the heat exchanging channel.
17. The method of claim 16, further comprising distributing the first gas across the first gas channel using a blocker plate disposed between the first gas inlet and the first gas channel.
18. The method of claim 16, further comprising introducing a purge gas about the perimeter of the processing volume through a plurality of gas passages disposed about the periphery of a surface of the showerhead assembly adjacent the processing volume.
19. The method of claim 16, wherein the first gas is a metal organic precursor and the second gas is a nitrogen containing gas.
20. The method of claim 19, wherein the metal organic precursor contains gallium and the nitrogen containing gas is ammonia.
US12/785,241 2010-04-14 2010-05-21 Multiple precursor concentric delivery showerhead Abandoned US20110256692A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/785,241 US20110256692A1 (en) 2010-04-14 2010-05-21 Multiple precursor concentric delivery showerhead

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US32427110P 2010-04-14 2010-04-14
US12/785,241 US20110256692A1 (en) 2010-04-14 2010-05-21 Multiple precursor concentric delivery showerhead

Publications (1)

Publication Number Publication Date
US20110256692A1 true US20110256692A1 (en) 2011-10-20

Family

ID=44787169

Family Applications (5)

Application Number Title Priority Date Filing Date
US12/785,241 Abandoned US20110256692A1 (en) 2010-04-14 2010-05-21 Multiple precursor concentric delivery showerhead
US12/815,557 Active 2031-08-10 US8361892B2 (en) 2010-04-14 2010-06-15 Multiple precursor showerhead with by-pass ports
US12/831,522 Abandoned US20110253044A1 (en) 2010-04-14 2010-07-07 Showerhead assembly with metrology port purge
US12/856,747 Active 2033-12-12 US10130958B2 (en) 2010-04-14 2010-08-16 Showerhead assembly with gas injection distribution devices
US13/751,889 Active US8679956B2 (en) 2010-04-14 2013-01-28 Multiple precursor showerhead with by-pass ports

Family Applications After (4)

Application Number Title Priority Date Filing Date
US12/815,557 Active 2031-08-10 US8361892B2 (en) 2010-04-14 2010-06-15 Multiple precursor showerhead with by-pass ports
US12/831,522 Abandoned US20110253044A1 (en) 2010-04-14 2010-07-07 Showerhead assembly with metrology port purge
US12/856,747 Active 2033-12-12 US10130958B2 (en) 2010-04-14 2010-08-16 Showerhead assembly with gas injection distribution devices
US13/751,889 Active US8679956B2 (en) 2010-04-14 2013-01-28 Multiple precursor showerhead with by-pass ports

Country Status (1)

Country Link
US (5) US20110256692A1 (en)

Cited By (197)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090169744A1 (en) * 2006-09-16 2009-07-02 Piezonics Co., Ltd Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases postively and method thereof
US20120024388A1 (en) * 2007-10-16 2012-02-02 Burrows Brian H Multi-gas straight channel showerhead
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
US20130052804A1 (en) * 2009-10-09 2013-02-28 Applied Materials, Imn, Multi-gas centrally cooled showerhead design
WO2014123667A1 (en) * 2013-02-06 2014-08-14 Applied Materials, Inc. Gas injection apparatus and substrate process chamber incorporating same
US20140311411A1 (en) * 2012-01-10 2014-10-23 Eugene Technology Co., Ltd. Showerhead having cooling system and substrate processing apparatus including the showerhead
US20150007771A1 (en) * 2011-07-12 2015-01-08 Aixtron Se Gas inlet member of a cvd reactor
US20150007770A1 (en) * 2013-07-03 2015-01-08 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9057128B2 (en) 2011-03-18 2015-06-16 Applied Materials, Inc. Multiple level showerhead design
CN105200396A (en) * 2014-06-18 2015-12-30 中微半导体设备(上海)有限公司 Metalorganic chemical vapor deposition (MOCVD) equipment and method for removing parasitic particles thereof
US20160027674A1 (en) * 2013-03-15 2016-01-28 Kevin Griffin Carousel Gas Distribution Assembly With Optical Measurements
US20170110292A1 (en) * 2013-02-25 2017-04-20 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
CN109837526A (en) * 2017-11-24 2019-06-04 北京北方华创微电子装备有限公司 A kind of film deposition equipment and cleaning method
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US20200279721A1 (en) * 2012-10-12 2020-09-03 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11396702B2 (en) * 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN117410213A (en) * 2023-12-13 2024-01-16 浙江果纳半导体技术有限公司 Wafer transmission device
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Families Citing this family (213)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
FR2930561B1 (en) * 2008-04-28 2011-01-14 Altatech Semiconductor DEVICE AND METHOD FOR CHEMICAL TREATMENT IN STEAM PHASE.
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI431149B (en) * 2009-12-24 2014-03-21 Lig Adp Co Ltd Chemical vapor deposition apparatus and a control method thereof
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
KR101893471B1 (en) * 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 Method and apparatus for multizone plasma generation
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9644285B2 (en) 2011-08-22 2017-05-09 Soitec Direct liquid injection for halide vapor phase epitaxy systems and methods
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130145989A1 (en) * 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
DE112013002819T5 (en) * 2012-06-07 2015-04-02 Soitec Deposition systems with deposition chambers designed for in situ metrology with radiation diffraction and related processes
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9416450B2 (en) * 2012-10-24 2016-08-16 Applied Materials, Inc. Showerhead designs of a hot wire chemical vapor deposition (HWCVD) chamber
US9399228B2 (en) 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
TW201443272A (en) * 2013-02-20 2014-11-16 Applied Materials Inc Apparatus and methods for differential pressure chucking of substrates
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
JP6199619B2 (en) * 2013-06-13 2017-09-20 株式会社ニューフレアテクノロジー Vapor growth equipment
US10781516B2 (en) * 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
JP6153401B2 (en) * 2013-07-02 2017-06-28 株式会社ニューフレアテクノロジー Vapor growth apparatus and vapor growth method
US9159514B2 (en) * 2013-11-18 2015-10-13 Tyco Electronics Corporation Relay connector assembly for a relay system
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
GB2522485A (en) * 2014-03-26 2015-07-29 British American Tobacco Co Dispensing apparatus and methods
TW201610215A (en) * 2014-03-27 2016-03-16 應用材料股份有限公司 Cyclic spike anneal chemical exposure for low thermal budget processing
KR102386812B1 (en) * 2014-05-16 2022-04-15 어플라이드 머티어리얼스, 인코포레이티드 Showerhead design
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9958673B2 (en) * 2014-07-29 2018-05-01 Nanometrics Incorporated Protected lens cover plate for an optical metrology device
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US9576815B2 (en) * 2015-04-17 2017-02-21 Applied Materials, Inc. Gas-phase silicon nitride selective etch
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
JP6606403B2 (en) * 2015-11-05 2019-11-13 株式会社ニューフレアテクノロジー Shower plate, vapor phase growth apparatus, and vapor phase growth method
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10269600B2 (en) 2016-03-15 2019-04-23 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US10453721B2 (en) 2016-03-15 2019-10-22 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US11066747B2 (en) 2016-04-25 2021-07-20 Applied Materials, Inc. Chemical delivery chamber for self-assembled monolayer processes
CN107403717B (en) * 2016-04-28 2023-07-18 应用材料公司 Improved side injection nozzle design for process chambers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6880076B2 (en) * 2016-06-03 2021-06-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Board distance monitoring
SG11201810824UA (en) * 2016-06-03 2019-01-30 Applied Materials Inc Effective and novel design for lower particle count and better wafer quality by diffusing the flow inside the chamber
US10358715B2 (en) 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11598003B2 (en) * 2017-09-12 2023-03-07 Applied Materials, Inc. Substrate processing chamber having heated showerhead assembly
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10818479B2 (en) * 2017-11-12 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Grounding cap module, gas injection device and etching apparatus
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP2021523292A (en) 2018-05-03 2021-09-02 ラム リサーチ コーポレーションLam Research Corporation How to deposit tungsten and other metals in a 3D NAND structure
KR102516885B1 (en) * 2018-05-10 2023-03-30 삼성전자주식회사 Deposition equipment and method of fabricating semiconductor device using the same
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
JP7164632B2 (en) * 2018-06-08 2022-11-01 アプライド マテリアルズ インコーポレイテッド Temperature controlled gas diffuser for flat panel process equipment
US10840066B2 (en) * 2018-06-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
KR102576220B1 (en) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 Thin Film Processing Appartus and Method
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
CN112105759B (en) * 2018-07-31 2023-11-24 应用材料公司 Gas box for CVD chamber
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
JP7365761B2 (en) * 2018-08-24 2023-10-20 株式会社ニューフレアテクノロジー Vapor phase growth equipment
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
GB201819454D0 (en) * 2018-11-29 2019-01-16 Johnson Matthey Plc Apparatus and method for coating substrates with washcoats
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20210127768A (en) 2019-03-11 2021-10-22 어플라이드 머티어리얼스, 인코포레이티드 Lid assembly apparatus and methods for substrate processing chambers
US11821071B2 (en) 2019-03-11 2023-11-21 Lam Research Corporation Precursors for deposition of molybdenum-containing films
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20220052996A (en) * 2019-08-28 2022-04-28 램 리써치 코포레이션 metal deposition
US20220316063A1 (en) * 2019-09-04 2022-10-06 Gallium Enterprises Pty Ltd RPCVD Apparatus and Methods for Forming a Film
US20220384145A1 (en) * 2019-10-24 2022-12-01 Lam Research Corporation Semiconductor equipment module fabrication with additive manufacturing
KR102170451B1 (en) * 2020-01-22 2020-10-28 (주)이큐테크플러스 Radical unit device for distributing precursor and reactant gas and atomic layer deposition apparatus including radical unit device therefor
US20210331183A1 (en) * 2020-04-24 2021-10-28 Applied Materials, Inc. Fasteners for coupling components of showerhead assemblies
DE102020112569A1 (en) 2020-05-08 2021-11-11 AIXTRON Ltd. Gas inlet member with an optical path running through an insert tube
CN111501024A (en) * 2020-05-08 2020-08-07 Tcl华星光电技术有限公司 Vapor deposition apparatus
CN112626496B (en) * 2020-11-24 2022-04-05 鑫天虹(厦门)科技有限公司 Shower nozzle subassembly and atomic layer deposition equipment
TW202403086A (en) * 2021-07-12 2024-01-16 美商應用材料股份有限公司 Improved showerhead pumping geometry for precursor containment

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6301434B1 (en) * 1998-03-23 2001-10-09 Mattson Technology, Inc. Apparatus and method for CVD and thermal processing of semiconductor substrates
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US20040099213A1 (en) * 2000-07-24 2004-05-27 Adomaitis Raymond A Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US20050026402A1 (en) * 2001-12-21 2005-02-03 Holger Jurgensen Method and device for depositing crystalline layers on crystalline substrates
US20100263588A1 (en) * 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
US20110052833A1 (en) * 2009-08-27 2011-03-03 Applied Materials, Inc. Gas distribution showerhead and method of cleaning

Family Cites Families (135)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1373188A (en) * 1919-07-17 1921-03-29 Diamond Expansion Bolt Co Toggle
JPS586124A (en) * 1981-07-02 1983-01-13 Toshiba Mach Co Ltd Semiconductor vapor growth device
US4851295A (en) 1984-03-16 1989-07-25 Genus, Inc. Low resistivity tungsten silicon composite film
US5348911A (en) 1987-06-30 1994-09-20 Aixtron Gmbh Material-saving process for fabricating mixed crystals
US5229081A (en) * 1988-02-12 1993-07-20 Regal Joint Co., Ltd. Apparatus for semiconductor process including photo-excitation process
DD271776A1 (en) 1988-05-06 1989-09-13 Elektromat Veb DEVICE FOR GAS SUPPLY AND REMOVAL FOR THE GAS PHASE PROCESSING OF WORKPIECES
JPH02234419A (en) 1989-03-07 1990-09-17 Koujiyundo Kagaku Kenkyusho:Kk Plasma electrode
USD329839S (en) 1990-01-31 1992-09-29 Hohner Automation Societe Anonyme Incremental coder
JP2601365B2 (en) * 1990-04-13 1997-04-16 富士写真フイルム株式会社 Application method
WO1992022084A1 (en) 1991-05-21 1992-12-10 Advantage Production Technology, Inc. Organic preclean for improving vapor phase wafer etch uniformity
JPH05152208A (en) * 1991-11-29 1993-06-18 Fujitsu Ltd Semiconductor production device
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
JPH07142401A (en) * 1993-11-18 1995-06-02 Fujitsu Ltd Fabrication of semiconductor device and film deposition equipment therefor
US5647911A (en) 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
JPH09501612A (en) 1994-04-08 1997-02-18 マーク エー. レイ, Selective plasma growth
GB9411911D0 (en) 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5715361A (en) 1995-04-13 1998-02-03 Cvc Products, Inc. Rapid thermal processing high-performance multizone illuminator for wafer backside heating
US5830277A (en) * 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
JPH0945624A (en) * 1995-07-27 1997-02-14 Tokyo Electron Ltd Leaf-type heat treating system
JPH0945670A (en) 1995-07-29 1997-02-14 Hewlett Packard Co <Hp> Vapor phase etching method of group iiinitrogen crystal and re-deposition process method
US5667592A (en) 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5951771A (en) * 1996-09-30 1999-09-14 Celestech, Inc. Plasma jet system
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US5855675A (en) 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
KR100469047B1 (en) * 1997-04-11 2005-01-31 동경 엘렉트론 주식회사 Processing System, Upper Electrode Unit and Method of Use of an Upper Electrode, and Electrode Unit and Method of Manufacturing the Electrode unit
US6270569B1 (en) 1997-06-11 2001-08-07 Hitachi Cable Ltd. Method of fabricating nitride crystal, mixture, liquid phase growth method, nitride crystal, nitride crystal powders, and vapor phase growth method
US6071375A (en) * 1997-12-31 2000-06-06 Lam Research Corporation Gas purge protection of sensors and windows in a gas phase processing reactor
US6464843B1 (en) 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6086673A (en) 1998-04-02 2000-07-11 Massachusetts Institute Of Technology Process for producing high-quality III-V nitride substrates
US6383402B1 (en) * 1998-04-23 2002-05-07 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6218280B1 (en) 1998-06-18 2001-04-17 University Of Florida Method and apparatus for producing group-III nitrides
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6373114B1 (en) 1998-10-23 2002-04-16 Micron Technology, Inc. Barrier in gate stack for improved gate dielectric integrity
US6413839B1 (en) 1998-10-23 2002-07-02 Emcore Corporation Semiconductor device separation using a patterned laser projection
KR100304664B1 (en) 1999-02-05 2001-09-26 윤종용 Method for fabricating a GaN film
US6309465B1 (en) 1999-02-18 2001-10-30 Aixtron Ag. CVD reactor
TW582050B (en) 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP2000306889A (en) * 1999-04-21 2000-11-02 Hitachi Ltd Dry etching system
TW477009B (en) 1999-05-26 2002-02-21 Tadahiro Ohmi Plasma process device
JP3668079B2 (en) * 1999-05-31 2005-07-06 忠弘 大見 Plasma process equipment
JP4055880B2 (en) * 1999-06-02 2008-03-05 東京エレクトロン株式会社 Plasma processing apparatus, plasma processing monitoring window member, and electrode plate for plasma processing apparatus
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6569765B1 (en) 1999-08-26 2003-05-27 Cbl Technologies, Inc Hybrid deposition system and methods
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
JP3645768B2 (en) * 1999-12-07 2005-05-11 シャープ株式会社 Plasma process equipment
US6432259B1 (en) * 1999-12-14 2002-08-13 Applied Materials, Inc. Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates
US6897119B1 (en) 1999-12-22 2005-05-24 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
DE50100603D1 (en) 2000-02-04 2003-10-16 Aixtron Ag DEVICE AND METHOD FOR DEPOSITING ONE OR MORE LAYERS ONTO A SUBSTRATE
KR100545034B1 (en) * 2000-02-21 2006-01-24 가부시끼가이샤 히다치 세이사꾸쇼 Plasma processing apparatus and method for processing substrate
JP4849705B2 (en) 2000-03-24 2012-01-11 東京エレクトロン株式会社 Plasma processing apparatus, plasma generation introducing member, and dielectric
WO2001080298A1 (en) 2000-04-17 2001-10-25 Mattson Technology, Inc. Uv pretreatment process for ultra-thin oxynitride for formation of silicon nitride films
EP1162646A3 (en) * 2000-06-06 2004-10-13 Matsushita Electric Works, Ltd. Plasma treatment apparatus and method
KR100406174B1 (en) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 Showerhead used chemically enhanced chemical vapor deposition equipment
US6616870B1 (en) 2000-08-07 2003-09-09 Shipley Company, L.L.C. Method of producing high aspect ratio domes by vapor deposition
DE10043601A1 (en) 2000-09-01 2002-03-14 Aixtron Ag Device and method for depositing, in particular, crystalline layers on, in particular, crystalline substrates
US6492625B1 (en) 2000-09-27 2002-12-10 Emcore Corporation Apparatus and method for controlling temperature uniformity of substrates
DE10048759A1 (en) 2000-09-29 2002-04-11 Aixtron Gmbh Method and device for separating organic layers in particular by means of OVPD
DE10056029A1 (en) 2000-11-11 2002-05-16 Aixtron Ag Controlling surface temperature of substrates supported by carriers on dynamic gas cushions in process chamber of CVD reactor comprises varying gas stream producing gas cushions from average value of optically measured surface temperatures
DE10057134A1 (en) 2000-11-17 2002-05-23 Aixtron Ag Process for depositing crystalline layers onto crystalline substrates in a process chamber of a CVD reactor comprises adjusting the kinematic viscosity of the carrier gas mixed
US6905547B1 (en) 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
JP4009100B2 (en) * 2000-12-28 2007-11-14 東京エレクトロン株式会社 Substrate heating apparatus and substrate heating method
KR100434487B1 (en) 2001-01-17 2004-06-05 삼성전자주식회사 Shower head & film forming apparatus having the same
CN1302152C (en) 2001-03-19 2007-02-28 株式会社Ips Chemical vapor depositing apparatus
AU2002252566A1 (en) 2001-03-30 2002-10-15 Technologies And Devices International Inc. Method and apparatus for growing submicron group iii nitride structures utilizing hvpe techniques
DE10118130A1 (en) 2001-04-11 2002-10-17 Aixtron Ag Device for depositing crystalline layers on crystalline substrates in the gas phase comprises a heated reaction chamber with substrate holders arranged in a circular manner on a support, heated sources, and a hydride feed line
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
DE10124609B4 (en) 2001-05-17 2012-12-27 Aixtron Se Method for depositing active layers on substrates
US7103443B2 (en) 2001-06-29 2006-09-05 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
JP2003124125A (en) 2001-10-12 2003-04-25 Applied Materials Inc Semiconductor manufacturing apparatus
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
AU2002366856A1 (en) 2001-12-21 2003-07-09 Aixtron Ag Method for depositing iii-v semiconductor layers on a non-iii-v substrate
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
JP3982402B2 (en) * 2002-02-28 2007-09-26 東京エレクトロン株式会社 Processing apparatus and processing method
US6883733B1 (en) * 2002-03-28 2005-04-26 Novellus Systems, Inc. Tapered post, showerhead design to improve mixing on dual plenum showerheads
CN1324772C (en) 2002-06-19 2007-07-04 日本电信电话株式会社 Semiconductor light-emitting device
JP4352783B2 (en) 2002-08-23 2009-10-28 東京エレクトロン株式会社 Gas supply system and processing system
US6884296B2 (en) 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
KR100545814B1 (en) * 2002-08-31 2006-01-24 엘에스전선 주식회사 Optical Fiber Edge Melting Furnace and Optical Fiber Edge Cutting Method Using The Same
TWI238680B (en) * 2002-09-30 2005-08-21 Tokyo Electron Ltd Plasma processing system and method
US7115896B2 (en) 2002-12-04 2006-10-03 Emcore Corporation Semiconductor structures for gallium nitride-based devices
US7018940B2 (en) 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP4026529B2 (en) 2003-04-10 2007-12-26 東京エレクトロン株式会社 Shower head structure and processing apparatus
JP4115331B2 (en) * 2003-05-09 2008-07-09 株式会社日立国際電気 Substrate processing equipment
JP2007525822A (en) 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド Gas distribution system
DE102004009130A1 (en) 2004-02-25 2005-09-15 Aixtron Ag Inlet system for a MOCVD reactor
NL1025624C2 (en) * 2004-03-03 2005-09-07 Solvist Flow restriction device for use in drug metering device, has opening having specific diameter, formed in plastic baffle which is formed integrally with conduit
US20060021574A1 (en) * 2004-08-02 2006-02-02 Veeco Instruments Inc. Multi-gas distribution injector for chemical vapor deposition reactors
US7368368B2 (en) 2004-08-18 2008-05-06 Cree, Inc. Multi-chamber MOCVD growth apparatus for high performance/high throughput
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
DE102004058521A1 (en) 2004-12-04 2006-06-14 Aixtron Ag Method and apparatus for depositing thick gallium nitrite layers on a sapphire substrate and associated substrate holder
KR100578089B1 (en) 2004-12-22 2006-05-10 주식회사 시스넥스 Hydride vapor phase epitaxy unit
US20060137608A1 (en) * 2004-12-28 2006-06-29 Choi Seung W Atomic layer deposition apparatus
US7275861B2 (en) 2005-01-31 2007-10-02 Veeco Instruments Inc. Calibration wafer and method of calibrating in situ temperatures
JP4601439B2 (en) * 2005-02-01 2010-12-22 株式会社日立ハイテクノロジーズ Plasma processing equipment
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
WO2006099138A2 (en) 2005-03-10 2006-09-21 The Regents Of The University Of California Technique for the growth of planar semi-polar gallium nitride
US7195934B2 (en) 2005-07-11 2007-03-27 Applied Materials, Inc. Method and system for deposition tuning in an epitaxial film growth apparatus
US20070076780A1 (en) * 2005-09-30 2007-04-05 Champetier Robert J Devices, systems and methods for determining temperature and/or optical characteristics of a substrate
JP4803578B2 (en) 2005-12-08 2011-10-26 東京エレクトロン株式会社 Deposition method
US7364991B2 (en) 2006-04-27 2008-04-29 Applied Materials, Inc. Buffer-layer treatment of MOCVD-grown nitride structures
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US7585769B2 (en) 2006-05-05 2009-09-08 Applied Materials, Inc. Parasitic particle suppression in growth of III-V nitride films using MOCVD and HVPE
US20080050889A1 (en) 2006-08-24 2008-02-28 Applied Materials, Inc. Hotwall reactor and method for reducing particle formation in GaN MOCVD
JP2008066490A (en) 2006-09-06 2008-03-21 Nippon Emc Ltd Vapor phase growing device
JP4903022B2 (en) * 2006-09-11 2012-03-21 株式会社アルバック Gas head and semiconductor manufacturing equipment
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
JP4826483B2 (en) * 2007-01-19 2011-11-30 東京エレクトロン株式会社 Plasma processing equipment
US8235001B2 (en) * 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US20090149008A1 (en) 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090194024A1 (en) * 2008-01-31 2009-08-06 Applied Materials, Inc. Cvd apparatus
US20090194026A1 (en) 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
JP2011514660A (en) * 2008-01-31 2011-05-06 アプライド マテリアルズ インコーポレイテッド Deposition control in closed-loop MOCVD
US20090211707A1 (en) 2008-02-22 2009-08-27 Hermes Systems Inc. Apparatus for gas distribution and its applications
KR101404010B1 (en) * 2008-03-06 2014-06-12 주성엔지니어링(주) Etcher of substrate edge and method of etching substrate edge
KR101019953B1 (en) 2008-05-22 2011-03-09 주식회사 테스 Apparatus for supplying gas
KR100997104B1 (en) 2008-07-04 2010-11-29 주식회사 테스 Showerhead and apparatus for manufacturing semiconductor having the showerhead
JP2010059520A (en) * 2008-09-05 2010-03-18 Sharp Corp Vapor deposition apparatus and vapor deposition method
US8147137B2 (en) * 2008-11-19 2012-04-03 Applied Materials, Inc. Pyrometry for substrate processing
JP5107285B2 (en) * 2009-03-04 2012-12-26 東京エレクトロン株式会社 Film forming apparatus, film forming method, program, and computer-readable storage medium
US20100273291A1 (en) * 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6301434B1 (en) * 1998-03-23 2001-10-09 Mattson Technology, Inc. Apparatus and method for CVD and thermal processing of semiconductor substrates
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US20040099213A1 (en) * 2000-07-24 2004-05-27 Adomaitis Raymond A Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US20050026402A1 (en) * 2001-12-21 2005-02-03 Holger Jurgensen Method and device for depositing crystalline layers on crystalline substrates
US20100263588A1 (en) * 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
US20110052833A1 (en) * 2009-08-27 2011-03-03 Applied Materials, Inc. Gas distribution showerhead and method of cleaning

Cited By (236)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150000594A1 (en) * 2006-09-16 2015-01-01 Piezonics Co., Ltd. Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof
US20150004313A1 (en) * 2006-09-16 2015-01-01 Piezonics Co., Ltd. Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof
US9469900B2 (en) * 2006-09-16 2016-10-18 PIEZONICS Co., Ltd.; Korea Institute of Industrial Technology Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof
US9476121B2 (en) * 2006-09-16 2016-10-25 Piezonics Co., Ltd. Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof
US8882913B2 (en) * 2006-09-16 2014-11-11 Piezonics Co., Ltd Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof
US20090169744A1 (en) * 2006-09-16 2009-07-02 Piezonics Co., Ltd Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases postively and method thereof
US8481118B2 (en) * 2007-10-16 2013-07-09 Applied Materials, Inc. Multi-gas straight channel showerhead
US20120024388A1 (en) * 2007-10-16 2012-02-02 Burrows Brian H Multi-gas straight channel showerhead
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US20130052804A1 (en) * 2009-10-09 2013-02-28 Applied Materials, Imn, Multi-gas centrally cooled showerhead design
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
US9057128B2 (en) 2011-03-18 2015-06-16 Applied Materials, Inc. Multiple level showerhead design
US20150007771A1 (en) * 2011-07-12 2015-01-08 Aixtron Se Gas inlet member of a cvd reactor
US9587312B2 (en) * 2011-07-12 2017-03-07 Aixtron Se Gas inlet member of a CVD reactor
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US9593418B2 (en) * 2012-01-10 2017-03-14 Eugene Technology Co., Ltd. Showerhead having cooling system and substrate processing apparatus including the showerhead
US20140311411A1 (en) * 2012-01-10 2014-10-23 Eugene Technology Co., Ltd. Showerhead having cooling system and substrate processing apparatus including the showerhead
US11501956B2 (en) * 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US20200279721A1 (en) * 2012-10-12 2020-09-03 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US11053587B2 (en) 2012-12-21 2021-07-06 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9123758B2 (en) 2013-02-06 2015-09-01 Applied Materials, Inc. Gas injection apparatus and substrate process chamber incorporating same
WO2014123667A1 (en) * 2013-02-06 2014-08-14 Applied Materials, Inc. Gas injection apparatus and substrate process chamber incorporating same
US20170110292A1 (en) * 2013-02-25 2017-04-20 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US20160027674A1 (en) * 2013-03-15 2016-01-28 Kevin Griffin Carousel Gas Distribution Assembly With Optical Measurements
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US20150007770A1 (en) * 2013-07-03 2015-01-08 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
CN105200396A (en) * 2014-06-18 2015-12-30 中微半导体设备(上海)有限公司 Metalorganic chemical vapor deposition (MOCVD) equipment and method for removing parasitic particles thereof
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10494717B2 (en) 2015-05-26 2019-12-03 Lam Research Corporation Anti-transient showerhead
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) * 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11101164B2 (en) 2016-12-14 2021-08-24 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11608559B2 (en) 2016-12-14 2023-03-21 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN109837526A (en) * 2017-11-24 2019-06-04 北京北方华创微电子装备有限公司 A kind of film deposition equipment and cleaning method
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
CN117410213A (en) * 2023-12-13 2024-01-16 浙江果纳半导体技术有限公司 Wafer transmission device

Also Published As

Publication number Publication date
US20130298835A1 (en) 2013-11-14
US20110256645A1 (en) 2011-10-20
US8361892B2 (en) 2013-01-29
US8679956B2 (en) 2014-03-25
US20110253044A1 (en) 2011-10-20
US10130958B2 (en) 2018-11-20
US20110256315A1 (en) 2011-10-20

Similar Documents

Publication Publication Date Title
US10130958B2 (en) Showerhead assembly with gas injection distribution devices
KR101906355B1 (en) Showerhead assembly with gas injection distribution devices
US9057128B2 (en) Multiple level showerhead design
US9449859B2 (en) Multi-gas centrally cooled showerhead design
US8481118B2 (en) Multi-gas straight channel showerhead
US20120000490A1 (en) Methods for enhanced processing chamber cleaning
US8110889B2 (en) MOCVD single chamber split process for LED manufacturing
US20090095222A1 (en) Multi-gas spiral channel showerhead
US20090095221A1 (en) Multi-gas concentric injection showerhead
US20130269612A1 (en) Gas Treatment Apparatus with Surrounding Spray Curtains
US20090194024A1 (en) Cvd apparatus

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:TAM, ALEXANDER;CHANG, ANZHONG;ACHARYA, SUMEDH;SIGNING DATES FROM 20100528 TO 20100603;REEL/FRAME:024652/0421

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION