US20100255667A1 - Substrate cleaning method for removing oxide film - Google Patents

Substrate cleaning method for removing oxide film Download PDF

Info

Publication number
US20100255667A1
US20100255667A1 US12/765,922 US76592210A US2010255667A1 US 20100255667 A1 US20100255667 A1 US 20100255667A1 US 76592210 A US76592210 A US 76592210A US 2010255667 A1 US2010255667 A1 US 2010255667A1
Authority
US
United States
Prior art keywords
plasma
substrate
chamber
treatment
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/765,922
Inventor
Takuya Seino
Manabu Ikemoto
Kimiko Mashimo
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Anelva Corp
Original Assignee
Canon Anelva Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Anelva Corp filed Critical Canon Anelva Corp
Assigned to CANON ANELVA CORPORATION reassignment CANON ANELVA CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: IKEMOTO, MANABU, MASHIMO, KIMIKO, SEINO, TAKUYA
Publication of US20100255667A1 publication Critical patent/US20100255667A1/en
Priority to US15/161,892 priority Critical patent/US10083830B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • H01L21/02049Dry cleaning only with gaseous HF
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66651Lateral single gate silicon transistors with a single crystalline channel formed on the silicon substrate after insulating device isolation

Definitions

  • the present invention relates to an apparatus and a method of manufacturing semiconductor device, including the treatment of substrate surface, specifically the treatment of surface of group IV semiconductor.
  • semiconductor Si substrate is subjected to wet-cleaning.
  • the wet-cleaning has, however, problems of failing to completely remove water-marks in dry state, failing to control etching of very thin oxide film, requiring large apparatus, and the like.
  • problems of forming native oxide film on the surface thereof and adsorbing carbon atoms thereon to inhibit film-forming of Si single crystal, generating irregular profile of film, generating impurity level at the interface of gate insulation film, and the like are problems of forming native oxide film on the surface thereof and adsorbing carbon atoms thereon to inhibit film-forming of Si single crystal, generating irregular profile of film, generating impurity level at the interface of gate insulation film, and the like.
  • the disclosed method presumably cuts also the Si—Si bond on the surface of the semiconductor substrate.
  • problems arise such that oxide film is immediately formed on the Si-absent portion, that contaminants likely adhere to the dangling bond of Si, and that the sputtered oxide and contaminants adhere again to the side wall of the substrate.
  • These problems adversely affect the succeeding step, (such as inhibition of epitaxial growth and formation of highly resistant portion on the silicide interface).
  • damages on the device are also the problem.
  • Japanese Patent Laid-Open No. 2001-144028 describes that, after removing the silicon oxide film from the surface of the substrate using a plasmatized F 2 gas, the hydrogen radicals are irradiated to remove the F component adhered to the surface of the substrate.
  • Japanese Patent Laid-Open No. 04-96226 describes that, after removing the Si native oxide film from the surface of the substrate using F 2 gas, the radicalized hydrogen is irradiated to the substrate to terminate the bonding operation by the hydrogen.
  • Japanese Patent Laid-Open No. 06-120181 discloses a technology of terminating the bonding operation by hydrogen on the surface of the substrate using hydrogen ions after removing the oxide film on the substrate surface by HF plasma.
  • the plasmatized F 2 gas contains not only the radicalized fluorine gas but also the ionized fluorine gas.
  • the plasmatized F 2 gas contains not only the radicalized fluorine gas but also the ionized fluorine gas.
  • the plasmatized F 2 gas contains not only the radicalized fluorine gas but also the ionized fluorine gas.
  • gas is decomposed positively by plasma to generate hydrogen radicals and hydrogen ions.
  • fluorine residue on the surface of the substrate is removed by the hydrogen radicals and the hydrogen ions, there arise problems of contamination by metal coming from the chamber, of excess etching because of large etching rate on the base Si, and the like.
  • HF as the reaction product likely adheres again to the surface of the substrate, sufficient F-removal effect is not attained.
  • Japanese Patent Laid-Open No. 2001-102311 describes that a cleaning gas such as fluorine is supplied to the plasma-forming part having the plasma-forming chamber which is separated, by a plate having feed holes therethrough, from the film-forming chamber where the substrate is placed, thus generating radicals by generating plasma in the plasma-forming part, and the fluorine radicals are fed to the film-forming space containing the substrate via the feed holes, thereby irradiating the radicals to the substrate for cleaning thereof.
  • Japanese Patent Laid-Open No. 2002-500276 discloses a substrate cleaning method using a plate distributing the gas excited by a remote plasma source, through which plate the radicals are supplied to clean the substrate.
  • Japanese Patent Laid-Open No. 2002-217169 discloses an apparatus for conducting entire cleaning step in a vacuum to remove foreign matter applying simultaneously a physical action of friction stress generated by a high velocity gas flow. According to the disclosure, adsorption of impurities and generation of native oxide during vacuum transfer are suppressed, thus improving the production efficiency. Even if the foreign matter can be removed, however, the native oxide film and the surface roughness remain on the surface at an order of atomic layer thickness. That is, to attain the effect of device characteristic improvement by the continuous transfer in vacuum, there are required the cleaning technology to control the highly selective etching of Si and native oxide film at an order of atomic layer thickness, and the transfer of substrate and the film-forming thereon without exposing the substrate to atmospheric air. That kind of control technology and vacuum operation should provide good device characteristics of low interface state at the joint between semiconductor and dielectric insulation film, and of small fixed charge in the film.
  • Japanese Patent Laid-Open No. 10-172957 describes that the oxide film was able to be selectively removed by a mixed gas of: argon, helium, xenon, and hydrogen which are excited by a remote plasma source; and HF gas fed in downstream side, and that no damage was observed on the silicon substrate. The removal, however, did not satisfy the flatness required in recent years.
  • Patent Document 1 Japanese Patent Laid-Open No. 2001-144028
  • Patent Document 2 Japanese Patent Laid-Open No. 04-96226 (1992)
  • Patent Document 3 Japanese Patent Laid-Open No. 06-120181 (1994)
  • Patent Document 4 Japanese Patent Laid-Open No. 2001-102311
  • Patent Document 5 Japanese Patent Application Publication No. 2002-500276
  • the substrate after treated by dry-cleaning on the surface thereof is subjected to the treatment in a vacuum not to leave the native oxide film and the impurities such as carbon atoms on the interface
  • the flatness of the substrate surface is deteriorated caused by the dry-cleaning, though the native oxide film and the impurities such as organic matter and carbon on the substrate surface can be removed.
  • poor flatness of the substrate surface raises a problem of deteriorating the characteristics of manufactured device.
  • the present invention is made to solve the above problems. According to the investigations of the inventors of the present invention, radicals generated by plasma are fed to the treatment chamber via a plurality of holes formed on a partition plate which separates the plasma-forming chamber from the treatment chamber, the radicals are mixed with a treatment gas which is separately fed to the treatment chamber, thus suppressing the excitation energy of the radicals to thereby enable the substrate surface treatment at high Si-selectivity, and thus it is found out that the surface treatment becomes available which removes native oxide film and organic matter without deteriorating the flatness of the substrate surface.
  • the present invention provides a method of cleaning a substrate comprising the steps of: placing a substrate in a treatment chamber; turning a plasma-forming gas; feeding a radical in the plasma to the treatment chamber via a radical-passing hole of a plasma-confinement electrode plate for plasma separation; feeding a treatment gas to the treatment chamber to mix it with the radical in the treatment chamber; and cleaning the surface of the substrate by the mixed atmosphere of the radical and the treatment gas.
  • the present invention provides a method of cleaning a substrate, wherein the surface of the substrate is a group IV semiconductor material, and the plasma-forming gas and the treatment gas contain HF, respectively.
  • the HF fraction in the plasma-forming gas to the total gas flow rate of the plasma-forming gas is preferably in a range from 0.2 to 1.0, and more preferably from 0.5 to 1.0.
  • the HF fraction in the treatment gas to the total gas flow rate of the treatment gas is preferably in a range from 0.2 to 1.0, and more preferably from 0.75 to 1.0.
  • the present invention provides a method of cleaning a substrate, wherein the plasma-confinement electrode plate for plasma separation has a plurality of radical feed holes for feeding the radical in the plasma to the treatment chamber and a plurality of treatment gas feed holes for feeding the treatment gas into the treatment chamber, and thus the radical and the treatment gas are discharged toward the surface of the substrate in the treatment chamber via the respective feed holes.
  • the present invention provides a method of manufacturing a semiconductor device comprising the steps of: cleaning the surface of a group IV semiconductor substrate in a cleaning chamber in accordance with the above method; transferring the cleaned substrate from the cleaning chamber to an epitaxial chamber via a transfer chamber without exposing the substrate to atmospheric air; and epitaxially growing an epitaxial single crystal layer on the surface of the substrate in the epitaxial chamber.
  • the present invention provides a method of manufacturing a semiconductor device comprising the steps of: transferring a substrate having an epitaxial layer manufactured in accordance with the above method from the epitaxial chamber to a sputtering chamber via a transfer chamber without exposing the substrate to atmospheric air; sputtering a dielectric film onto the epitaxial layer in the sputtering chamber; transferring the substrate having the dielectric film thereon from the sputtering chamber to an oxidation-nitrification chamber via a transfer chamber without exposing the substrate to atmospheric air; and conducting oxidation, nitrification, or oxynitrification of the dielectric film in the oxidation-nitrification chamber.
  • the present invention provides a method of manufacturing a semiconductor device according to above method, wherein the dielectric film is made of the one selected from the group consisting of Hf, La, Ta, Al, W, Ti, Si, and Ge, or an alloy thereof.
  • the present invention provides a method of cleaning a substrate according to above method, wherein turning the plasma-forming gas into plasma is done by applying a high frequency power thereto, and the density of the high frequency power is in a range from 0.001 to 0.25 W/cm 2 , preferably from 0.001 to 0.125 W/cm 2 , and more preferably from 0.001 to 0.025 W/cm 2 .
  • the present invention provides a substrate treatment apparatus of plasma-separation type generating a radical by forming plasma from a plasma-forming gas in a vacuum chamber, and conducting substrate treatment by the radical and a treatment gas
  • the substrate treatment apparatus comprising: a plasma-forming chamber for turning the plasma-forming gas fed therein into plasma; a treatment chamber containing a substrate holder on which a substrate to be treated is placed; and a plasma-confinement electrode plate for plasma separation having a plurality of radical-passing holes formed between the plasma-forming chamber and the treatment chamber, the plasma-confinement electrode plate of a hollow structure having a plurality of treatment gas feed holes opened toward the treatment chamber formed, and having a gas-feed pipe for supplying the treatment gas disposed, wherein: a plasma-forming space inside the plasma-forming chamber contains a high-frequency applying electrode for generating plasma by a power supplied from a high-frequency power source; the high-frequency applying electrode has a plurality of through-holes penetrating therethrough; the high-frequency applying electrode
  • the present invention provides a substrate treating apparatus, wherein the diameter of the plurality of gas holes opened on the plasma-forming gas feed shower plate is 2 mm or smaller, and preferably 1.5 mm or smaller.
  • the present invention provides a substrate treating apparatus, wherein in the above substrate treating apparatus, the volume ratio V 2 /V 1 is in a range from 0.01 to 0.8, where V 2 is the total volume of a plurality of through-holes of the electrode, and V 1 is the total volume of the electrode including the through-holes.
  • the present invention provides a substrate treating apparatus according to above apparatus, wherein the density of the high frequency power applied to the high-frequency applying electrode is in a range from 0.001 to 0.25 W/cm 2 , preferably from 0.001 to 0.125 W/cm 2 , and more preferably from 0.001 to 0.025 W/cm 2 .
  • the present invention provides a substrate treating apparatus according to above apparatus, wherein the plasma-forming gas fed to the plasma-forming chamber is a gas containing HF, and the gas fed to the treatment chamber is a gas containing HF.
  • the present invention provides an apparatus of manufacturing semiconductor device comprising: a substrate cleaning chamber including the above substrate treatment apparatus; an epitaxial growth chamber forming an epitaxial layer on the substrate; and a transfer chamber transferring the substrate coming from the substrate cleaning chamber to the epitaxial growth chamber without exposing the substrate to atmospheric air.
  • the present invention provides an apparatus of manufacturing a semiconductor device according to above apparatus, further comprising a sputtering chamber forming a dielectric film, thus allowing transferring the substrate coming from the cleaning chamber or the epitaxial growth chamber to the sputtering chamber via the transfer chamber without exposing the substrate to atmospheric air.
  • the present invention provides an apparatus of manufacturing a semiconductor device according to above apparatus, further comprising an oxidation-nitrification chamber for oxidation, nitrification, or oxynitrification of the dielectric film, thus allowing transferring the substrate coming from the cleaning chamber, the epitaxial growth chamber, or the sputtering chamber to the oxidation-nitrification chamber via the transfer chamber without exposing the substrate to atmospheric air.
  • the present invention performs substrate treatment which can decrease the native oxide film and organic impurities on the surface of semiconductor substrate compared with the wet-cleaning in the related art, and can remove the native oxide film and organic matter without deteriorating the flatness of the substrate surface.
  • HF gas or a mixed gas containing at least HF is used as the plasma-forming gas and the treatment gas, and radicals are fed from the plasma-forming chamber to the treatment chamber, while feeding simultaneously gas molecules containing HF as the structural element thereto, thus exposing the surface of semiconductor substrate to the above atmosphere which suppresses the excitation energy of the radicals, to thereby remove the native oxide film and organic matter without deteriorating the flatness of the substrate surface. There generates no metal contamination and plasma damage on the semiconductor substrate.
  • the present invention performs the substrate treatment in only one step, which attains desired effect efficiently, reduces cost, and significantly improves the treatment speed. Furthermore, use of a shower plate to the plasma-forming gas allows uniform feeding of the product gas, use of through-holes on the electrode part allows discharge even at a low power, and use of a plasma-confinement electrode plate for plasma separation provided with a plurality of radical-passing holes allows radicals in the produced plasma to be fed uniformly to the treatment chamber.
  • the surface treatment By using HF as the plasma-forming gas, feeding the radicals from the plasma-forming chamber to the treatment chamber, and simultaneously feeding HF to the treatment chamber, the surface treatment provided fine surface roughness at an order of atomic layer thickness, which then realized to form a single crystal Si and SiGe film on the surface.
  • the amount of impurities at the interface is smaller than that appears in the atmospheric transfer, and thus good device characteristics are attained.
  • the amount of impurities on the joint interface between the semiconductor and the insulation film becomes smaller than that in atmospheric transfer, which provides the interface state density and the fixed charge density in film equivalent to those of oxide film attained in the related art, gives a C-V curve with small hysteresis, gives a small leak current, and thereby attains good device characteristics.
  • FIG. 1 is a schematic diagram of a configuration example of a film-forming apparatus used in the present invention.
  • FIG. 2 is a schematic diagram of a controller installed in the apparatus used in the present invention.
  • FIG. 3A is a schematic diagram of a configuration example of a surface treatment apparatus used in the present invention.
  • FIG. 3B is an enlarged cross section diagram of a plasma-confinement electrode plate in the surface treatment apparatus of the present invention.
  • FIG. 3C is an enlarged view of the plasma-confinement electrode plate in the surface treatment apparatus of the present invention viewed from the treatment chamber side.
  • FIG. 3D is a schematic diagram of the plasma-confinement electrode plate part of the present invention viewed from the treatment chamber side.
  • FIG. 4A is a schematic diagram of an example of structure of a high-frequency applying electrode part in the surface treatment apparatus of the present invention.
  • FIG. 4B is a perspective view of an example of structure of the high-frequency applying electrode part in the surface treatment apparatus of the present invention.
  • FIG. 4C is a diagram illustrating the generation of discharge in entire discharge chamber under a condition of V 2 /V 1 in a range from 0.01 to 0.8 in the surface treatment apparatus of the present invention.
  • FIG. 4D is a diagram illustrating the state that, under a condition of V 2 /V 1 ⁇ 0.01, the discharge disproportionates, resulting in non-uniform radical supply to the substrate in the surface treatment apparatus of the present invention.
  • FIG. 4E is a diagram illustrating the state that, under a condition of V 2 /V 1 >0.8, the discharge does not occur, thus the radical supply is not given to the substrate in the surface treatment apparatus of the present invention.
  • FIG. 5A is a schematic diagram illustrating an enlarged cross section of a plasma-forming gas feed shower plate in the vicinity of a plasma-forming gas feed hole, showing a shape example 1 of the plasma-forming gas feed hole.
  • FIG. 5B is a schematic diagram illustrating an enlarged cross section of the plasma-forming gas feed shower plate in the vicinity of the plasma-forming gas feed hole, showing a shape example 2 of the plasma-forming gas feed hole.
  • FIG. 5C is a schematic diagram illustrating an enlarged cross section of the plasma-forming gas feed shower plate in the vicinity of the plasma-forming gas feed hole, showing a shape example 3 of the plasma-forming gas feed hole.
  • FIG. 5D is a schematic diagram illustrating an enlarged cross section of the plasma-forming gas feed shower plate in the vicinity of the plasma-forming gas feed hole, showing a shape example 4 of the plasma-forming gas feed hole.
  • FIG. 5E is a schematic diagram illustrating an enlarged cross section of the plasma-forming gas feed shower plate in the vicinity of the plasma-forming gas feed hole, showing a shape example 5 of the plasma-forming gas feed hole.
  • FIG. 5F is a graph of the distribution of etching rate of silicon oxide film in the plane of the substrate, showing the effect of the gas feed shower plate according to the present invention for feeding the plasma-forming gas to the plasma chamber.
  • FIG. 6 is a graph showing native oxide film/Si obtained in the examples of the present invention with varied high-frequency power density.
  • FIG. 7 is a schematic diagram illustrating an example of structure of a UV, X-ray, and microwave excited radical surface treatment apparatus used in the present invention.
  • FIG. 8 is a schematic diagram illustrating an example of structure of a catalyst-chemical excitation radical surface treatment apparatus used in the present invention.
  • FIG. 9 is a schematic diagram illustrating a surface treatment method used in the present invention.
  • FIG. 10 is a flowchart of a transfer controller program used in the present invention.
  • FIG. 11 is a flowchart of a film-forming controller program used in the present invention.
  • FIG. 12 gives a graph showing the surface roughness (Ra) after treatment of the substrate, and SEM images on the surface, obtained by an example of the present invention.
  • FIG. 13 is a graph showing the dependency of the surface roughness (Ra) on the HF fraction in the treatment gas, comparing the case of plasma-forming gas containing HF according to the present invention with the case of plasma-forming gas made only of Ar in the related art.
  • FIG. 14 gives SEM images on the surface after the growth of Si and SiGe, obtained by an example of the present invention.
  • FIG. 15 is a graph showing the atom density of oxygen and carbon at interface, obtained by an example of the present invention.
  • FIG. 16 is a C-V curve obtained by an example of the present invention.
  • FIG. 17 is a graph showing a comparison of the interface state density and the fixed charge density, between those obtained by an example of the present invention and those obtained in the related art.
  • FIG. 18 is a graph showing the relation between the equivalent oxide film thickness (EOT) and the leak current, obtained by an example of the present invention.
  • FIG. 19 is a schematic diagram illustrating a MOS-FET manufactured by the treatment of the present invention.
  • the examples deal with the cases of applying the present invention to a film-forming apparatus 1 illustrated in FIG. 1 , focusing on a process of removing a native oxide film and organic matter formed on a Si substrate by the first step using a surface treatment apparatus 100 illustrated in FIGS. 3A to 3D .
  • a substrate 5 adopted as the sample is a Si single crystal substrate (with 300 mm in diameter) which is allowed to stand in a clean air to form a native oxide film thereon.
  • the substrate 5 is transferred to a load-lock chamber 50 by a substrate-transfer mechanism (not shown), and is placed therein.
  • the load-lock chamber 50 is evacuated by an evacuation system (not shown).
  • a gate valve (not shown) between the load-lock chamber and a transfer chamber is opened, and a transfer mechanism (not shown) in the transfer chamber transfers the substrate 5 to the surface treatment apparatus 100 via a transfer chamber 60 , and places the substrate 5 on a substrate holder 114 .
  • FIG. 3A illustrates the surface treatment apparatus 100 of the present invention.
  • the surface treatment apparatus 100 includes a treatment chamber 113 equipped with the substrate holder 114 on which the substrate 5 can be placed, and a plasma-forming chamber 108 .
  • the treatment chamber 113 and the plasma-forming chamber 108 are partitioned and separated from each other by a plasma-confinement electrode plate 110 for plasma separation having a plurality of radical-passing holes 111 therein.
  • the plasma-confinement electrode plate 110 is made of a conductive material and is grounded.
  • the plasma-forming chamber 108 has a plasma-forming gas feed shower plate 107 therein.
  • a high-frequency applying electrode 104 in a plate-shape is located between the plasma-forming gas feed shower plate 107 and the plasma-confinement electrode plate 110 .
  • the high-frequency applying electrode 104 has a plurality of electrode through-holes 105 penetrating from the front face to the rear face thereof to uniformly stabilize the discharge.
  • the high-frequency applying electrode 104 is connected to a high frequency power source 103 and can supply high frequency power.
  • the high-frequency applying electrode 104 is supported by an insulator 118 a and is fixed to the wall of the plasma-forming chamber as a plate-shape member extending in a lateral direction of the chamber in almost parallel with the plasma-confinement electrode 110 .
  • On both sides of the high-frequency applying electrode 104 there are upper and lower plasma-forming spaces 109 a and 109 b , respectively.
  • the upper plasma-forming space 109 a contacts with the high-frequency applying electrode 104 and the plasma-forming gas shower plate 107 .
  • the lower plasma-forming space 109 b contacts with the high-frequency applying electrode 104 and the plasma-confinement electrode plate 110 .
  • FIG. 3B illustrates an enlarged cross section of the plasma-confinement electrode plate 110 .
  • FIG. 3C illustrates an enlarged view of the plasma-confinement electrode plate 110 viewed from the treatment chamber.
  • the plasma-confinement electrode plate 110 faces the substrate-supporting face of the substrate holder 114 , and has the radical feed holes 111 penetrating from the plasma-forming space 109 to a substrate cleaning treatment chamber 121 .
  • the plurality of radical feed holes 111 are distributedly formed on the face of the electrode plate 110 .
  • the plasma-confinement electrode plate 110 has a treatment gas feed passage 120 to feed the treatment gas to the substrate cleaning treatment chamber 121 , treatment gas feed spaces 119 , and gas feed holes 112 .
  • the plurality of treatment gas feed holes 112 opened on the plasma-confinement electrode plate 110 toward the substrate cleaning treatment chamber 121 from the treatment gas feed space 119 communicated with the treatment gas feed passage 120 of the plasma-confinement electrode 110 are formed apposing to at least a part of the plurality of radical feed holes 111 on the face of the plasma-confinement electrode 110 .
  • the plasma-confinement electrode plate 110 has a hollow structure of the treatment gas feed passage 120 crossing laterally the electrode plate 110 , (in the direction of partitioning the plasma-forming chamber 108 from the treatment chamber 113 ), and the treatment gas feed space 119 through which the treatment gas is injected from the passage 120 .
  • the treatment gas is uniformly supplied to the substrate 5 in the substrate cleaning treatment chamber 121 via the plurality of treatment gas feed spaces 119 and via the plurality of treatment gas feed holes 112 .
  • treatment gas feed passage 120 , treatment gas feed space 119 , and treatment gas feed hole 112 are not directly connected with the plasma-forming space 109 and the radical feed hole 111 . Accordingly, the radicals fed from the radical feed holes 111 to the treatment space and the treatment gas fed from the treatment gas feed holes 112 are fed from the plasma-confinement electrode face toward the substrate face in the substrate cleaning treatment chamber 121 in almost parallel flows with each other. Then, the radicals and the treatment gas are mixed together in the substrate cleaning treatment chamber 121 for the first time.
  • the plasma-forming gas passes through a plasma-forming gas supply system 101 and a plasma-forming gas supply pipe 102 , and enters the plasma-forming space 109 a, the electrode through-holes 105 , and the plasma-forming space 109 b in the plasma-forming chamber 108 , via plasma-forming gas feed holes 106 opened on the plasma-forming gas feed shower plate 107 , and is raised to a specified pressure.
  • the plasma-confinement electrode 110 functions as the ground electrode. Since the plasma-forming gas shower plate 107 also functions as the ground electrode because the chamber wall of the plasma-forming chamber 108 to which the plasma-forming gas shower plate 107 is connected is grounded. Grounding the plasma-confinement electrode plate 110 assures stable discharge. Also grounding the plasma-forming gas shower plate 107 assures stable discharge.
  • the shower plate may be an insulation material.
  • the chamber wall positioned at a rear side thereof functions as the ground electrode.
  • the plasma-confinement electrode plate 110 partitions the plasma-forming chamber 108 from the substrate cleaning treatment chamber 121 .
  • the substrate 5 being cleaned in the cleaning treatment chamber is placed facing the plasma-confinement electrode plate face.
  • the radicals generated in the plasma in the plasma-forming spaces 109 a and 109 b are fed to the substrate cleaning treatment chamber 121 via the plurality of radical feed holes 111 formed on the plasma-confinement electrode plate 110 and communicating the plasma-forming chamber with the substrate cleaning treatment chamber.
  • the electrically neutral radicals are allowed to pass through the radical feed holes to enter the substrate cleaning treatment chamber 121 .
  • the radical feed holes 111 of the plasma-confinement electrode plate 110 confines the plasma, and allows the electrically neutral radicals to pass therethrough.
  • grounding the plasma-confinement electrode plate 110 the performance of confining the plasma and the performance of allowing the electrically neutral radicals to pass therethrough are further improved.
  • grounding the plasma-confinement electrode plate 110 provides shielding not to leak high frequency to the substrate cleaning treatment chamber 121 .
  • the plasma-confinement electrode plate 110 is not grounded, the high frequency applied to the high-frequency applying electrode 104 is not shielded by the plasma-confinement electrode plate 110 , and the plasma-confinement electrode plate 110 acts as the electrode, which may induce discharge also in the substrate cleaning treatment chamber 121 in the treatment chamber. Grounding the plasma-confinement electrode plate 110 can prevent the plasma invasion to and plasma generation in the substrate cleaning treatment chamber 121 in the treatment chamber.
  • the unexcited treatment gas for suppressing the excitation energy of the fed radicals is fed from a treatment gas supply system 116 to the treatment gas feed passage 120 via a treatment gas supply pipe 115 to diffuse therein, and then is fed to the treatment gas feed space 119 , and further is fed to the substrate cleaning treatment chamber 121 via the treatment gas feed holes 112 .
  • the radicals fed from the radical feed holes 111 to the treatment space and the treatment gas fed from the treatment gas feed holes 112 are mixed together in the substrate cleaning treatment chamber 121 for the first time, to conduct specified treatment on the substrate 5 placed facing the substrate cleaning treatment chamber 121 .
  • the gas in the substrate cleaning treatment chamber 121 is discharged by an exhaust system 117 .
  • the shape of the radical feed hole 111 is not limited to the one illustrated in the drawings if only the hole has a function to allow the electrically neutral radicals to pass threrethrough and to reject the plasma from passing therethrough.
  • the shape of the radical feed hole 111 has a larger diameter at the side facing the substrate cleaning treatment chamber 121 than the diameter at the side facing the plasma-forming space 109 .
  • the diameter may be the same at both sides. Alternatively, the diameter thereof may be smaller at the side facing the substrate cleaning treatment chamber 121 than the diameter facing the plasma-forming space 109 .
  • the shape of the radical feed hole 111 has a spot-facing at the side of the substrate cleaning treatment chamber 121 , with a narrow hole extended from the bottom of the spot-facing and opened toward the plasma-forming space.
  • the number of narrow hole may be more than one.
  • the spot-facing may be opened at the side of the plasma space, and a narrow hole may penetrate from the bottom of the spot-facing toward the treatment chamber, or the number of narrow hole may be more than one.
  • FIG. 3D is a schematic diagram illustrating the plasma-confinement electrode plate 110 viewed from the treatment chamber side.
  • the radical feed holes 111 and the treatment gas feed holes 112 are distributed and opened over the entire face of the plasma-confinement electrode plate 110 .
  • Uniform distribution in the radius direction assures uniform supply of the radicals generated in the plasma-forming chamber toward the substrate, and assures uniform supply of the treatment gas separately fed simultaneously toward the substrate.
  • the structure of the present invention of supplying the treatment gas from the face of the plasma-confinement electrode plate in parallel with the radical feeding is further effective in terms of not only the uniformity over entire surface of the substrate for cleaning treatment but also the selective etching of native oxide film to Si by suppressing excitation energy of the radicals.
  • the distribution of the radical feed holes 111 and the treatment gas feed holes 112 is not limited to that given above, and the distribution can be varied under a requirement of perfect uniformization of the intraplane distribution for the substrate treatment, such as to respond to the fluctuations in gas concentration ratio induced by the reaction of substrate treatment in the substrate cleaning treatment chamber 121 .
  • the distribution density of radical feed holes 111 may be increased at peripheral area compared with that in central area, or decreased in peripheral area compared with that in central area.
  • the distribution density of treatment gas feed holes 112 may be increased at peripheral area compared with that in central area, or decreased in peripheral area compared with that in central area. In those cases, the decrease or the increase in the distribution density of radical feed holes 111 from the central area to the peripheral area may be linear or exponential.
  • the plasma-forming gas passes through the plasma-forming gas supply system 101 and the plasma-forming gas supply pipe 102 , and enters the plasma-forming space 109 in the plasma-forming chamber 108 via the plasma-forming gas feed holes 106 formed on the plasma-forming gas feed shower plate 107 .
  • uniform feed of the plasma-forming gas to the plasma-forming space 109 in the plasma-forming chamber 108 is enabled.
  • the radicals generated from the plasma-forming gas are fed to the treatment chamber 113 via the radical feed holes 111 formed on the plasma-confinement electrode plate 110 which partitions the treatment chamber 113 from the plasma-forming chamber 108 .
  • Only the electrically neutral molecules or atoms such as radicals are allowed to pass through the radical feed holes 111 formed on the plasma-confinement electrode plate 110 to enter the treatment chamber 113 from the plasma-forming chamber 108 , and very few ions in the plasma are allowed to pass therethrough to enter the treatment chamber 113 .
  • the ion density in the treatment chamber 113 when the ion density is about 1 ⁇ 10 10 count/cm 3 , the ion density in the treatment chamber 113 , calculated from the observed current level, is about 5 ⁇ 10 2 count/cm 3 .
  • the value shows that the ion density is decreased to one to ten million or less, which means actually very few ions are allowed to enter the treatment chamber 113 .
  • the radicals are transferred to the treatment chamber 113 by about several percentages to about several tens of percentages, depending on the life, of the total quantity of radicals generated in the plasma-forming chamber.
  • the Debye length has to be sufficiently shorter than the internal length of the apparatus. If the plasma exists at above-described ion density in the treatment chamber, and with the assumption of 1 to 5 eV of electron temperature, the Debye length is calculated to about 0.3 to 0.7 m. Since, however, the internal length of actual semiconductor manufacturing apparatus is generally 0.3 m or less at the maximum, the above ion density in the treatment chamber suggests that the charged particles in the treatment chamber have no property of plasma.
  • FIG. 4A illustrates the high-frequency applying electrode 104 in a plate-shape extending in the lateral direction in the plasma-forming chamber 108 given in FIG. 1 , viewed from above the apparatus.
  • FIG. 4B is a perspective view of the high-frequency applying electrode.
  • the high-frequency applying electrode 104 has a plurality of electrode through-holes 105 penetrating from the front face to the rear face thereof.
  • the adopted through-holes 105 of the high-frequency applying electrode 104 are those having a shape given in FIG. 4A and FIG. 4B . Owing to the electrode through-holes 105 , the electrode can uniformly discharge even at a low power of 0.25 W/cm 2 or less, thus the radicals are uniformly fed to the treatment chamber 113 .
  • the volume ratio of the volume V 2 of the electrode through holes 105 to the total volume V 1 of the high-frequency applying electrode 104 including the electrode through holes 105 , V 2 /V 1 is preferably in a range from 0.01 to 0.8.In a state of V 2 /V 1 from 0.01 to 0.8, uniform discharge is attained as illustrated in FIG. 4C , thus the radicals are uniformly supplied to the treatment chamber. If the total volume V 2 of the plurality of electrode through-holes 105 is excessively small, or V 2 /V 1 ⁇ 0.01, the segregated discharge appears, as shown in FIG. 4D , to deteriorate the radical distribution. When V 2 /V 1 >0.8, discharge failed as shown in FIG. 4E to fail in supplying the radicals.
  • the ratio V 2 /V 1 should at least be in a range from 0.01 to 0.8, preferably from 0.04 to 0.37.
  • the example selected the range from 0.14 to 0.16.
  • the diameter of the plasma-forming gas feed hole 106 formed on the plasma-forming gas feed shower plate 107 is preferably 2 mm or smaller, and more preferably 1.5 mm or smaller. With the diameter of the plasma-forming gas feed hole 106 of 2 mm or smaller, and preferably 1.5 mm or smaller, the uniformity of the surface treatment of the substrate 5 significantly improved. As a result, it was found that the treatment time can be shortened. The phenomenon suggests that the radicals from plasma generated in the above-described plasma-forming spaces 109 a and 109 b and in the electrode through-hole 105 on the high-frequency applying electrode 104 can be stably and uniformly supplied to the substrate in the substrate cleaning treatment chamber 121 . As for the minimum diameter of the plasma-forming gas feed hole 106 , any value is applicable if only the hole 106 has a function of allowing the plasma-forming gas to pass therethrough.
  • the uniformity of surface treatment of the substrate 5 significantly deteriorated.
  • the worsened uniformity needed a long treatment time. The phenomenon suggests that the uniform supply of radicals to the substrate cleaning treatment chamber 121 was failed.
  • FIGS. 5A to 5E Examples of the shapes of 2 mm or smaller diameter of the plasma-forming gas feed hole 106 on the plasma-forming gas feed shower plate 107 are given in FIGS. 5A to 5E .
  • FIGS. 5A to 5E illustrate the respective enlarged cross sections of the plasma-forming gas feed shower plate 107 in the vicinity of the plasma-forming gas feed hole 106 .
  • the plasma-forming gas feed hole 106 may be a vertical hole, or as given in FIGS. 5B and 5C , the plasma-forming gas feed hole 106 may have a spot-facing at one side thereof. The spot-facing may open to the side of plasma-forming space 109 a as shown in FIG. 5C , or may open to other side as shown in FIG. 5B .
  • the plasma-forming gas feed hole 106 may be in a tapered shape, giving larger diameter thereof at the side of plasma-forming space 109 a than the diameter at other side, as shown in FIG. 5E , or giving smaller diameter thereof at the side of plasma-forming space 109 a than the diameter at other side, as shown in FIG. 5D .
  • the examples of the hole shape are the same for the case of 1.5 mm or smaller diameter of the plasma-forming gas feed hole 106 .
  • FIG. 5F is a graph illustrating the effect of the plasma-forming gas feed shower plate 107 in the example.
  • the etching rate of silicon oxide film on the substrate placed in the treatment chamber was determined under the conditions of: HF gas as the plasma-forming gas at 100 sccm of flow rate; high frequency power density of 0.01 W/cm 2 , and the internal pressure of the treatment chamber of 50 Pa.
  • the horizontal axis is the position in the substrate face
  • the vertical axis is the etching rate of silicon oxide film normalized by the etching rate at center of the substrate surface. As shown in FIG.
  • the description begins with a substrate treatment step as the first step, and with the condition thereof.
  • the apparatus used in the first step is the substrate treatment apparatus 100 illustrated in FIGS. 3A to 3D .
  • HF As the plasma-forming gas, HF at 100 sccm of the flow rate was supplied to the plasma-forming chamber 108 , thus generated plasma in the plasma-forming part.
  • the radicals in the plasma were supplied to the treatment chamber 113 via the radical feed holes 111 formed on the plasma-confinement electrode plate 110 for plasma separation provided with the plurality of radical-passing holes 111 .
  • HF as the treatment gas was supplied to the treatment chamber 113 via the treatment gas feed holes 112 at a flow rate of 100 sccm.
  • the high frequency power density for plasma generation was 0.01 W ⁇ cm 2 , the pressure was 50 Pa, the treatment time was 5 min, and the temperature of the substrate 5 was 25° C.
  • the plasma for substrate cleaning treatment is generated at a high frequency power density in a range from 0.001 to 0.25 W/cm 2 , which range is about several tenth to one severals to that of the plasma in the case of film-deposition treatment. Higher density of high frequency power than that level makes it difficult to conduct selective etching of native oxide film.
  • FIG. 12 shows the observed surface roughness after the first step of the present invention, with the comparison with the result of conventional dry-treatment and wet-treatment.
  • the surface roughness Ra obtained from the first step of the present invention was 0.18 nm, which is a good level almost equal to the surface roughness Ra of 0.17 nm obtained by the wet-treatment (wet-cleaning) with a dilute hydrofluoric acid solution.
  • the surface roughness Ra became 2.0 nm, which is a large level.
  • the surface roughness Ra was confirmed to 0.19 nm, which is not a rough level.
  • the improved surface flatness owes to the selective removal of the surface native oxide film and organic matter in relation to Si.
  • Presumable mechanism is that the high excitation energy HF generated from plasma is brought to collide with the unexcited HF separately fed as the treatment gas, thus forming HF having suppressed excitation energy, and the suppressed excitation energy HF selectively removes the surface native oxide film while not etching the Si atoms on the surface.
  • the observed results confirmed that the use of the present invention can realize the surface flatness, equivalent to that of the wet-cleaning, by the dry-cleaning which does not need the high temperature pretreatment.
  • condition to attain the surface flatness according to the present invention is only to form HF having suppressed excitation energy by mixing and colliding an HF having high excitation energy generated from the plasma with an unexcited HF separately fed as the treatment gas. Consequently, the structure of the example is not limited if only the above condition is satisfied.
  • the radicals generated by the plasma are supplied toward the substrate via the radical feed holes 111 as the plurality of through-holes formed on the plasma-confinement electrode plate 110 , while simultaneously supplying the treatment gas via the plurality of treatment gas supply holes formed on the electrode plate. From the point of uniformity, however, and specifically when uniform treatment is required to a large diameter substrate, it is necessary to supply both the radicals and the unexcited treatment gas uniformly to the substrate. To this end, as in this example, it is preferable to adopt the structure which allows radicals to be shower-supplied from the electrode plate facing the substrate, and allows also the treatment gas to be shower-supplied simultaneously.
  • the radical generation may be done by the plasma formation by microwave and other methods. Specifically, there may also be applied the radical generation through UV, X-ray, and microwave excitation given in FIG. 7 , and the catalyst-chemical excitation given in FIG. 8 .
  • UV, X-ray, and microwaves are irradiated to the plasma gas from a feed chamber 203 to turn the plasma gas into plasma.
  • FIG. 7 UV, X-ray, and microwaves are irradiated to the plasma gas from a feed chamber 203 to turn the plasma gas into plasma.
  • reference numeral 5 signifies the substrate; 201 , the plasma-forming gas supply system; 202 , the plasma-forming gas supply pipe; 204 , the plasma-confinement electrode plate for plasma separation provided with the plurality of radical-passing holes; 205 , the radical feed hole; 206 , the treatment gas feed hole; 207 , the treatment chamber; 208 , the substrate holder; 209 , the treatment gas supply pipe; 210 , the treatment gas supply system; and 211 , the exhaust system.
  • the treatment gas system has the same structure with that of FIGS. 3A to 3D .
  • FIG. 8 illustrates the structure of turning the gas into plasma by a heating catalyst body 303 .
  • Reference numeral 5 signifies the substrate; 301 , the plasma-forming gas supply system; 302 , the plasma-forming gas supply pipe; 304 , the plasma-confinement electrode plate for plasma separation provided with the plurality of radical-passing holes; 305 , the radical feed hole; 306 , the treatment gas feed hole; 307 , the treatment chamber; 308 , the substrate holder; 309 , the treatment gas supply pipe; 310 , the treatment gas supply system; and 311 , the exhaust system.
  • the treatment gas system has the same structure with that of FIGS. 3A to 3D .
  • the example used only HF The plasma-forming gas is only required to contain at least HF, and specifically HF diluted with Ar may be used.
  • the radicals enter the treatment chamber 113 .
  • the example used only HF the example used only HF.
  • the treatment gas is only required to contain at least HF, and specifically HF diluted with Ar may be used.
  • FIG. 13 is a graph of dependency of the surface roughness (Ra) on the HF fraction in the treatment gas, comparing the case of plasma gas containing HF according to the present invention with the case of plasma gas made only of Ar in the related art.
  • the oxide film was able to be selectively removed by a mixed gas of: argon, helium, xenon, and hydrogen which are excited by a remote plasma source; and HF gas fed in downstream side, and that no damage was observed on the silicon substrate.
  • the removal did not satisfy the flatness level required in recent years.
  • the expression of HF fraction in the plasma-forming gas, HF/(HF+Ar) 0, signifies the case of related art using sole Ar gas as the plasma-forming gas. As shown in FIG.
  • the case of the present invention of containing HF in the plasma-forming gas provides significantly good surface roughness (Ra).
  • Varied mixing ratio of HF to Ar in the plasma-forming gas and in the treatment gas varied the surface roughness after removing the native oxide film.
  • the HF fraction in the plasma-forming gas to the total gas flow rate of the plasma-forming gas is preferably in a range from 0.2 to 1.0, and further to attain the surface roughness of 0.5 nm or smaller equivalent to the surface roughness after the wet-cleaning, the HF fraction thereto is preferably in a range from 0.6 to 1.0.
  • the surface roughness after removing the native oxide film became minimum, or became flat, in the case of sole HF of the plasma-forming gas and of the treatment gas.
  • the substrate surface treatment of the present invention does not limit to the surface treatment of Si substrate.
  • the request is only to structure the substrate surface with a group IV semiconductor such as Si and SiGe. More specifically, the substrate surface treatment can be applied to the one for removing native oxide film and organic contamination on the surface of group IV semiconductor such as thin Si layer which is adhered to or deposited on a glass substrate.
  • the high frequency power density applied onto the high-frequency applying electrode 104 is preferably in a range from 0.001 to 0.25 W/cm 2 .
  • FIG. 6 shows the dependency of the native oxide film/Si, (etching rate ratio of native oxide film to Si), on the high frequency power density for the case of using HF gas as the plasma-forming gas and using HF as the treatment gas.
  • Decrease in the high frequency power density suppresses the Si etching, and thus only the native oxide film is selectively etched.
  • the value of the amount of etching the native oxide film divided by the amount of etching the Si is defined as “native oxide film/Si”.
  • Decrease in the high frequency power density relatively decreases the amount of etching of Si so that the “native oxide film/Si” increases.
  • increase in the high frequency power density significantly increases the etching of Si, thus decreasing the “native oxide film/Si”.
  • the high frequency power density is selected to above range of from 0.001 to 0.25 W/cm 2 , preferably from 0.001 to 0.125 W/cm 2 , and most preferably from 0.001 to 0.025 W/cm 2 .
  • the description is for the process in which the first step is conducted using the film-forming apparatus 1 given in FIG. 1 and using the surface treatment apparatus 100 given in FIGS. 3A to 3D to remove the native oxide film formed on the Si substrate, and then the substrate is transferred to a CVD apparatus 20 via the vacuum transfer chamber 60 to conduct the second step, where the growth of Si and SiGe single crystal film proceeds on the treated surface of the substrate.
  • the substrate was treated on the surface thereof in the first step, and then was treated in the CVD apparatus 20 as the second step under the condition of: substrate temperature of 600° C., Si 2 H 6 supply at 36 sccm, pressure holding at 2 E-3 Pa, for 3 minutes. After that, the substrate was treated therein under the condition of: substrate temperature of 600° C., Si 2 H 6 and GeH 4 supply at 36 sccm, respectively, pressure holding at 4 E-3 Pa, for 3 minutes.
  • substrate gave a surface roughness of the SiGe single crystal growth on the Si equivalent to the surface roughness of the substrate treated by wet-cleaning using a diluted hydrofluoric acid, providing a good SiGe single crystal film, as shown in FIG. 14 . As given in FIG.
  • the case of this example gave smaller atom density of oxygen and carbon at the interface between the Si substrate and the grown Si.
  • the atom density of oxygen and carbon at the interface was 2 ⁇ 10 20 atoms/cm 3 or less. The phenomenon owes to the suppress of adsorption of oxygen and carbon impurities onto the surface by the vacuum transfer of the substrate without exposing thereof to atmospheric air after cleaning.
  • a hydrogenated gas such as Si 2 H 6 and GeH 4
  • a mixture of a hydrogenated gas with a doping material gas such as B 2 H 6 , PH 3 , and AsH 3
  • SiH 4 instead of Si 2 H 6 .
  • the dielectric film sputtering film-forming step as the third step
  • the oxidation-nitrification step of the formed dielectric film as the fourth step
  • the electrode sputtering step as the fifth step.
  • the substrate is subjected to a process to manufacture the FET device.
  • the process includes: the third step of sputtering film-formation of the dielectric material in a sputtering apparatus 40 via the transfer chamber 60 ; the fourth step of transferring the substrate through the transfer chamber 60 to the oxidation-nitrification apparatus 30 to oxidize the dielectric material therein; and the fifth step of transferring the substrate through the transfer chamber 60 to the sputtering apparatus 40 to sputter the metal electrode material therein.
  • the apparatus 10 through 50 are each controlled by the respective transfer or process controllers 70 through 74 .
  • the dielectric material film-forming in the third step may be conducted by CVD other than sputtering.
  • the film-forming of metal electrode material in the fifth step may be conducted by CVD other than sputtering.
  • the first step was conducted to remove the native oxide film, and the second step was conducted to grow the Si single crystal film.
  • the substrate 5 passed through the vacuum transfer chamber 60 to enter the dielectric-electrode sputtering apparatus 40 without being exposed to atmospheric air, where the sputtering film-formation of Hf was conducted, and the substrate was transferred to the oxidation-nitrification apparatus 30 via the vacuum transfer chamber 60 to oxidize the formed dielectric material film without exposing the surface of the dielectric material to atmospheric air, thus conducted plasma and radical oxidation.
  • the substrate 5 was transferred to the dielectric-electrode sputtering apparatus 40 via the vacuum transfer chamber 60 without being exposed to atmospheric air, thus sputtered to form the film of TiN electrode.
  • the characteristics of the obtained device were evaluated. The data are given in FIG. 16 , FIG. 17 , and FIG. 18 .
  • FIG. 15 shows a C-V curve drawn by measuring the capacitance of a sample prepared by the present invention and by the related art (wet-cleaning was applied instead of the first step), respectively, applying voltage to the electrode part.
  • the sample of the present invention attained good result of 10 mV of hysteresis.
  • FIG. 17 shows a comparison of the interface state density and the fixed charge density, between those obtained by the present invention and those obtained in the related art (wet-cleaning was applied instead of the first step).
  • Samples were prepared by the process of the present invention to determine the C-V curve, from which curve the interface state density and the fixed charge density were calculated.
  • Both the interface state density and the fixed charge density were smaller than those in the related art because of the small quantity of oxygen and carbon impurities on the surface of Si film formed by the second step after the substrate cleaning in the first step, as shown in FIG. 15 .
  • the phenomenon is the effect of continuous treatment in vacuum after the dry-cleaning.
  • the film-forming apparatus 1 illustrated in FIG. 1 has a controller to conduct entire process in vacuum for each process apparatus and for each transfer apparatus. That is, a transfer controller receives the input signal, generated from the apparatus concerned, at input part, runs the transfer program which was programmed so as to operate according to the flowchart on the processor, and thus outputs the action command for transferring the substrate to each process apparatus to the concerned apparatus via the vacuum transfer.
  • Process controllers A 71 through D 74 receive the input signal from the process apparatus, run the program which was programmed so as to operate the treatment according to the flowchart, and thus output the action command to the apparatus concerned.
  • the structure of the controller 70 or 71 to 74 is the one given as the reference numeral 81 in FIG. 2 , composed of an input part 82 , a memory part 83 having a program and data, a processor 84 , and an output part 85 .
  • the structure is basically a computer structure, which controls the concerned apparatus.
  • FIG. 10 illustrates the control of the transfer controller 70 and the process controllers A to D ( 71 to 74 ).
  • Step 610 a Si substrate with native oxide film formed thereon is prepared.
  • the transfer controller 70 generates a command to bring the vacuum in the load-lock apparatus 40 to 1 Pa or below, (Step 611 ). Further the transfer controller generates a command to bring the vacuum in the surface treatment apparatus 100 to 1 E-4 Pa or higher vacuum level, and then moves the substrate 5 into the surface treatment apparatus 100 via the transfer chamber 60 to place the substrate on the substrate holder.
  • the process controller A 71 controls the procedure of above-described first step of applying surface treatment to the substrate 5 , (Step 613 ).
  • the transfer controller 70 controls the CVD film-forming apparatus 20 to evacuate to establish the vacuum of 1 E-4Pa or lower vacuum level, and then moves the substrate 5 from the surface treatment apparatus 100 to the CVD film-forming apparatus 20 to place the substrate 5 therein via the transfer chamber 60 .
  • the process controller B 72 controls the above-described second step of treating single crystal growth in the CVD film-forming apparatus 20 , (Step 615 ). Immediately after that, the process controller B 72 moves the substrate into the dielectric-electrode sputtering apparatus 40 via the transfer chamber 60 to conduct the third step of dielectric-electrode sputtering film-forming (Step 616 ).
  • the process controller C 73 controls the third step of film-forming treatment in the dielectric-electrode sputtering apparatus 40 (Step 617 ).
  • the transfer controller 70 establishes the vacuum of 1 E-4 Pa or lower vacuum level in the oxidation-nitrification apparatus 30 , and moves the substrate 5 from the dielectric-electrode sputtering apparatus 40 to the oxidation-nitrification apparatus 30 via the transfer chamber 60 (Step 618 ).
  • the process controller D 74 conducts control to execute the fourth step in the oxidation-nitrification apparatus 30 (Step 619 ).
  • the process controller D 74 moves the substrate 5 to the dielectric-electrode sputtering apparatus 40 via the transfer chamber 60 to conduct the fifth step of metal electrode sputtering film-forming (Step 620 ).
  • the process controller C 73 conducts control to execute film-forming treatment of example 3 in the dielectric-electrode sputtering apparatus 40 (Step 621 ).
  • the transfer controller 70 opens the transfer chamber 60 to atmospheric air using the load-lock apparatus 50 (Step 622 ).
  • the MOS field effect transistor (FET) 90 illustrated in FIG. 19 was manufactured.
  • An HfO film was adopted as a dielectric gate insulation film 95 below a gate electrode 94 between a source region 92 and a drain region 93 of a Si substrate 91 .
  • preferable gate insulation film 95 includes a film of Hf, La, Ta, Al, W, Ti, Si, Ge, or an alloy thereof, and more specifically there are applicable HfN, HfON, HfLaO, HfLaN, HfLaON, HfAlLaO, HfAlLaN, HfAlLaON, LaAlO, LaAlN, LaAlON, LaO, LaN, LaON, HfSiO, and HfSiON.
  • the relative permittivity thereof is in a range from 3.9 to 100, and the fixed charge density is in a range from 0 to 1 ⁇ 10 11 cm ⁇ 2 .
  • the film thickness of the gate insulation layer is set to a range from 0.5 to 5.0 nm.
  • fixed charge is also referred to as “fixed oxide film charge”, meaning the charge existing in SiO 2 film and being fixed therein, not migrating in electric field or the like.
  • the fixed oxide film charge appears caused by a structural defect in the oxide film, and depends on the formed state of the oxide film or the heat treatment thereof. Normally there exists a positive fixed charge in the vicinity of Si—SiO 2 interface originated from a dangling bond of Si in silicon.
  • the fixed oxide film charge makes the C-V characteristic of MOS structure shift in parallel along the gate voltage axis.
  • the fixed charge density is determined by the C-V method.
  • MOS-FET As the gate electrode 94 of MOS-FET in FIG. 19 , there are applied: metal such as Ti, Al, TiN, TaN, and W; polysilicon (B(boron)-dope: p-Type or P(phosphorus)-dope: n-Type); and Ni-FUSI (fully silicide).
  • metal such as Ti, Al, TiN, TaN, and W
  • polysilicon B(boron)-dope: p-Type or P(phosphorus)-dope: n-Type
  • Ni-FUSI fully silicide
  • the semiconductor/insulation film joint which was prepared by the method of the present invention, that is, by the method of treating the surface of a Si substrate having native oxide film formed thereon, growing the Si single crystal film without exposing thereof to atmospheric air, sputtering for forming a dielectric film such as Hf without exposing the substrate to atmospheric air, and oxidizing and nitrifying thereof, gives smaller fixed charge and lower interface state than those of the joint prepared in the atmospheric transfer. Therefore, the joint gives a C-V curve with small hysteresis as shown in FIG. 16 , with small leak current, thereby providing good device characteristics.
  • interface state signifies the energy level of electron being appeared on interface of joint of different kinds of semiconductors and on interface of joint between a semiconductor and a metal or an insulation material. Since the semiconductor face on the interface becomes a condition of breaking bond between atoms, there appears a non-bonding condition called the dangling bond, thus creating an energy level to allow entrapping the charge. Also impurity or defect on the interface creates an energy level allowing entrapping the charge, or an interface state. Generally the interface state shows a long response time and is instable, thus often adversely affects the device characteristics. Lower interface state means better interface.
  • the interface state density is determined by the C-V method.
  • the film-forming apparatus of the present invention uses the configuration having each one of: the surface treatment unit 100 , the CVD film-forming unit 20 , the dielectric-electrode sputtering unit 30 , the oxidation-nitrification unit 40 , the load-lock chamber 50 , and the transfer chamber 60 .
  • the quantity of each of those units is not necessarily one, and more than one unit for there each can be applied depending on the throughput, the film structure, and the like.
  • the load-lock chamber may be substituted by a plurality of load-lock chambers allotting the functions of loading and unloading to each one.
  • the sputtering unit 30 may be substituted by two or more sputtering units allotting the functions of forming the dielectric film and forming the electrode to each one.
  • the substrate treatment method which allows conducting the dry substrate surface treatment while keeping flat surface according to the present invention, it is preferable to have at least one unit for each of the surface treatment unit 100 , the CVD film-forming unit 20 , the load-lock chamber 50 , and the transfer chamber 60 .
  • the presence of load-lock chamber makes the dry substrate surface treatment possible at high throughput in a stable evacuated atmosphere, and the film-forming by transferring the substrate to the CVD film-forming unit via the transfer chamber in a vacuum without exposing the substrate to atmospheric air allows keeping good condition of interface between the Si substrate surface and the CVD film-formed Si/SiGe layer.
  • the substrate treatment method which allows treating the dry substrate surface while keeping flat surface according to the present invention, it is preferable to have at least one unit for each of the surface treatment unit 100 , the dielectric-electrode sputtering unit 30 , the load-lock chamber 50 , and the transfer chamber 60 .
  • the presence of load-lock chamber makes the dry substrate surface treatment possible at high throughput in a stable evacuated atmosphere, and the film-forming by transferring the substrate to the dielectric-electrode sputtering unit 30 via the transfer chamber in a vacuum without exposing the substrate to atmospheric air allows keeping good condition of interface between the Si substrate surface and the dielectric film or conductive film as the base of the insulation film prepared by sputtering on the Si substrate surface.
  • any type of epitaxial film-forming unit is applicable if only the unit is provided with a chamber, a substrate-heating mechanism for heating both the substrate holder for holding the substrate and the substrate held thereto, a gas-feed mechanism for supplying a gas containing the raw material gas to conduct the CVD film-formation, and an exhaust means for discharging the chamber atmosphere.
  • the sputtering unit 30 may be, however, any type if only the unit has a chamber, a substrate holder for holding the substrate, a mechanism for feeding the gas into the chamber, an exhaust means for discharging the chamber atmosphere, a sputtering cathode for mounting the target made of dielectric or conductive metal, and a high frequency power supply mechanism or a direct current power supply mechanism.
  • the quantity of the sputtering cathode for mounting the target made of dielectric or conductive metal, (not shown), in the sputtering unit 30 is not necessarily one, and a plurality of sputtering cathodes may be applied for forming a plurality of continuous or discontinuous films and for mounting a plurality of targets thereon.
  • the substrate holder is preferably provided with a rotary mechanism to rotate the mounted substrate.
  • the gas-feed mechanism of the sputtering unit 30 preferably feeds not only inert gas such as Ar but also a reactive gas such as N 2 and O 2 , or a mixture of reactive gas with Ar gas.

Abstract

It was found out that when radicals generated by plasma are fed to a treatment chamber via a plurality of holes (111) formed on a partition plate which separates a plasma-forming chamber (108) from the treatment chamber, and the radicals are mixed with a treatment gas which is separately fed to the treatment chamber, the excitation energy of the radicals is suppressed and thereby the substrate surface treatment at high Si-selectivity becomes possible, which makes it possible to conduct the surface treatment of removing native oxide film and organic matter without deteriorating the flatness of the substrate surface. The radicals in the plasma are fed to the treatment chamber via radical-passing holes (111) of a plasma-confinement electrode plate (110) for plasma separation, the treatment gas is fed to the treatment chamber (121) to be mixed with the radicals in the treatment chamber, and then the substrate surface is cleaned by the mixed atmosphere of the radicals and the treatment gas.

Description

    CROSS-REFERENCES TO RELATED APPLICATIONS
  • This application is a continuation application of PCT International Application No. PCT/JP2008/067016, filed on Sep. 19, 2008, the entire contents of which are incorporated by reference herein.
  • This application also claims the benefit of priority from PCT International Application No. PCT/JP2007/071393 filed Nov. 2, 2007, the entire contents of which are incorporated herein by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to an apparatus and a method of manufacturing semiconductor device, including the treatment of substrate surface, specifically the treatment of surface of group IV semiconductor.
  • 2. Related Background Art
  • Conventionally semiconductor Si substrate is subjected to wet-cleaning. The wet-cleaning has, however, problems of failing to completely remove water-marks in dry state, failing to control etching of very thin oxide film, requiring large apparatus, and the like. Furthermore, when the semiconductor substrate is exposed to atmospheric air for a long time after the wet-cleaning, there arise problems of forming native oxide film on the surface thereof and adsorbing carbon atoms thereon to inhibit film-forming of Si single crystal, generating irregular profile of film, generating impurity level at the interface of gate insulation film, and the like.
  • Therefore, surface oxide film was removed by applying UHV vacuum heating to 750° C. or higher or by applying heating to 800° C. or higher in an H2 atmosphere before film formation. However, as miniaturization of device progresses and dielectric insulation film/metal electrode is used, the device needs to be manufactured at lower temperatures. Thus the device manufacturing needs to be done at 650° C. or lower temperature. As a result, the wet-cleaning has its limits, and there arises a need of dry-cleaning method which conducts treatment of semiconductor substrate in a vacuum before film-forming. The reverse sputtering method using argon plasma is one example of the method (Japanese Patent Laid-Open No. 10-147877). The disclosed method, however, presumably cuts also the Si—Si bond on the surface of the semiconductor substrate. In that case, problems arise such that oxide film is immediately formed on the Si-absent portion, that contaminants likely adhere to the dangling bond of Si, and that the sputtered oxide and contaminants adhere again to the side wall of the substrate. These problems adversely affect the succeeding step, (such as inhibition of epitaxial growth and formation of highly resistant portion on the silicide interface). Furthermore, damages on the device are also the problem.
  • Japanese Patent Laid-Open No. 2001-144028 describes that, after removing the silicon oxide film from the surface of the substrate using a plasmatized F2 gas, the hydrogen radicals are irradiated to remove the F component adhered to the surface of the substrate. Japanese Patent Laid-Open No. 04-96226 describes that, after removing the Si native oxide film from the surface of the substrate using F2 gas, the radicalized hydrogen is irradiated to the substrate to terminate the bonding operation by the hydrogen. Japanese Patent Laid-Open No. 06-120181 discloses a technology of terminating the bonding operation by hydrogen on the surface of the substrate using hydrogen ions after removing the oxide film on the substrate surface by HF plasma. Since, however, the plasmatized F2 gas contains not only the radicalized fluorine gas but also the ionized fluorine gas. There arises a problem of generating irregular surface on removing the silicon oxide film from the substrate surface. Furthermore, there is a possibility of removing a portion of the substrate itself not only removing the silicon oxide film thereon. In addition, since the semiconductor substrate is exposed to plasma, Si—Si bond is also cut off. In that case, there arise problems that the oxide film is immediately formed on the Si-absent portion, that the contaminants likely adhere to the dangling bond of Si, and that the sputtered oxide and contaminants adhere again to the side wall of the substrate. These problems adversely affect the succeeding stage, (such as inhibition of epitaxial growth and formation of highly resistant portion on the silicide interface). Furthermore, damages on device are also the problem. According to the disclosure, gas is decomposed positively by plasma to generate hydrogen radicals and hydrogen ions. When fluorine residue on the surface of the substrate is removed by the hydrogen radicals and the hydrogen ions, there arise problems of contamination by metal coming from the chamber, of excess etching because of large etching rate on the base Si, and the like. Furthermore, since HF as the reaction product likely adheres again to the surface of the substrate, sufficient F-removal effect is not attained.
  • Japanese Patent Laid-Open No. 2001-102311 describes that a cleaning gas such as fluorine is supplied to the plasma-forming part having the plasma-forming chamber which is separated, by a plate having feed holes therethrough, from the film-forming chamber where the substrate is placed, thus generating radicals by generating plasma in the plasma-forming part, and the fluorine radicals are fed to the film-forming space containing the substrate via the feed holes, thereby irradiating the radicals to the substrate for cleaning thereof. Japanese Patent Laid-Open No. 2002-500276 discloses a substrate cleaning method using a plate distributing the gas excited by a remote plasma source, through which plate the radicals are supplied to clean the substrate. Since, however, the surface of the semiconductor substrate cannot be exposed to an atmosphere which suppresses the excitation energy of radicals, etching with high Si selectivity cannot be conducted, which then raises a problem of failing to remove the native oxide film without deteriorating the surface roughness.
  • Japanese Patent Laid-Open No. 2002-217169 discloses an apparatus for conducting entire cleaning step in a vacuum to remove foreign matter applying simultaneously a physical action of friction stress generated by a high velocity gas flow. According to the disclosure, adsorption of impurities and generation of native oxide during vacuum transfer are suppressed, thus improving the production efficiency. Even if the foreign matter can be removed, however, the native oxide film and the surface roughness remain on the surface at an order of atomic layer thickness. That is, to attain the effect of device characteristic improvement by the continuous transfer in vacuum, there are required the cleaning technology to control the highly selective etching of Si and native oxide film at an order of atomic layer thickness, and the transfer of substrate and the film-forming thereon without exposing the substrate to atmospheric air. That kind of control technology and vacuum operation should provide good device characteristics of low interface state at the joint between semiconductor and dielectric insulation film, and of small fixed charge in the film.
  • Japanese Patent Laid-Open No. 10-172957 describes that the oxide film was able to be selectively removed by a mixed gas of: argon, helium, xenon, and hydrogen which are excited by a remote plasma source; and HF gas fed in downstream side, and that no damage was observed on the silicon substrate. The removal, however, did not satisfy the flatness required in recent years.
  • [Patent Document 1] Japanese Patent Laid-Open No. 2001-144028
  • [Patent Document 2] Japanese Patent Laid-Open No. 04-96226 (1992)
  • [Patent Document 3] Japanese Patent Laid-Open No. 06-120181 (1994)
  • [Patent Document 4] Japanese Patent Laid-Open No. 2001-102311
  • [Patent Document 5] Japanese Patent Application Publication No. 2002-500276
  • SUMMARY OF INVENTION Problems to be Solved by the Invention
  • According to the conventional wet-cleaning surface treatment to remove native oxide film and organic matter from the substrate surface, there is a problem of deteriorating the device characteristics owing to the adsorption of air components to the substrate surface to leave native oxide film and impurities such as carbon atoms on the interface because the substrate after cleaned is transferred to the succeeding film-forming step in atmospheric air. When the substrate after treated by dry-cleaning on the surface thereof is subjected to the treatment in a vacuum not to leave the native oxide film and the impurities such as carbon atoms on the interface, the flatness of the substrate surface is deteriorated caused by the dry-cleaning, though the native oxide film and the impurities such as organic matter and carbon on the substrate surface can be removed. Furthermore, poor flatness of the substrate surface raises a problem of deteriorating the characteristics of manufactured device.
  • Means to Solve the Problems
  • The present invention is made to solve the above problems. According to the investigations of the inventors of the present invention, radicals generated by plasma are fed to the treatment chamber via a plurality of holes formed on a partition plate which separates the plasma-forming chamber from the treatment chamber, the radicals are mixed with a treatment gas which is separately fed to the treatment chamber, thus suppressing the excitation energy of the radicals to thereby enable the substrate surface treatment at high Si-selectivity, and thus it is found out that the surface treatment becomes available which removes native oxide film and organic matter without deteriorating the flatness of the substrate surface.
  • The present invention provides a method of cleaning a substrate comprising the steps of: placing a substrate in a treatment chamber; turning a plasma-forming gas; feeding a radical in the plasma to the treatment chamber via a radical-passing hole of a plasma-confinement electrode plate for plasma separation; feeding a treatment gas to the treatment chamber to mix it with the radical in the treatment chamber; and cleaning the surface of the substrate by the mixed atmosphere of the radical and the treatment gas.
  • The present invention provides a method of cleaning a substrate, wherein the surface of the substrate is a group IV semiconductor material, and the plasma-forming gas and the treatment gas contain HF, respectively.
  • The HF fraction in the plasma-forming gas to the total gas flow rate of the plasma-forming gas is preferably in a range from 0.2 to 1.0, and more preferably from 0.5 to 1.0. The HF fraction in the treatment gas to the total gas flow rate of the treatment gas is preferably in a range from 0.2 to 1.0, and more preferably from 0.75 to 1.0.
  • The present invention provides a method of cleaning a substrate, wherein the plasma-confinement electrode plate for plasma separation has a plurality of radical feed holes for feeding the radical in the plasma to the treatment chamber and a plurality of treatment gas feed holes for feeding the treatment gas into the treatment chamber, and thus the radical and the treatment gas are discharged toward the surface of the substrate in the treatment chamber via the respective feed holes.
  • The present invention provides a method of manufacturing a semiconductor device comprising the steps of: cleaning the surface of a group IV semiconductor substrate in a cleaning chamber in accordance with the above method; transferring the cleaned substrate from the cleaning chamber to an epitaxial chamber via a transfer chamber without exposing the substrate to atmospheric air; and epitaxially growing an epitaxial single crystal layer on the surface of the substrate in the epitaxial chamber.
  • The present invention provides a method of manufacturing a semiconductor device comprising the steps of: transferring a substrate having an epitaxial layer manufactured in accordance with the above method from the epitaxial chamber to a sputtering chamber via a transfer chamber without exposing the substrate to atmospheric air; sputtering a dielectric film onto the epitaxial layer in the sputtering chamber; transferring the substrate having the dielectric film thereon from the sputtering chamber to an oxidation-nitrification chamber via a transfer chamber without exposing the substrate to atmospheric air; and conducting oxidation, nitrification, or oxynitrification of the dielectric film in the oxidation-nitrification chamber.
  • The present invention provides a method of manufacturing a semiconductor device according to above method, wherein the dielectric film is made of the one selected from the group consisting of Hf, La, Ta, Al, W, Ti, Si, and Ge, or an alloy thereof.
  • The present invention provides a method of cleaning a substrate according to above method, wherein turning the plasma-forming gas into plasma is done by applying a high frequency power thereto, and the density of the high frequency power is in a range from 0.001 to 0.25 W/cm2, preferably from 0.001 to 0.125 W/cm2, and more preferably from 0.001 to 0.025 W/cm2.
  • The present invention provides a substrate treatment apparatus of plasma-separation type generating a radical by forming plasma from a plasma-forming gas in a vacuum chamber, and conducting substrate treatment by the radical and a treatment gas, the substrate treatment apparatus comprising: a plasma-forming chamber for turning the plasma-forming gas fed therein into plasma; a treatment chamber containing a substrate holder on which a substrate to be treated is placed; and a plasma-confinement electrode plate for plasma separation having a plurality of radical-passing holes formed between the plasma-forming chamber and the treatment chamber, the plasma-confinement electrode plate of a hollow structure having a plurality of treatment gas feed holes opened toward the treatment chamber formed, and having a gas-feed pipe for supplying the treatment gas disposed, wherein: a plasma-forming space inside the plasma-forming chamber contains a high-frequency applying electrode for generating plasma by a power supplied from a high-frequency power source; the high-frequency applying electrode has a plurality of through-holes penetrating therethrough; the high-frequency applying electrode further contains a plasma-forming gas feed shower plate for feeding the plasma-forming gas to the plasma-forming chamber; and the plasma-forming gas feed shower plate has a plurality of gas-discharge ports for feeding the plasma-forming gas onto the electrode extending along the plasma-confinement electrode plate for plasma separation provided with the plurality of radical-passing holes.
  • The present invention provides a substrate treating apparatus, wherein the diameter of the plurality of gas holes opened on the plasma-forming gas feed shower plate is 2 mm or smaller, and preferably 1.5 mm or smaller.
  • The present invention provides a substrate treating apparatus, wherein in the above substrate treating apparatus, the volume ratio V2/V1 is in a range from 0.01 to 0.8, where V2 is the total volume of a plurality of through-holes of the electrode, and V1 is the total volume of the electrode including the through-holes.
  • The present invention provides a substrate treating apparatus according to above apparatus, wherein the density of the high frequency power applied to the high-frequency applying electrode is in a range from 0.001 to 0.25 W/cm2, preferably from 0.001 to 0.125 W/cm2, and more preferably from 0.001 to 0.025 W/cm2.
  • The present invention provides a substrate treating apparatus according to above apparatus, wherein the plasma-forming gas fed to the plasma-forming chamber is a gas containing HF, and the gas fed to the treatment chamber is a gas containing HF.
  • The present invention provides an apparatus of manufacturing semiconductor device comprising: a substrate cleaning chamber including the above substrate treatment apparatus; an epitaxial growth chamber forming an epitaxial layer on the substrate; and a transfer chamber transferring the substrate coming from the substrate cleaning chamber to the epitaxial growth chamber without exposing the substrate to atmospheric air.
  • The present invention provides an apparatus of manufacturing a semiconductor device according to above apparatus, further comprising a sputtering chamber forming a dielectric film, thus allowing transferring the substrate coming from the cleaning chamber or the epitaxial growth chamber to the sputtering chamber via the transfer chamber without exposing the substrate to atmospheric air.
  • The present invention provides an apparatus of manufacturing a semiconductor device according to above apparatus, further comprising an oxidation-nitrification chamber for oxidation, nitrification, or oxynitrification of the dielectric film, thus allowing transferring the substrate coming from the cleaning chamber, the epitaxial growth chamber, or the sputtering chamber to the oxidation-nitrification chamber via the transfer chamber without exposing the substrate to atmospheric air.
  • EFFECT OF THE INVENTION
  • The present invention performs substrate treatment which can decrease the native oxide film and organic impurities on the surface of semiconductor substrate compared with the wet-cleaning in the related art, and can remove the native oxide film and organic matter without deteriorating the flatness of the substrate surface.
  • According to the present invention, to remove the native oxide film and contamination of organic impurities from the surface of semiconductor substrate, HF gas or a mixed gas containing at least HF is used as the plasma-forming gas and the treatment gas, and radicals are fed from the plasma-forming chamber to the treatment chamber, while feeding simultaneously gas molecules containing HF as the structural element thereto, thus exposing the surface of semiconductor substrate to the above atmosphere which suppresses the excitation energy of the radicals, to thereby remove the native oxide film and organic matter without deteriorating the flatness of the substrate surface. There generates no metal contamination and plasma damage on the semiconductor substrate. Although the wet-cleaning in the related art needs more than one step for the substrate treatment applying also succeeding steps such as annealing treatment, the present invention performs the substrate treatment in only one step, which attains desired effect efficiently, reduces cost, and significantly improves the treatment speed. Furthermore, use of a shower plate to the plasma-forming gas allows uniform feeding of the product gas, use of through-holes on the electrode part allows discharge even at a low power, and use of a plasma-confinement electrode plate for plasma separation provided with a plurality of radical-passing holes allows radicals in the produced plasma to be fed uniformly to the treatment chamber. By using HF as the plasma-forming gas, feeding the radicals from the plasma-forming chamber to the treatment chamber, and simultaneously feeding HF to the treatment chamber, the surface treatment provided fine surface roughness at an order of atomic layer thickness, which then realized to form a single crystal Si and SiGe film on the surface.
  • By the first step of conducting substrate surface treatment, and the second step of transferring the substrate without exposing the single crystal film to atmospheric air, the amount of impurities at the interface is smaller than that appears in the atmospheric transfer, and thus good device characteristics are attained.
  • By conducting the first step of conducting substrate surface treatment, the second step of forming single crystal film, the third step of sputtering the dielectric material to form a film, the fourth step of conducting oxidation, nitrification, or oxynitrification, and the fifth step of transferring the metallic material and the sputtered film in a vacuum without exposing thereof to atmospheric air, the amount of impurities on the joint interface between the semiconductor and the insulation film becomes smaller than that in atmospheric transfer, which provides the interface state density and the fixed charge density in film equivalent to those of oxide film attained in the related art, gives a C-V curve with small hysteresis, gives a small leak current, and thereby attains good device characteristics.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic diagram of a configuration example of a film-forming apparatus used in the present invention.
  • FIG. 2 is a schematic diagram of a controller installed in the apparatus used in the present invention.
  • FIG. 3A is a schematic diagram of a configuration example of a surface treatment apparatus used in the present invention.
  • FIG. 3B is an enlarged cross section diagram of a plasma-confinement electrode plate in the surface treatment apparatus of the present invention.
  • FIG. 3C is an enlarged view of the plasma-confinement electrode plate in the surface treatment apparatus of the present invention viewed from the treatment chamber side.
  • FIG. 3D is a schematic diagram of the plasma-confinement electrode plate part of the present invention viewed from the treatment chamber side.
  • FIG. 4A is a schematic diagram of an example of structure of a high-frequency applying electrode part in the surface treatment apparatus of the present invention.
  • FIG. 4B is a perspective view of an example of structure of the high-frequency applying electrode part in the surface treatment apparatus of the present invention.
  • FIG. 4C is a diagram illustrating the generation of discharge in entire discharge chamber under a condition of V2/V1 in a range from 0.01 to 0.8 in the surface treatment apparatus of the present invention.
  • FIG. 4D is a diagram illustrating the state that, under a condition of V2/V1<0.01, the discharge disproportionates, resulting in non-uniform radical supply to the substrate in the surface treatment apparatus of the present invention.
  • FIG. 4E is a diagram illustrating the state that, under a condition of V2/V1>0.8, the discharge does not occur, thus the radical supply is not given to the substrate in the surface treatment apparatus of the present invention.
  • FIG. 5A is a schematic diagram illustrating an enlarged cross section of a plasma-forming gas feed shower plate in the vicinity of a plasma-forming gas feed hole, showing a shape example 1 of the plasma-forming gas feed hole.
  • FIG. 5B is a schematic diagram illustrating an enlarged cross section of the plasma-forming gas feed shower plate in the vicinity of the plasma-forming gas feed hole, showing a shape example 2 of the plasma-forming gas feed hole.
  • FIG. 5C is a schematic diagram illustrating an enlarged cross section of the plasma-forming gas feed shower plate in the vicinity of the plasma-forming gas feed hole, showing a shape example 3 of the plasma-forming gas feed hole.
  • FIG. 5D is a schematic diagram illustrating an enlarged cross section of the plasma-forming gas feed shower plate in the vicinity of the plasma-forming gas feed hole, showing a shape example 4 of the plasma-forming gas feed hole.
  • FIG. 5E is a schematic diagram illustrating an enlarged cross section of the plasma-forming gas feed shower plate in the vicinity of the plasma-forming gas feed hole, showing a shape example 5 of the plasma-forming gas feed hole.
  • FIG. 5F is a graph of the distribution of etching rate of silicon oxide film in the plane of the substrate, showing the effect of the gas feed shower plate according to the present invention for feeding the plasma-forming gas to the plasma chamber.
  • FIG. 6 is a graph showing native oxide film/Si obtained in the examples of the present invention with varied high-frequency power density.
  • FIG. 7 is a schematic diagram illustrating an example of structure of a UV, X-ray, and microwave excited radical surface treatment apparatus used in the present invention.
  • FIG. 8 is a schematic diagram illustrating an example of structure of a catalyst-chemical excitation radical surface treatment apparatus used in the present invention.
  • FIG. 9 is a schematic diagram illustrating a surface treatment method used in the present invention.
  • FIG. 10 is a flowchart of a transfer controller program used in the present invention.
  • FIG. 11 is a flowchart of a film-forming controller program used in the present invention.
  • FIG. 12 gives a graph showing the surface roughness (Ra) after treatment of the substrate, and SEM images on the surface, obtained by an example of the present invention.
  • FIG. 13 is a graph showing the dependency of the surface roughness (Ra) on the HF fraction in the treatment gas, comparing the case of plasma-forming gas containing HF according to the present invention with the case of plasma-forming gas made only of Ar in the related art.
  • FIG. 14 gives SEM images on the surface after the growth of Si and SiGe, obtained by an example of the present invention.
  • FIG. 15 is a graph showing the atom density of oxygen and carbon at interface, obtained by an example of the present invention.
  • FIG. 16 is a C-V curve obtained by an example of the present invention.
  • FIG. 17 is a graph showing a comparison of the interface state density and the fixed charge density, between those obtained by an example of the present invention and those obtained in the related art.
  • FIG. 18 is a graph showing the relation between the equivalent oxide film thickness (EOT) and the leak current, obtained by an example of the present invention.
  • FIG. 19 is a schematic diagram illustrating a MOS-FET manufactured by the treatment of the present invention.
  • EXAMPLES
  • Examples of the present invention will be described below.
  • Embodiments of the present invention will be described below referring to the drawings.
  • The examples deal with the cases of applying the present invention to a film-forming apparatus 1 illustrated in FIG. 1, focusing on a process of removing a native oxide film and organic matter formed on a Si substrate by the first step using a surface treatment apparatus 100 illustrated in FIGS. 3A to 3D.
  • A substrate 5 adopted as the sample is a Si single crystal substrate (with 300 mm in diameter) which is allowed to stand in a clean air to form a native oxide film thereon. The substrate 5 is transferred to a load-lock chamber 50 by a substrate-transfer mechanism (not shown), and is placed therein. Then, the load-lock chamber 50 is evacuated by an evacuation system (not shown). After evacuating to a desired pressure, or 1 Pa or below, a gate valve (not shown) between the load-lock chamber and a transfer chamber is opened, and a transfer mechanism (not shown) in the transfer chamber transfers the substrate 5 to the surface treatment apparatus 100 via a transfer chamber 60, and places the substrate 5 on a substrate holder 114.
  • <Description of the Surface Treatment Apparatus>
  • FIG. 3A illustrates the surface treatment apparatus 100 of the present invention.
  • The surface treatment apparatus 100 includes a treatment chamber 113 equipped with the substrate holder 114 on which the substrate 5 can be placed, and a plasma-forming chamber 108. The treatment chamber 113 and the plasma-forming chamber 108 are partitioned and separated from each other by a plasma-confinement electrode plate 110 for plasma separation having a plurality of radical-passing holes 111 therein. The plasma-confinement electrode plate 110 is made of a conductive material and is grounded. The plasma-forming chamber 108 has a plasma-forming gas feed shower plate 107 therein. A high-frequency applying electrode 104 in a plate-shape is located between the plasma-forming gas feed shower plate 107 and the plasma-confinement electrode plate 110. The high-frequency applying electrode 104 has a plurality of electrode through-holes 105 penetrating from the front face to the rear face thereof to uniformly stabilize the discharge. The high-frequency applying electrode 104 is connected to a high frequency power source 103 and can supply high frequency power. The high-frequency applying electrode 104 is supported by an insulator 118 a and is fixed to the wall of the plasma-forming chamber as a plate-shape member extending in a lateral direction of the chamber in almost parallel with the plasma-confinement electrode 110. On both sides of the high-frequency applying electrode 104, there are upper and lower plasma-forming spaces 109 a and 109 b, respectively.
  • The upper plasma-forming space 109 a contacts with the high-frequency applying electrode 104 and the plasma-forming gas shower plate 107. The lower plasma-forming space 109 b contacts with the high-frequency applying electrode 104 and the plasma-confinement electrode plate 110.
  • FIG. 3B illustrates an enlarged cross section of the plasma-confinement electrode plate 110. FIG. 3C illustrates an enlarged view of the plasma-confinement electrode plate 110 viewed from the treatment chamber. As shown in these Figures, the plasma-confinement electrode plate 110 faces the substrate-supporting face of the substrate holder 114, and has the radical feed holes 111 penetrating from the plasma-forming space 109 to a substrate cleaning treatment chamber 121. The plurality of radical feed holes 111 are distributedly formed on the face of the electrode plate 110.
  • The plasma-confinement electrode plate 110 has a treatment gas feed passage 120 to feed the treatment gas to the substrate cleaning treatment chamber 121, treatment gas feed spaces 119, and gas feed holes 112. The plurality of treatment gas feed holes 112 opened on the plasma-confinement electrode plate 110 toward the substrate cleaning treatment chamber 121 from the treatment gas feed space 119 communicated with the treatment gas feed passage 120 of the plasma-confinement electrode 110 are formed apposing to at least a part of the plurality of radical feed holes 111 on the face of the plasma-confinement electrode 110. The plasma-confinement electrode plate 110 has a hollow structure of the treatment gas feed passage 120 crossing laterally the electrode plate 110, (in the direction of partitioning the plasma-forming chamber 108 from the treatment chamber 113), and the treatment gas feed space 119 through which the treatment gas is injected from the passage 120. By feeding the treatment gas to the treatment gas feed passage 120, the treatment gas is uniformly supplied to the substrate 5 in the substrate cleaning treatment chamber 121 via the plurality of treatment gas feed spaces 119 and via the plurality of treatment gas feed holes 112.
  • These treatment gas feed passage 120, treatment gas feed space 119, and treatment gas feed hole 112 are not directly connected with the plasma-forming space 109 and the radical feed hole 111. Accordingly, the radicals fed from the radical feed holes 111 to the treatment space and the treatment gas fed from the treatment gas feed holes 112 are fed from the plasma-confinement electrode face toward the substrate face in the substrate cleaning treatment chamber 121 in almost parallel flows with each other. Then, the radicals and the treatment gas are mixed together in the substrate cleaning treatment chamber 121 for the first time.
  • The plasma-forming gas passes through a plasma-forming gas supply system 101 and a plasma-forming gas supply pipe 102, and enters the plasma-forming space 109 a, the electrode through-holes 105, and the plasma-forming space 109 b in the plasma-forming chamber 108, via plasma-forming gas feed holes 106 opened on the plasma-forming gas feed shower plate 107, and is raised to a specified pressure.
  • Once the power is supplied from the high frequency power source 103 to the high-frequency applying electrode 104, discharge begins in the upper and lower plasma-forming spaces 109 a and 109 b. The plasma-confinement electrode 110 functions as the ground electrode. Since the plasma-forming gas shower plate 107 also functions as the ground electrode because the chamber wall of the plasma-forming chamber 108 to which the plasma-forming gas shower plate 107 is connected is grounded. Grounding the plasma-confinement electrode plate 110 assures stable discharge. Also grounding the plasma-forming gas shower plate 107 assures stable discharge.
  • The shower plate may be an insulation material. In that case, the chamber wall positioned at a rear side thereof functions as the ground electrode. The plasma-confinement electrode plate 110 partitions the plasma-forming chamber 108 from the substrate cleaning treatment chamber 121. The substrate 5 being cleaned in the cleaning treatment chamber is placed facing the plasma-confinement electrode plate face. The radicals generated in the plasma in the plasma-forming spaces 109 a and 109 b are fed to the substrate cleaning treatment chamber 121 via the plurality of radical feed holes 111 formed on the plasma-confinement electrode plate 110 and communicating the plasma-forming chamber with the substrate cleaning treatment chamber. The electrically neutral radicals are allowed to pass through the radical feed holes to enter the substrate cleaning treatment chamber 121. However, very few of charged particles such as ions are allowed to pass through the radical feed holes 111 formed on the plasma-confinement electrode plate 110. The radical feed holes 111 of the plasma-confinement electrode plate 110 confines the plasma, and allows the electrically neutral radicals to pass therethrough. By grounding the plasma-confinement electrode plate 110, the performance of confining the plasma and the performance of allowing the electrically neutral radicals to pass therethrough are further improved. In addition, grounding the plasma-confinement electrode plate 110 provides shielding not to leak high frequency to the substrate cleaning treatment chamber 121. If the plasma-confinement electrode plate 110 is not grounded, the high frequency applied to the high-frequency applying electrode 104 is not shielded by the plasma-confinement electrode plate 110, and the plasma-confinement electrode plate 110 acts as the electrode, which may induce discharge also in the substrate cleaning treatment chamber 121 in the treatment chamber. Grounding the plasma-confinement electrode plate 110 can prevent the plasma invasion to and plasma generation in the substrate cleaning treatment chamber 121 in the treatment chamber.
  • The unexcited treatment gas for suppressing the excitation energy of the fed radicals is fed from a treatment gas supply system 116 to the treatment gas feed passage 120 via a treatment gas supply pipe 115 to diffuse therein, and then is fed to the treatment gas feed space 119, and further is fed to the substrate cleaning treatment chamber 121 via the treatment gas feed holes 112.
  • The radicals fed from the radical feed holes 111 to the treatment space and the treatment gas fed from the treatment gas feed holes 112 are mixed together in the substrate cleaning treatment chamber 121 for the first time, to conduct specified treatment on the substrate 5 placed facing the substrate cleaning treatment chamber 121.
  • After that, the gas in the substrate cleaning treatment chamber 121 is discharged by an exhaust system 117.
  • The shape of the radical feed hole 111 is not limited to the one illustrated in the drawings if only the hole has a function to allow the electrically neutral radicals to pass threrethrough and to reject the plasma from passing therethrough. For example, in FIG. 3B and FIG. 3C, the shape of the radical feed hole 111 has a larger diameter at the side facing the substrate cleaning treatment chamber 121 than the diameter at the side facing the plasma-forming space 109. The diameter, however, may be the same at both sides. Alternatively, the diameter thereof may be smaller at the side facing the substrate cleaning treatment chamber 121 than the diameter facing the plasma-forming space 109. In FIG. 3B and FIG. 3C, the shape of the radical feed hole 111 has a spot-facing at the side of the substrate cleaning treatment chamber 121, with a narrow hole extended from the bottom of the spot-facing and opened toward the plasma-forming space. The number of narrow hole, however, may be more than one. Furthermore, the spot-facing may be opened at the side of the plasma space, and a narrow hole may penetrate from the bottom of the spot-facing toward the treatment chamber, or the number of narrow hole may be more than one.
  • FIG. 3D is a schematic diagram illustrating the plasma-confinement electrode plate 110 viewed from the treatment chamber side.
  • The radical feed holes 111 and the treatment gas feed holes 112 are distributed and opened over the entire face of the plasma-confinement electrode plate 110. Uniform distribution in the radius direction assures uniform supply of the radicals generated in the plasma-forming chamber toward the substrate, and assures uniform supply of the treatment gas separately fed simultaneously toward the substrate. Compared with the case that the treatment gas is fed through a single supply pipe from, for example, a side wall of the substrate cleaning treatment chamber 121, the structure of the present invention of supplying the treatment gas from the face of the plasma-confinement electrode plate in parallel with the radical feeding is further effective in terms of not only the uniformity over entire surface of the substrate for cleaning treatment but also the selective etching of native oxide film to Si by suppressing excitation energy of the radicals.
  • The distribution of the radical feed holes 111 and the treatment gas feed holes 112 is not limited to that given above, and the distribution can be varied under a requirement of perfect uniformization of the intraplane distribution for the substrate treatment, such as to respond to the fluctuations in gas concentration ratio induced by the reaction of substrate treatment in the substrate cleaning treatment chamber 121. For instance, the distribution density of radical feed holes 111 may be increased at peripheral area compared with that in central area, or decreased in peripheral area compared with that in central area. Similarly, the distribution density of treatment gas feed holes 112 may be increased at peripheral area compared with that in central area, or decreased in peripheral area compared with that in central area. In those cases, the decrease or the increase in the distribution density of radical feed holes 111 from the central area to the peripheral area may be linear or exponential.
  • The plasma-forming gas passes through the plasma-forming gas supply system 101 and the plasma-forming gas supply pipe 102, and enters the plasma-forming space 109 in the plasma-forming chamber 108 via the plasma-forming gas feed holes 106 formed on the plasma-forming gas feed shower plate 107. By the structure, uniform feed of the plasma-forming gas to the plasma-forming space 109 in the plasma-forming chamber 108 is enabled.
  • As described above, the radicals generated from the plasma-forming gas are fed to the treatment chamber 113 via the radical feed holes 111 formed on the plasma-confinement electrode plate 110 which partitions the treatment chamber 113 from the plasma-forming chamber 108. Only the electrically neutral molecules or atoms such as radicals are allowed to pass through the radical feed holes 111 formed on the plasma-confinement electrode plate 110 to enter the treatment chamber 113 from the plasma-forming chamber 108, and very few ions in the plasma are allowed to pass therethrough to enter the treatment chamber 113. In the plasma-forming chamber 108, when the ion density is about 1×1010 count/cm3, the ion density in the treatment chamber 113, calculated from the observed current level, is about 5×102 count/cm3. The value shows that the ion density is decreased to one to ten million or less, which means actually very few ions are allowed to enter the treatment chamber 113. To the contrary, the radicals are transferred to the treatment chamber 113 by about several percentages to about several tens of percentages, depending on the life, of the total quantity of radicals generated in the plasma-forming chamber.
  • For the charged particles to act as plasma, the Debye length has to be sufficiently shorter than the internal length of the apparatus. If the plasma exists at above-described ion density in the treatment chamber, and with the assumption of 1 to 5 eV of electron temperature, the Debye length is calculated to about 0.3 to 0.7 m. Since, however, the internal length of actual semiconductor manufacturing apparatus is generally 0.3 m or less at the maximum, the above ion density in the treatment chamber suggests that the charged particles in the treatment chamber have no property of plasma.
  • FIG. 4A illustrates the high-frequency applying electrode 104 in a plate-shape extending in the lateral direction in the plasma-forming chamber 108 given in FIG. 1, viewed from above the apparatus. FIG. 4B is a perspective view of the high-frequency applying electrode. The high-frequency applying electrode 104 has a plurality of electrode through-holes 105 penetrating from the front face to the rear face thereof. The adopted through-holes 105 of the high-frequency applying electrode 104 are those having a shape given in FIG. 4A and FIG. 4B. Owing to the electrode through-holes 105, the electrode can uniformly discharge even at a low power of 0.25 W/cm2 or less, thus the radicals are uniformly fed to the treatment chamber 113. The volume ratio of the volume V2 of the electrode through holes 105 to the total volume V1 of the high-frequency applying electrode 104 including the electrode through holes 105, V2/V1, is preferably in a range from 0.01 to 0.8.In a state of V2/V1 from 0.01 to 0.8, uniform discharge is attained as illustrated in FIG. 4C, thus the radicals are uniformly supplied to the treatment chamber. If the total volume V2 of the plurality of electrode through-holes 105 is excessively small, or V2/V1<0.01, the segregated discharge appears, as shown in FIG. 4D, to deteriorate the radical distribution. When V2/V1>0.8, discharge failed as shown in FIG. 4E to fail in supplying the radicals. To achieve stable and uniform radical distribution in small density of high frequency power, (from 0.001 to 0.25 W/cm2), the ratio V2/V1 should at least be in a range from 0.01 to 0.8, preferably from 0.04 to 0.37. The example selected the range from 0.14 to 0.16.
  • The diameter of the plasma-forming gas feed hole 106 formed on the plasma-forming gas feed shower plate 107 is preferably 2 mm or smaller, and more preferably 1.5 mm or smaller. With the diameter of the plasma-forming gas feed hole 106 of 2 mm or smaller, and preferably 1.5 mm or smaller, the uniformity of the surface treatment of the substrate 5 significantly improved. As a result, it was found that the treatment time can be shortened. The phenomenon suggests that the radicals from plasma generated in the above-described plasma-forming spaces 109 a and 109 b and in the electrode through-hole 105 on the high-frequency applying electrode 104 can be stably and uniformly supplied to the substrate in the substrate cleaning treatment chamber 121. As for the minimum diameter of the plasma-forming gas feed hole 106, any value is applicable if only the hole 106 has a function of allowing the plasma-forming gas to pass therethrough.
  • If the diameter of the plasma-forming gas feed hole 106 was larger than 2 mm, the uniformity of surface treatment of the substrate 5 significantly deteriorated. The worsened uniformity needed a long treatment time. The phenomenon suggests that the uniform supply of radicals to the substrate cleaning treatment chamber 121 was failed.
  • Examples of the shapes of 2 mm or smaller diameter of the plasma-forming gas feed hole 106 on the plasma-forming gas feed shower plate 107 are given in FIGS. 5A to 5E. FIGS. 5A to 5E illustrate the respective enlarged cross sections of the plasma-forming gas feed shower plate 107 in the vicinity of the plasma-forming gas feed hole 106. As given in FIG. 5A, the plasma-forming gas feed hole 106 may be a vertical hole, or as given in FIGS. 5B and 5C, the plasma-forming gas feed hole 106 may have a spot-facing at one side thereof. The spot-facing may open to the side of plasma-forming space 109 a as shown in FIG. 5C, or may open to other side as shown in FIG. 5B. As shown in FIGS. 5D and 5E, the plasma-forming gas feed hole 106 may be in a tapered shape, giving larger diameter thereof at the side of plasma-forming space 109 a than the diameter at other side, as shown in FIG. 5E, or giving smaller diameter thereof at the side of plasma-forming space 109 a than the diameter at other side, as shown in FIG. 5D. The examples of the hole shape are the same for the case of 1.5 mm or smaller diameter of the plasma-forming gas feed hole 106.
  • FIG. 5F is a graph illustrating the effect of the plasma-forming gas feed shower plate 107 in the example. The etching rate of silicon oxide film on the substrate placed in the treatment chamber was determined under the conditions of: HF gas as the plasma-forming gas at 100 sccm of flow rate; high frequency power density of 0.01 W/cm2, and the internal pressure of the treatment chamber of 50 Pa. In FIG. 5F, the horizontal axis is the position in the substrate face, and the vertical axis is the etching rate of silicon oxide film normalized by the etching rate at center of the substrate surface. As shown in FIG. 5F, when the case 901 which applied the plasma-forming gas feed shower plate was compared with the case 902 which did not apply the plasma-forming gas feed shower plate and applied lateral directional feed, as the feed method of the related art, the case 901 of feeding through the shower plate gave better uniformity in the in-plane etching rate. Presumable cause of the result is that the uniform gas feed to the plasma-forming space 109 secured uniform concentration distribution of active species in the plasma-forming space 109, and the phenomenon contributed to the result. Consequently, together with the effect of uniform plasma-forming owing to through-holes 105 of a high-frequency applying electrode 104 described below, there was confirmed further uniform radical supply to the treatment chamber.
  • A method of manufacturing a semiconductor device using the film-forming apparatus 1 illustrated in FIG. 1 of the present invention will be described below.
  • The description begins with a substrate treatment step as the first step, and with the condition thereof. The apparatus used in the first step is the substrate treatment apparatus 100 illustrated in FIGS. 3A to 3D.
  • As the plasma-forming gas, HF at 100 sccm of the flow rate was supplied to the plasma-forming chamber 108, thus generated plasma in the plasma-forming part. The radicals in the plasma were supplied to the treatment chamber 113 via the radical feed holes 111 formed on the plasma-confinement electrode plate 110 for plasma separation provided with the plurality of radical-passing holes 111. To suppress the excitation energy of the radicals, HF as the treatment gas was supplied to the treatment chamber 113 via the treatment gas feed holes 112 at a flow rate of 100 sccm. The high frequency power density for plasma generation was 0.01 W·cm2, the pressure was 50 Pa, the treatment time was 5 min, and the temperature of the substrate 5 was 25° C. The plasma for substrate cleaning treatment is generated at a high frequency power density in a range from 0.001 to 0.25 W/cm2, which range is about several tenth to one severals to that of the plasma in the case of film-deposition treatment. Higher density of high frequency power than that level makes it difficult to conduct selective etching of native oxide film.
  • FIG. 12 shows the observed surface roughness after the first step of the present invention, with the comparison with the result of conventional dry-treatment and wet-treatment. As shown in FIG. 12, the surface roughness Ra obtained from the first step of the present invention was 0.18 nm, which is a good level almost equal to the surface roughness Ra of 0.17 nm obtained by the wet-treatment (wet-cleaning) with a dilute hydrofluoric acid solution. For the case of not supplying the HF gas as the treatment gas, the surface roughness Ra became 2.0 nm, which is a large level. Furthermore, even when the treatment time was extended to 10 minutes, the surface roughness Ra was confirmed to 0.19 nm, which is not a rough level. The improved surface flatness owes to the selective removal of the surface native oxide film and organic matter in relation to Si. Presumable mechanism is that the high excitation energy HF generated from plasma is brought to collide with the unexcited HF separately fed as the treatment gas, thus forming HF having suppressed excitation energy, and the suppressed excitation energy HF selectively removes the surface native oxide film while not etching the Si atoms on the surface. The observed results confirmed that the use of the present invention can realize the surface flatness, equivalent to that of the wet-cleaning, by the dry-cleaning which does not need the high temperature pretreatment.
  • The condition to attain the surface flatness according to the present invention is only to form HF having suppressed excitation energy by mixing and colliding an HF having high excitation energy generated from the plasma with an unexcited HF separately fed as the treatment gas. Consequently, the structure of the example is not limited if only the above condition is satisfied.
  • That is, according to this example, the radicals generated by the plasma are supplied toward the substrate via the radical feed holes 111 as the plurality of through-holes formed on the plasma-confinement electrode plate 110, while simultaneously supplying the treatment gas via the plurality of treatment gas supply holes formed on the electrode plate. From the point of uniformity, however, and specifically when uniform treatment is required to a large diameter substrate, it is necessary to supply both the radicals and the unexcited treatment gas uniformly to the substrate. To this end, as in this example, it is preferable to adopt the structure which allows radicals to be shower-supplied from the electrode plate facing the substrate, and allows also the treatment gas to be shower-supplied simultaneously.
  • Although the example conducts the radical generation by the plasma formation by the high frequency application, the radical generation may be done by the plasma formation by microwave and other methods. Specifically, there may also be applied the radical generation through UV, X-ray, and microwave excitation given in FIG. 7, and the catalyst-chemical excitation given in FIG. 8. In FIG. 7, UV, X-ray, and microwaves are irradiated to the plasma gas from a feed chamber 203 to turn the plasma gas into plasma. In FIG. 7, reference numeral 5 signifies the substrate; 201, the plasma-forming gas supply system; 202, the plasma-forming gas supply pipe; 204, the plasma-confinement electrode plate for plasma separation provided with the plurality of radical-passing holes; 205, the radical feed hole; 206, the treatment gas feed hole; 207, the treatment chamber; 208, the substrate holder; 209, the treatment gas supply pipe; 210, the treatment gas supply system; and 211, the exhaust system. The treatment gas system has the same structure with that of FIGS. 3A to 3D. FIG. 8 illustrates the structure of turning the gas into plasma by a heating catalyst body 303. Reference numeral 5 signifies the substrate; 301, the plasma-forming gas supply system; 302, the plasma-forming gas supply pipe; 304, the plasma-confinement electrode plate for plasma separation provided with the plurality of radical-passing holes; 305, the radical feed hole; 306, the treatment gas feed hole; 307, the treatment chamber; 308, the substrate holder; 309, the treatment gas supply pipe; 310, the treatment gas supply system; and 311, the exhaust system. The treatment gas system has the same structure with that of FIGS. 3A to 3D.
  • Regarding the plasma-forming gas fed to the plasma-forming chamber, the example used only HF. The plasma-forming gas is only required to contain at least HF, and specifically HF diluted with Ar may be used. By generating plasma, and by passing the plasma through the plasma-confinement electrode plate 110, the radicals enter the treatment chamber 113. For the treatment gas entering the treatment chamber 113, the example used only HF. The treatment gas is only required to contain at least HF, and specifically HF diluted with Ar may be used. By mixing the radicals which were fed to the treatment chamber 113 via the radical feed holes 111 formed on the plasma-confinement electrode plate 110 with the treatment gas fed from the treatment gas feed holes 112, there is created an atmosphere in which the excitation energy of radicals is suppressed. Then, the native oxide film and the organic matter on the surface of the substrate are selectively removed in relation to Si of the substrate material, thereby performing the substrate surface treatment while suppressing the surface roughening.
  • FIG. 13 is a graph of dependency of the surface roughness (Ra) on the HF fraction in the treatment gas, comparing the case of plasma gas containing HF according to the present invention with the case of plasma gas made only of Ar in the related art.
  • According to Japanese Patent Laid-Open No. 10-172957 of the related art, the oxide film was able to be selectively removed by a mixed gas of: argon, helium, xenon, and hydrogen which are excited by a remote plasma source; and HF gas fed in downstream side, and that no damage was observed on the silicon substrate. The removal, however, did not satisfy the flatness level required in recent years. The expression of HF fraction in the plasma-forming gas, HF/(HF+Ar)=0, signifies the case of related art using sole Ar gas as the plasma-forming gas. As shown in FIG. 13, compared with the case of sole Ar as the plasma-forming gas in the related art, or HF/(HF+Ar)=0, the case of the present invention of containing HF in the plasma-forming gas provides significantly good surface roughness (Ra). Varied mixing ratio of HF to Ar in the plasma-forming gas and in the treatment gas varied the surface roughness after removing the native oxide film. It was found that the HF fraction in the plasma-forming gas to the total gas flow rate of the plasma-forming gas is preferably in a range from 0.2 to 1.0, and further to attain the surface roughness of 0.5 nm or smaller equivalent to the surface roughness after the wet-cleaning, the HF fraction thereto is preferably in a range from 0.6 to 1.0. The surface roughness after removing the native oxide film became minimum, or became flat, in the case of sole HF of the plasma-forming gas and of the treatment gas.
  • Even for the case that HF gas was used as the plasma-forming gas to be supplied to the plasma-forming chamber 108, and that the radicals were supplied via the plurality of radical feed holes 111 formed on the plasma-confinement electrode plate 110 for plasma separation provided with a plurality of radical-passing holes 111, when the treatment gas was composed only of Ar, the native oxide film on the surface of the substrate was not able to be removed, and failed to attain the object of the surface treatment. For the case that HF gas was used as the plasma-forming gas and that no gas was supplied as the treatment gas, the surface roughness Ra became 2.5 nm, which was worse than the case of using HF as the treatment gas.
  • The example used a Si substrate. However, the substrate surface treatment of the present invention does not limit to the surface treatment of Si substrate. In concrete terms, the request is only to structure the substrate surface with a group IV semiconductor such as Si and SiGe. More specifically, the substrate surface treatment can be applied to the one for removing native oxide film and organic contamination on the surface of group IV semiconductor such as thin Si layer which is adhered to or deposited on a glass substrate.
  • The high frequency power density applied onto the high-frequency applying electrode 104 is preferably in a range from 0.001 to 0.25 W/cm2.
  • FIG. 6 shows the dependency of the native oxide film/Si, (etching rate ratio of native oxide film to Si), on the high frequency power density for the case of using HF gas as the plasma-forming gas and using HF as the treatment gas. Decrease in the high frequency power density suppresses the Si etching, and thus only the native oxide film is selectively etched. The value of the amount of etching the native oxide film divided by the amount of etching the Si is defined as “native oxide film/Si”. Decrease in the high frequency power density relatively decreases the amount of etching of Si so that the “native oxide film/Si” increases. On the other hand, increase in the high frequency power density significantly increases the etching of Si, thus decreasing the “native oxide film/Si”. Increase in the high frequency power density induces the etching of Si, which roughens the surface. To decrease the surface roughening, it is necessary to increase the “native oxide film/Si” and to decrease the high frequency power density. To this end, the high frequency power density is selected to above range of from 0.001 to 0.25 W/cm2, preferably from 0.001 to 0.125 W/cm2, and most preferably from 0.001 to 0.025 W/cm2.
  • Then, the description is given to the Si and SiGe epitaxial single crystal growth step as the second step, and to the condition thereof.
  • The description is for the process in which the first step is conducted using the film-forming apparatus 1 given in FIG. 1 and using the surface treatment apparatus 100 given in FIGS. 3A to 3D to remove the native oxide film formed on the Si substrate, and then the substrate is transferred to a CVD apparatus 20 via the vacuum transfer chamber 60 to conduct the second step, where the growth of Si and SiGe single crystal film proceeds on the treated surface of the substrate.
  • The substrate was treated on the surface thereof in the first step, and then was treated in the CVD apparatus 20 as the second step under the condition of: substrate temperature of 600° C., Si2H6 supply at 36 sccm, pressure holding at 2 E-3 Pa, for 3 minutes. After that, the substrate was treated therein under the condition of: substrate temperature of 600° C., Si2H6 and GeH4 supply at 36 sccm, respectively, pressure holding at 4 E-3 Pa, for 3 minutes. Thus treated substrate gave a surface roughness of the SiGe single crystal growth on the Si equivalent to the surface roughness of the substrate treated by wet-cleaning using a diluted hydrofluoric acid, providing a good SiGe single crystal film, as shown in FIG. 14. As given in FIG. 15, compared with the case of wet-cleaning followed by the above Si/SiGe growth, the case of this example gave smaller atom density of oxygen and carbon at the interface between the Si substrate and the grown Si. In concrete terms, the atom density of oxygen and carbon at the interface was 2×1020 atoms/cm3 or less. The phenomenon owes to the suppress of adsorption of oxygen and carbon impurities onto the surface by the vacuum transfer of the substrate without exposing thereof to atmospheric air after cleaning. In the process of growth of Si and SiGe single crystal film in the CVD apparatus 20, there can be used: a hydrogenated gas such as Si2H6 and GeH4; a mixture of a hydrogenated gas with a doping material gas such as B2H6, PH3, and AsH3; or SiH4 instead of Si2H6.
  • The description is given to the dielectric film sputtering film-forming step as the third step, the oxidation-nitrification step of the formed dielectric film as the fourth step, and the electrode sputtering step as the fifth step. Succeeding to the second step, the substrate is subjected to a process to manufacture the FET device. The process includes: the third step of sputtering film-formation of the dielectric material in a sputtering apparatus 40 via the transfer chamber 60; the fourth step of transferring the substrate through the transfer chamber 60 to the oxidation-nitrification apparatus 30 to oxidize the dielectric material therein; and the fifth step of transferring the substrate through the transfer chamber 60 to the sputtering apparatus 40 to sputter the metal electrode material therein. The apparatus 10 through 50 are each controlled by the respective transfer or process controllers 70 through 74.
  • The dielectric material film-forming in the third step may be conducted by CVD other than sputtering. Similarly, the film-forming of metal electrode material in the fifth step may be conducted by CVD other than sputtering.
  • With the surface treatment apparatus 100 illustrated in FIG. 3A, the first step was conducted to remove the native oxide film, and the second step was conducted to grow the Si single crystal film. Then, the substrate 5 passed through the vacuum transfer chamber 60 to enter the dielectric-electrode sputtering apparatus 40 without being exposed to atmospheric air, where the sputtering film-formation of Hf was conducted, and the substrate was transferred to the oxidation-nitrification apparatus 30 via the vacuum transfer chamber 60 to oxidize the formed dielectric material film without exposing the surface of the dielectric material to atmospheric air, thus conducted plasma and radical oxidation. Furthermore, the substrate 5 was transferred to the dielectric-electrode sputtering apparatus 40 via the vacuum transfer chamber 60 without being exposed to atmospheric air, thus sputtered to form the film of TiN electrode. The characteristics of the obtained device were evaluated. The data are given in FIG. 16, FIG. 17, and FIG. 18.
  • FIG. 15 shows a C-V curve drawn by measuring the capacitance of a sample prepared by the present invention and by the related art (wet-cleaning was applied instead of the first step), respectively, applying voltage to the electrode part. Compared with the sample of the related art which provided hysteresis of about 30 mV, the sample of the present invention attained good result of 10 mV of hysteresis.
  • FIG. 17 shows a comparison of the interface state density and the fixed charge density, between those obtained by the present invention and those obtained in the related art (wet-cleaning was applied instead of the first step). Samples were prepared by the process of the present invention to determine the C-V curve, from which curve the interface state density and the fixed charge density were calculated. Both the interface state density and the fixed charge density were smaller than those in the related art because of the small quantity of oxygen and carbon impurities on the surface of Si film formed by the second step after the substrate cleaning in the first step, as shown in FIG. 15. The phenomenon is the effect of continuous treatment in vacuum after the dry-cleaning.
  • The film-forming apparatus 1 illustrated in FIG. 1 has a controller to conduct entire process in vacuum for each process apparatus and for each transfer apparatus. That is, a transfer controller receives the input signal, generated from the apparatus concerned, at input part, runs the transfer program which was programmed so as to operate according to the flowchart on the processor, and thus outputs the action command for transferring the substrate to each process apparatus to the concerned apparatus via the vacuum transfer. Process controllers A 71 through D 74 receive the input signal from the process apparatus, run the program which was programmed so as to operate the treatment according to the flowchart, and thus output the action command to the apparatus concerned. The structure of the controller 70 or 71 to 74 is the one given as the reference numeral 81 in FIG. 2, composed of an input part 82, a memory part 83 having a program and data, a processor 84, and an output part 85. The structure is basically a computer structure, which controls the concerned apparatus.
  • FIG. 10 illustrates the control of the transfer controller 70 and the process controllers A to D (71 to 74). In Step 610, a Si substrate with native oxide film formed thereon is prepared. The transfer controller 70 generates a command to bring the vacuum in the load-lock apparatus 40 to 1 Pa or below, (Step 611). Further the transfer controller generates a command to bring the vacuum in the surface treatment apparatus 100 to 1 E-4 Pa or higher vacuum level, and then moves the substrate 5 into the surface treatment apparatus 100 via the transfer chamber 60 to place the substrate on the substrate holder. The process controller A71 controls the procedure of above-described first step of applying surface treatment to the substrate 5, (Step 613).
  • The transfer controller 70 controls the CVD film-forming apparatus 20 to evacuate to establish the vacuum of 1 E-4Pa or lower vacuum level, and then moves the substrate 5 from the surface treatment apparatus 100 to the CVD film-forming apparatus 20 to place the substrate 5 therein via the transfer chamber 60.
  • The process controller B72 controls the above-described second step of treating single crystal growth in the CVD film-forming apparatus 20, (Step 615). Immediately after that, the process controller B72 moves the substrate into the dielectric-electrode sputtering apparatus 40 via the transfer chamber 60 to conduct the third step of dielectric-electrode sputtering film-forming (Step 616).
  • The process controller C73 controls the third step of film-forming treatment in the dielectric-electrode sputtering apparatus 40 (Step 617). The transfer controller 70 establishes the vacuum of 1 E-4 Pa or lower vacuum level in the oxidation-nitrification apparatus 30, and moves the substrate 5 from the dielectric-electrode sputtering apparatus 40 to the oxidation-nitrification apparatus 30 via the transfer chamber 60 (Step 618). The process controller D74 conducts control to execute the fourth step in the oxidation-nitrification apparatus 30 (Step 619). Immediately after that, the process controller D74 moves the substrate 5 to the dielectric-electrode sputtering apparatus 40 via the transfer chamber 60 to conduct the fifth step of metal electrode sputtering film-forming (Step 620). The process controller C73 conducts control to execute film-forming treatment of example 3 in the dielectric-electrode sputtering apparatus 40 (Step 621). Then, the transfer controller 70 opens the transfer chamber 60 to atmospheric air using the load-lock apparatus 50 (Step 622).
  • By the above-described treatment of the present invention, the MOS field effect transistor (FET) 90 illustrated in FIG. 19 was manufactured. An HfO film was adopted as a dielectric gate insulation film 95 below a gate electrode 94 between a source region 92 and a drain region 93 of a Si substrate 91. Other than HfO, preferable gate insulation film 95 includes a film of Hf, La, Ta, Al, W, Ti, Si, Ge, or an alloy thereof, and more specifically there are applicable HfN, HfON, HfLaO, HfLaN, HfLaON, HfAlLaO, HfAlLaN, HfAlLaON, LaAlO, LaAlN, LaAlON, LaO, LaN, LaON, HfSiO, and HfSiON. The relative permittivity thereof is in a range from 3.9 to 100, and the fixed charge density is in a range from 0 to 1×1011 cm−2. The film thickness of the gate insulation layer is set to a range from 0.5 to 5.0 nm.
  • The term “fixed charge” is also referred to as “fixed oxide film charge”, meaning the charge existing in SiO2 film and being fixed therein, not migrating in electric field or the like. The fixed oxide film charge appears caused by a structural defect in the oxide film, and depends on the formed state of the oxide film or the heat treatment thereof. Normally there exists a positive fixed charge in the vicinity of Si—SiO2 interface originated from a dangling bond of Si in silicon. The fixed oxide film charge makes the C-V characteristic of MOS structure shift in parallel along the gate voltage axis. The fixed charge density is determined by the C-V method.
  • As the gate electrode 94 of MOS-FET in FIG. 19, there are applied: metal such as Ti, Al, TiN, TaN, and W; polysilicon (B(boron)-dope: p-Type or P(phosphorus)-dope: n-Type); and Ni-FUSI (fully silicide).
  • The semiconductor/insulation film joint, which was prepared by the method of the present invention, that is, by the method of treating the surface of a Si substrate having native oxide film formed thereon, growing the Si single crystal film without exposing thereof to atmospheric air, sputtering for forming a dielectric film such as Hf without exposing the substrate to atmospheric air, and oxidizing and nitrifying thereof, gives smaller fixed charge and lower interface state than those of the joint prepared in the atmospheric transfer. Therefore, the joint gives a C-V curve with small hysteresis as shown in FIG. 16, with small leak current, thereby providing good device characteristics. The term “interface state” signifies the energy level of electron being appeared on interface of joint of different kinds of semiconductors and on interface of joint between a semiconductor and a metal or an insulation material. Since the semiconductor face on the interface becomes a condition of breaking bond between atoms, there appears a non-bonding condition called the dangling bond, thus creating an energy level to allow entrapping the charge. Also impurity or defect on the interface creates an energy level allowing entrapping the charge, or an interface state. Generally the interface state shows a long response time and is instable, thus often adversely affects the device characteristics. Lower interface state means better interface. The interface state density is determined by the C-V method.
  • As illustrated in FIG. 1, the film-forming apparatus of the present invention uses the configuration having each one of: the surface treatment unit 100, the CVD film-forming unit 20, the dielectric-electrode sputtering unit 30, the oxidation-nitrification unit 40, the load-lock chamber 50, and the transfer chamber 60. However, the quantity of each of those units is not necessarily one, and more than one unit for there each can be applied depending on the throughput, the film structure, and the like. For example, to increase the throughput, the load-lock chamber may be substituted by a plurality of load-lock chambers allotting the functions of loading and unloading to each one. Furthermore, for example, the sputtering unit 30 may be substituted by two or more sputtering units allotting the functions of forming the dielectric film and forming the electrode to each one.
  • However, for effective use of the substrate treatment method which allows conducting the dry substrate surface treatment while keeping flat surface according to the present invention, it is preferable to have at least one unit for each of the surface treatment unit 100, the CVD film-forming unit 20, the load-lock chamber 50, and the transfer chamber 60. With this configuration, the presence of load-lock chamber makes the dry substrate surface treatment possible at high throughput in a stable evacuated atmosphere, and the film-forming by transferring the substrate to the CVD film-forming unit via the transfer chamber in a vacuum without exposing the substrate to atmospheric air allows keeping good condition of interface between the Si substrate surface and the CVD film-formed Si/SiGe layer.
  • In addition, to effectively use the substrate treatment method which allows treating the dry substrate surface while keeping flat surface according to the present invention, it is preferable to have at least one unit for each of the surface treatment unit 100, the dielectric-electrode sputtering unit 30, the load-lock chamber 50, and the transfer chamber 60. With this configuration, the presence of load-lock chamber makes the dry substrate surface treatment possible at high throughput in a stable evacuated atmosphere, and the film-forming by transferring the substrate to the dielectric-electrode sputtering unit 30 via the transfer chamber in a vacuum without exposing the substrate to atmospheric air allows keeping good condition of interface between the Si substrate surface and the dielectric film or conductive film as the base of the insulation film prepared by sputtering on the Si substrate surface.
  • Although the example does not give the detail of the CVD film-forming unit 20 in the drawing, any type of epitaxial film-forming unit is applicable if only the unit is provided with a chamber, a substrate-heating mechanism for heating both the substrate holder for holding the substrate and the substrate held thereto, a gas-feed mechanism for supplying a gas containing the raw material gas to conduct the CVD film-formation, and an exhaust means for discharging the chamber atmosphere.
  • Similarly, the detail of the sputtering unit 30 is not given in the drawing. The sputtering unit 30 may be, however, any type if only the unit has a chamber, a substrate holder for holding the substrate, a mechanism for feeding the gas into the chamber, an exhaust means for discharging the chamber atmosphere, a sputtering cathode for mounting the target made of dielectric or conductive metal, and a high frequency power supply mechanism or a direct current power supply mechanism.
  • The quantity of the sputtering cathode for mounting the target made of dielectric or conductive metal, (not shown), in the sputtering unit 30 is not necessarily one, and a plurality of sputtering cathodes may be applied for forming a plurality of continuous or discontinuous films and for mounting a plurality of targets thereon. From the point of uniformity of the thickness distribution of the formed film, the substrate holder is preferably provided with a rotary mechanism to rotate the mounted substrate. For allowing film-forming by reactive sputtering, the gas-feed mechanism of the sputtering unit 30 preferably feeds not only inert gas such as Ar but also a reactive gas such as N2 and O2, or a mixture of reactive gas with Ar gas.

Claims (14)

1. A substrate cleaning apparatus comprising a plasma-forming chamber, a substrate-cleaning treatment chamber containing a substrate holder, and a plasma-confinement electrode plate partitioning the plasma-forming chamber from the substrate-cleaning treatment chamber, wherein:
the plasma-forming chamber has therein a high-frequency applying electrode in a plate-shape extending in the lateral direction of the plasma-forming chamber in parallel with the plasma-confinement electrode, the high-frequency applying electrode has a plurality of through-holes formed, which penetrate from the front face to the rear face thereof, and a ratio of V2/V1 is set in a range from 0.01 to 0.8, where V1 is the total volume of the high-frequency applying electrode including the through-holes and V2 is the total volume of the through-holes;
the plasma-confinement electrode has a plurality of radical feed holes formed on the face thereof for communicating the plasma-forming chamber with the substrate-cleaning treatment chamber;
the plasma-confinement electrode further has a plurality of treatment gas feed holes formed on the face thereof and apposed to at least a part of the radical feed holes; and
the plasma-confinement electrode is arranged so as to face a substrate-supporting face of the substrate holder, and is electrically grounded, the radical feed holes are arranged so as to allow a neutral radical in the plasma generated in the plasma-forming chamber to pass therethrough into the substrate-cleaning treatment chamber, while preventing charged particles such as ions in the plasma generated in the plasma-forming chamber from passing therethrough, the treatment gas is fed into the substrate-cleaning treatment chamber via the treatment gas feed holes, and both the radical and the treatment gas are fed from the face of the plasma-confinement electrode toward the face of the substrate placed on the substrate holder.
2. A substrate cleaning apparatus according to claim 1, wherein the plasma-confinement electrode has a treatment gas feed passage which transversely passes through the plasma-confinement electrode in a direction partitioning the plasma-forming chamber from the substrate-cleaning treatment chamber, each of the plurality of treatment gas feed holes is connected with the treatment gas feed passage, and the treatment gas supplied to the treatment gas feed passage is fed into the substrate-cleaning treatment chamber via the treatment gas feed holes.
3. A substrate cleaning method of cleaning an oxide film on the surface of a semiconductor substrate placed in a substrate-cleaning treatment chamber, the method comprising the steps of:
exciting a plasma-forming gas containing HF to generate plasma in a plasma-forming chamber;
selectively feeding a radical in the plasma from the plasma-forming chamber to the treatment chamber via a plurality of plasma feed holes formed distributedly on the face of a plasma-confinement electrode partitioning the plasma-forming chamber from the substrate-cleaning treatment chamber;
feeding a treatment gas containing unexcited HF into the treatment chamber; and
conducting cleaning treatment of the oxide film on the surface of the semiconductor substrate by the mixed atmosphere of the radical and the treatment gas, fed into the treatment chamber, wherein
the treatment gas is fed into the substrate-cleaning treatment chamber from the face of the plasma-confinement electrode, in almost parallel with the radical feeding, via a plurality of treatment gas feed holes formed distributedly on the face of the plasma-confinement electrode and apposed to at least a part of the radical holes, and the HF fraction to the total gas flow rate of the plasma-forming gas is in a range from 0.2 to 1.0.
4. A substrate cleaning method according to claim 3, wherein the semiconductor substrate is a Si substrate, and the Si substrate is cleaned by etching to remove the oxide film on the Si substrate.
5. A method of forming a gate insulation film in a MOS structure, comprising the steps of:
cleaning the surface of a Si substrate by the method of claim 4;
moving the surface-cleaned Si substrate to an epitaxial chamber without exposing the Si substrate to atmospheric air to form an epitaxial layer on the surface-cleaned Si substrate in the epitaxial chamber;
moving the Si substrate having the epitaxial layer formed thereon to a sputtering chamber without exposing the Si substrate to atmospheric air to form a dielectric film by sputtering on the epitaxial layer; and
moving the Si substrate having the dielectric film formed thereon to an oxidation-nitrification chamber without exposing the Si substrate to atmospheric air to form the gate insulation film by oxidizing, nitrifying or oxynitrifying the dielectric film.
6. A method of claim 5, wherein the dielectric film is made of the one selected from the group consisting of Hf, La, Ta, Al, W, Ti, Si and Ge, or an alloy thereof.
7. A method of cleaning a substrate surface in a substrate cleaning apparatus including a plasma-forming chamber, a substrate-cleaning treatment chamber, and a plasma-confinement electrode plate partitioning the plasma-forming chamber from the substrate-cleaning treatment chamber, the method comprising the steps of:
placing a plate-shape substrate facing the face of the plasma-confinement electrode plate in the substrate-cleaning treatment chamber;
feeding a neutral radical in the plasma generated in the plasma-forming chamber from the plasma chamber to the substrate-cleaning treatment chamber via a plurality of radical feed holes distributedly formed on the face of the electrically grounded plasma-confinement electrode plate for communicating the plasma-forming chamber with the substrate-cleaning treatment chamber, while preventing charged particles such as ions in the plasma from passing therethrough; and
feeding an unexcited treatment gas into the substrate-cleaning treatment chamber, wherein
a high-frequency applying electrode in a plate-shape extending in a lateral direction in the plasma-forming chamber applies high frequency power with a high-frequency power density ranging from 0.001 to 0.25 W/cm2 to the plasma-forming chamber, the high-frequency applying electrode has a plurality of through-holes formed penetrating from the front face to the rear face thereof, and a ratio of V2/V1 is set in a range from 0.01 to 0.8 (where V1 is the total volume of the high-frequency applying electrode including the through-holes, and V2 is the total volume of the through-holes); and
the feed of the treatment gas to the substrate-cleaning treatment chamber is done, in parallel with the radical feeding, through a plurality of treatment gas feed holes distributedly formed on the face of the plasma-confinement electrode so as to face the face of the substrate, apposed to at least a part of the radical feed holes.
8. A method of cleaning a substrate surface according to claim 7, wherein an oxide film on the substrate surface is cleaned.
9. A method of cleaning an oxide film on a substrate surface according to claim 8, which is a method of cleaning an oxide film on the surface of a group IV semiconductor material substrate, wherein plasma is generated by feeding a plasma-forming gas containing HF to the plasma-forming chamber, and the treatment gas contains HF.
10. A method of cleaning an oxide film on a substrate surface according to claim 9, wherein the HF fraction to the total gas flow rate of the plasma-forming gas is in a range from 0.2 to 1.0.
11. A method of cleaning a substrate surface according to claim 10, wherein the treatment gas is sole HF.
12. A method of cleaning an oxide film on a substrate surface according to claim 7, wherein the plasma gas is fed to the plasma-forming chamber via a shower plate, the shower plate has an inner face facing the high-frequency applying electrode, and the plasma-forming gas is fed to the plasma-forming chamber via a plurality of plasma-forming gas feed holes distributedly formed on the inner face of the shower plate.
13. A method of forming a gate insulation film in a MOS structure, comprising the steps of:
cleaning an oxide film on the surface of a Si substrate by the method of claim 9;
moving the surface-cleaned Si substrate to an epitaxial chamber without exposing the Si substrate to atmospheric air to form an epitaxial layer on the surface-cleaned Si substrate;
moving the Si substrate having the epitaxial layer formed thereon to a sputtering chamber without exposing the Si substrate to atmospheric air to form a dielectric film on the epitaxial layer by sputtering; and
moving the Si substrate having the dielectric film formed thereon to an oxidation-nitrification chamber without exposing the Si substrate to atmospheric air to form the gate insulation film by oxidizing, nitrifying or oxnitrifying the dielectric film.
14. A method of forming a gate insulation film according to claim 13, wherein the dielectric film is made of the one selected from the group consisting of Hf, La, Ta, Al, W, Ti, Si and Ge, or an alloy thereof.
US12/765,922 2007-11-02 2010-04-23 Substrate cleaning method for removing oxide film Abandoned US20100255667A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/161,892 US10083830B2 (en) 2007-11-02 2016-05-23 Substrate cleaning method for removing oxide film

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
PCT/JP2007/071393 WO2009057223A1 (en) 2007-11-02 2007-11-02 Surface treating apparatus and method for substrate treatment
JPPCT/JP2007/071393 2007-11-02
PCT/JP2008/067016 WO2009057395A1 (en) 2007-11-02 2008-09-19 Substrate cleaning method for removing oxide film

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2008/067016 Continuation WO2009057395A1 (en) 2007-11-02 2008-09-19 Substrate cleaning method for removing oxide film

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/161,892 Division US10083830B2 (en) 2007-11-02 2016-05-23 Substrate cleaning method for removing oxide film

Publications (1)

Publication Number Publication Date
US20100255667A1 true US20100255667A1 (en) 2010-10-07

Family

ID=40590632

Family Applications (3)

Application Number Title Priority Date Filing Date
US12/764,242 Abandoned US20100221895A1 (en) 2007-11-02 2010-04-21 Surface treatment apparatus and surface treatment method
US12/765,922 Abandoned US20100255667A1 (en) 2007-11-02 2010-04-23 Substrate cleaning method for removing oxide film
US15/161,892 Active 2029-01-21 US10083830B2 (en) 2007-11-02 2016-05-23 Substrate cleaning method for removing oxide film

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US12/764,242 Abandoned US20100221895A1 (en) 2007-11-02 2010-04-21 Surface treatment apparatus and surface treatment method

Family Applications After (1)

Application Number Title Priority Date Filing Date
US15/161,892 Active 2029-01-21 US10083830B2 (en) 2007-11-02 2016-05-23 Substrate cleaning method for removing oxide film

Country Status (5)

Country Link
US (3) US20100221895A1 (en)
JP (1) JP5006938B2 (en)
KR (1) KR101503412B1 (en)
CN (2) CN101971298A (en)
WO (2) WO2009057223A1 (en)

Cited By (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160276140A1 (en) * 2013-10-24 2016-09-22 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US20180082835A1 (en) * 2016-09-16 2018-03-22 Applied Materials, Inc. Uv radiation system and method for arsenic outgassing control in sub 7nm cmos fabrication
US20180096819A1 (en) * 2016-10-04 2018-04-05 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US10472714B2 (en) 2013-05-31 2019-11-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10580690B2 (en) 2016-11-23 2020-03-03 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11049716B2 (en) 2015-04-21 2021-06-29 Lam Research Corporation Gap fill using carbon-based films
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11264234B2 (en) 2012-06-12 2022-03-01 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102310063A (en) * 2010-06-29 2012-01-11 中国科学院微电子研究所 Cleaning system for honeycomb-shaped plasma free radicals
JP5955062B2 (en) * 2011-04-25 2016-07-20 東京エレクトロン株式会社 Plasma processing equipment
CN203237432U (en) * 2012-12-24 2013-10-16 鸿准精密模具(昆山)有限公司 Film pasting mechanism
CN103681244B (en) * 2013-12-25 2016-09-14 深圳市华星光电技术有限公司 The preparation method of low-temperature polysilicon film and manufacturing system thereof
KR101550526B1 (en) * 2014-02-21 2015-09-04 에스티에스반도체통신 주식회사 Cluster type semiconductor processing apparatus and method for manufacturing semiconductor device using the same
CN105097423B (en) * 2014-05-12 2018-09-18 中芯国际集成电路制造(上海)有限公司 Plasma reactor and the method for removing plasma reaction chamber particle contamination
JP2016066641A (en) * 2014-09-22 2016-04-28 株式会社東芝 Semiconductor device and method of manufacturing the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
JP6564642B2 (en) * 2015-07-23 2019-08-21 東京エレクトロン株式会社 Substrate transfer chamber, substrate processing system, and gas replacement method in substrate transfer chamber
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
KR20180096853A (en) * 2017-02-20 2018-08-30 삼성디스플레이 주식회사 Thin film deposition apparatus
CN108668422B (en) * 2017-03-30 2021-06-08 北京北方华创微电子装备有限公司 Plasma generating chamber and plasma processing device
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
JP6902941B2 (en) * 2017-06-29 2021-07-14 東京エレクトロン株式会社 Plasma processing method and plasma processing equipment
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
CN110832632A (en) * 2017-07-10 2020-02-21 东京毅力科创株式会社 Substrate transfer apparatus and substrate transfer method
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US20190093214A1 (en) * 2017-09-22 2019-03-28 Applied Materials, Inc. Native or uncontrolled oxide reduction by a cyclic process of plasma treatment and h* radicals
JP2019075517A (en) * 2017-10-19 2019-05-16 東京エレクトロン株式会社 Processing device and member having diffusion path
JP6556822B2 (en) * 2017-12-26 2019-08-07 キヤノントッキ株式会社 Substrate processing method, substrate processing apparatus, and film forming apparatus
CN108346561B (en) * 2018-02-09 2020-12-22 信利(惠州)智能显示有限公司 Method and system for processing polysilicon layer before forming gate insulating layer
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (en) 2018-02-28 2022-06-01 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11355620B2 (en) * 2018-10-31 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US11217672B2 (en) * 2019-08-30 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a source/drain
CN110993467B (en) * 2019-12-10 2022-07-01 南京三乐集团有限公司 Duplex surface treatment method of cathode for microwave vacuum electronic device
CN112692463A (en) * 2021-03-25 2021-04-23 快克智能装备股份有限公司 Electronic assembly welding process

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5336326A (en) * 1990-09-14 1994-08-09 Balzers Aktiengesellschaft Method of and apparatus for a direct voltage arc discharge enhanced reactive treatment of objects
JPH06236850A (en) * 1993-02-10 1994-08-23 Sony Corp Plasma processing apparatus
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US20010003014A1 (en) * 1999-12-07 2001-06-07 Nec Corporation Plasma CVD apparatus and plasma CVD method
US6313042B1 (en) * 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US20010042512A1 (en) * 1998-02-26 2001-11-22 Ge Xu CVD apparatus
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US20020129902A1 (en) * 1999-05-14 2002-09-19 Babayan Steven E. Low-temperature compatible wide-pressure-range plasma flow device
US6663715B1 (en) * 1999-11-10 2003-12-16 Nec Corporation Plasma CVD apparatus for large area CVD film
US6713402B2 (en) * 2002-05-31 2004-03-30 Texas Instruments Incorporated Methods for polymer removal following etch-stop layer etch
US20050211171A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US20060021701A1 (en) * 2004-07-29 2006-02-02 Asm Japan K.K. Dual-chamber plasma processing apparatus
US20060157079A1 (en) * 2001-01-08 2006-07-20 Kim Jeong-Ho Method for cleaning substrate surface
US20080038484A1 (en) * 2004-04-14 2008-02-14 Alcott Gregory R Coatings, and Methods and Devices for the Manufacture Thereof
US20080044589A1 (en) * 1999-09-28 2008-02-21 Anelva Corporation CVD system and substrate cleaning method

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6066823A (en) * 1983-09-22 1985-04-17 Semiconductor Energy Lab Co Ltd Etching method of semiconductor
US5089441A (en) * 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
JPH0496226A (en) 1990-08-03 1992-03-27 Fujitsu Ltd Manufacture of semiconductor device
JPH06120181A (en) * 1992-10-05 1994-04-28 Hitachi Ltd Method and apparatus of manufacturing semiconductor
JP2804700B2 (en) * 1993-03-31 1998-09-30 富士通株式会社 Semiconductor device manufacturing apparatus and semiconductor device manufacturing method
JPH07307332A (en) * 1994-05-10 1995-11-21 Nippon Telegr & Teleph Corp <Ntt> Surface cleaning method and thin film forming method
JPH10147877A (en) 1996-11-19 1998-06-02 Kokusai Electric Co Ltd Gas cleaning method
JP2950785B2 (en) * 1996-12-09 1999-09-20 セントラル硝子株式会社 Dry etching method for oxide film
JP3317935B2 (en) 1999-09-01 2002-08-26 九州日本電気株式会社 Plasma processing equipment
US8173783B2 (en) 2000-12-08 2012-05-08 Good Biotech Corporation Process for selectively isolating IgY antibodies from egg yolk of an anseriform bird and IgY antibodies obtained thereby
JP4016598B2 (en) 2001-01-16 2007-12-05 株式会社日立製作所 Manufacturing method of semiconductor device
JP3989286B2 (en) * 2002-04-26 2007-10-10 株式会社ルネサステクノロジ Manufacturing method of semiconductor device
JP3991805B2 (en) * 2002-07-25 2007-10-17 株式会社日立製作所 Dry cleaning apparatus and dry cleaning method
JP3997859B2 (en) * 2002-07-25 2007-10-24 株式会社日立製作所 Semiconductor device manufacturing method and manufacturing apparatus
JP2004128281A (en) * 2002-10-03 2004-04-22 Tokyo Electron Ltd Substrate treatment method and apparatus thereof
US6921703B2 (en) * 2003-05-13 2005-07-26 Texas Instruments Incorporated System and method for mitigating oxide growth in a gate dielectric
JP4115337B2 (en) 2003-05-30 2008-07-09 俊夫 後藤 Plasma processing equipment
JP2005064120A (en) 2003-08-08 2005-03-10 Shibaura Mechatronics Corp Apparatus and method for plasma treatment
JP2006049544A (en) * 2004-08-04 2006-02-16 Canon Anelva Corp Substrate processing apparatus and substrate processing method using same
US7872285B2 (en) * 2005-03-04 2011-01-18 Sumitomo Electric Industries, Ltd. Vertical gallium nitride semiconductor device and epitaxial substrate
US7432201B2 (en) * 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
JP2007273752A (en) * 2006-03-31 2007-10-18 Mitsui Eng & Shipbuild Co Ltd Plasma treatment apparatus, and plasma generating apparatus
JP2008072029A (en) 2006-09-15 2008-03-27 Sumitomo Chemical Co Ltd Manufacturing method of semiconductor epitaxial crystal substrate
JP2008112750A (en) 2006-10-27 2008-05-15 Furukawa Electric Co Ltd:The Manufacturing method of semiconductor element

Patent Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5336326A (en) * 1990-09-14 1994-08-09 Balzers Aktiengesellschaft Method of and apparatus for a direct voltage arc discharge enhanced reactive treatment of objects
JPH06236850A (en) * 1993-02-10 1994-08-23 Sony Corp Plasma processing apparatus
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6693030B1 (en) * 1997-12-30 2004-02-17 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US20010042512A1 (en) * 1998-02-26 2001-11-22 Ge Xu CVD apparatus
US20020129902A1 (en) * 1999-05-14 2002-09-19 Babayan Steven E. Low-temperature compatible wide-pressure-range plasma flow device
US6313042B1 (en) * 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US20080305275A1 (en) * 1999-09-28 2008-12-11 Canon Anelva Corporation CVD system and substrate cleaning method
US20080044589A1 (en) * 1999-09-28 2008-02-21 Anelva Corporation CVD system and substrate cleaning method
US6663715B1 (en) * 1999-11-10 2003-12-16 Nec Corporation Plasma CVD apparatus for large area CVD film
US20010003014A1 (en) * 1999-12-07 2001-06-07 Nec Corporation Plasma CVD apparatus and plasma CVD method
US20060157079A1 (en) * 2001-01-08 2006-07-20 Kim Jeong-Ho Method for cleaning substrate surface
US20040194799A1 (en) * 2001-01-08 2004-10-07 Kim Jeong-Ho Apparatus and method for surface cleaning using plasma
US7111629B2 (en) * 2001-01-08 2006-09-26 Apl Co., Ltd. Method for cleaning substrate surface
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6713402B2 (en) * 2002-05-31 2004-03-30 Texas Instruments Incorporated Methods for polymer removal following etch-stop layer etch
US20050211171A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US20080038484A1 (en) * 2004-04-14 2008-02-14 Alcott Gregory R Coatings, and Methods and Devices for the Manufacture Thereof
US20060021701A1 (en) * 2004-07-29 2006-02-02 Asm Japan K.K. Dual-chamber plasma processing apparatus

Cited By (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US11264234B2 (en) 2012-06-12 2022-03-01 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11680315B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US11680314B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US11708634B2 (en) 2013-05-31 2023-07-25 Novellus Systems, Inc. Films of desired composition and film properties
US11732350B2 (en) 2013-05-31 2023-08-22 Novellus Systems, Inc. Films of desired composition and film properties
US10472714B2 (en) 2013-05-31 2019-11-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US20160276140A1 (en) * 2013-10-24 2016-09-22 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11049716B2 (en) 2015-04-21 2021-06-29 Lam Research Corporation Gap fill using carbon-based films
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10332739B2 (en) * 2016-09-16 2019-06-25 Applied Materials, Inc. UV radiation system and method for arsenic outgassing control in sub 7nm CMOS fabrication
US20180082835A1 (en) * 2016-09-16 2018-03-22 Applied Materials, Inc. Uv radiation system and method for arsenic outgassing control in sub 7nm cmos fabrication
US11049698B2 (en) * 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US20180096819A1 (en) * 2016-10-04 2018-04-05 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10580690B2 (en) 2016-11-23 2020-03-03 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US11501955B2 (en) * 2018-04-20 2022-11-15 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US20210183621A1 (en) * 2018-04-20 2021-06-17 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Also Published As

Publication number Publication date
US20100221895A1 (en) 2010-09-02
JP5006938B2 (en) 2012-08-22
US10083830B2 (en) 2018-09-25
US20160343565A1 (en) 2016-11-24
WO2009057395A1 (en) 2009-05-07
WO2009057223A1 (en) 2009-05-07
JPWO2009057223A1 (en) 2011-03-10
CN101919030A (en) 2010-12-15
CN101971298A (en) 2011-02-09
KR101503412B1 (en) 2015-03-17
KR20100033328A (en) 2010-03-29
CN101919030B (en) 2012-07-04

Similar Documents

Publication Publication Date Title
US10083830B2 (en) Substrate cleaning method for removing oxide film
US10312055B2 (en) Method of depositing film by PEALD using negative bias
US9263278B2 (en) Dopant etch selectivity control
EP0536664B1 (en) A method for forming a thin film
US20140060572A1 (en) Plasma processing apparatus and cleaning method for removing metal oxide film
JP4914902B2 (en) Method and apparatus for forming silicide
WO2014074461A1 (en) Dry etch process
KR102405729B1 (en) Geometric Selective Deposition of Dielectric Films Using Low Frequency Bias
US8835296B2 (en) Electronic component manufacturing method including step of embedding metal film
JP2010093290A (en) Method of cleaning substrate to remove oxide film
KR101240818B1 (en) Method of plasma treatment and plasma treatment apparatus
JPH11168090A (en) Semiconductor manufacturing method
JP2010074065A (en) Substrate cleaning method for removing oxide film
US20080093212A1 (en) By-product collecting processes for cleaning processes
US20220139719A1 (en) Etching method and plasma processing apparatus
TWI509094B (en) Electronic component manufacturing method including step of embedding metal film
US9437702B2 (en) Electronic component manufacturing method and electrode structure
US20140065798A1 (en) METHOD AND APPARATUS FOR FORMING GATE STACK ON Si, SiGe or Ge CHANNELS
US11827981B2 (en) Method of depositing material on stepped structure
JP2006237640A (en) Manufacturing method for semiconductor
JP2004186229A (en) Chemical vapor growth device
JPH08144059A (en) Continuous film forming device and continuous film formation
JPH0845919A (en) Plasma assist dielectric layer forming method

Legal Events

Date Code Title Description
AS Assignment

Owner name: CANON ANELVA CORPORATION, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SEINO, TAKUYA;IKEMOTO, MANABU;MASHIMO, KIMIKO;REEL/FRAME:024557/0001

Effective date: 20100428

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION