US20100000681A1 - Phase change based heating element system and method - Google Patents

Phase change based heating element system and method Download PDF

Info

Publication number
US20100000681A1
US20100000681A1 US12/511,231 US51123109A US2010000681A1 US 20100000681 A1 US20100000681 A1 US 20100000681A1 US 51123109 A US51123109 A US 51123109A US 2010000681 A1 US2010000681 A1 US 2010000681A1
Authority
US
United States
Prior art keywords
temperature
pressure
controlled
fluid
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/511,231
Inventor
Ronald T. Bertram
Joseph T Hillman
Maximilian A Biberger
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Supercritical Systems Inc
Original Assignee
Supercritical Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Supercritical Systems Inc filed Critical Supercritical Systems Inc
Priority to US12/511,231 priority Critical patent/US20100000681A1/en
Assigned to SUPERCRITICAL SYSTEMS INC. reassignment SUPERCRITICAL SYSTEMS INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BERTRAM, RONALD T., BIBERGER, MAXIMILAN A., HILLMAN, JOSEPH T.
Assigned to TOYKO ELECTRON LIMITED reassignment TOYKO ELECTRON LIMITED CONFIRMATORY LICENSE (SEE DOCUMENT FOR DETAILS). Assignors: SUPERCRITICAL SYSTEMS, INC.
Publication of US20100000681A1 publication Critical patent/US20100000681A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02101Cleaning only involving supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only

Definitions

  • This invention relates to the field of particle prevention techniques in cleaning silicon wafers. More particularly, the present invention relates to the field of reducing substrate material contaminants during supercritical carbon dioxide processes.
  • Carbon Dioxide is an environmentally friendly, naturally abundant, non-polar molecule. Being non-polar, CO 2 has the capacity to dissolve in and dissolve a variety of non-polar materials or contaminates. The degree to which the contaminants found in non-polar CO 2 are soluble is dependant on the physical state of the CO 2 .
  • the four phases of CO 2 are solid, liquid, gas, and supercritical. These states are differentiated by appropriate combinations of specific pressures and temperatures.
  • CO 2 in a supercritical state sc-CO 2
  • sc-CO 2 is neither liquid nor gas but embodies properties of both.
  • sc-CO 2 lacks any meaningful surface tension while interacting with solid surfaces, and hence, can readily penetrate high aspect ratio geometrical features more readily than liquid CO 2 .
  • the sc-CO 2 can easily dissolve large quantities of many other chemicals. It has been shown that as the temperature and pressure are increased into the supercritical phase, the solubility of CO 2 also increases. This increase in solubility has lead to the development of sc-CO 2 cleaning, extractions, and degreasing.
  • Supercritical fluids have been used to remove residue from surfaces or extract contaminants from various materials.
  • U.S. Pat. No. 6,367,491 to Marshall, et al. entitled “Apparatus for Contaminant Removal Using Natural Convection Flow and Changes in Solubility Concentration by Temperature,” issued Apr. 9, 2002
  • supercritical and near-supercritical fluids have been used as solvents to clean contaminants from articles; citing, NASA Tech Brief MFS-29611 (December 1990), describing the use of supercritical carbon dioxide as an alternative for hydrocarbon solvents conventionally used for washing organic and inorganic contaminants from the surfaces of metal parts.
  • Supercritical fluids have been employed in the cleaning of semiconductor wafers.
  • an approach to using supercritical carbon dioxide to remove exposed organic photoresist film is disclosed in U.S. Pat. No. 4,944,837 to Nishikawa, et al., entitled “Method of Processing an Article in a Supercritical Atmosphere,” issued Jul. 31, 1990.
  • a method of and apparatus for pre-processing carbon dioxide using a pre-injection assembly coupled to a processing chamber operating at a supercritical state is disclosed.
  • the supercritical state is defined by both a temperature and a pressure.
  • the method comprises the steps of providing supercritical carbon dioxide to a preinjection region within the pre-injection assembly; isolating the preinjection region; and maintaining the preinjection region at a supercritical temperature and pressure.
  • the supercritical temperature and pressure of the preinjection region is maintained by adding a heating element to the assembly.
  • the heating element can comprise a heater blanket and/or heat tape.
  • the heat element includes temperature controllers or built-in preset thermostats to prevent overheating.
  • the pre-injection assembly can comprise a discharge means for discharging particles from the preinjection region.
  • FIG. 1 shows an exemplary block diagram of a processing system in accordance with embodiments of the invention
  • FIG. 2 illustrates a simplified block diagram of a pre-injection assembly in accordance with an embodiment of the invention
  • FIG. 3 illustrates an exemplary graph of pressure versus time for supercritical processes in accordance with an embodiment of the invention.
  • FIG. 4 illustrates a flow diagram of a method for operating a pre-injection assembly in accordance with an embodiment of the invention.
  • Embodiments of the present invention disclose a pre-injection assembly that enables the injection of a temperature-controlled high-pressure processing fluid/solution into a closed loop environment.
  • the closed loop environment is preferably under high pressure.
  • the high-pressure system can exceed 3,000 psi.
  • FIG. 1 shows an exemplary block diagram of a processing system in accordance with an embodiment of the invention.
  • processing system 100 comprises a process module 110 , a recirculation system 120 , a process chemistry supply system 130 , a high-pressure fluid supply system 140 , an exhaust control system 150 , a pressure control system 160 , a pre-injection assembly 170 , and a controller 180 .
  • the processing system 100 can operate at pressures that can range from 1000 psi. to 10,000 psi.
  • the processing system 100 can operate at temperatures that can range from 40 to 300 degrees Celsius.
  • the controller 180 can be coupled to the process module 110 , the recirculation system 120 , the process chemistry supply system 130 , the high-pressure fluid supply system 140 , the exhaust control system 150 , the pressure control system 160 , and the pre-injection assembly 170 . Alternately, controller 180 can be coupled to one or more additional controllers/computers (not shown), and controller 180 can obtain setup, configuration, and/or recipe information from an additional controller/computer.
  • singular processing elements 110 , 120 , 130 , 140 , 150 , 160 , 170 , and 180 .
  • the semiconductor processing system 100 can comprise any number of processing elements having any number of controllers associated with them in addition to independent processing elements.
  • the controller 180 can be used to configure any number of processing elements ( 110 , 120 , 130 , 140 , 150 , 160 , and 170 ), and the controller 180 can collect, provide, process, store, and display data from processing elements.
  • the controller 180 can comprise a number of applications for controlling one or more of the processing elements.
  • controller 180 can include a GUI component (not shown) that can provide easy to use interfaces that enable a user to monitor and/or control one or more processing elements.
  • the process module 110 can include an upper assembly 112 and a lower assembly 116 , and the upper assembly 112 can be coupled to the lower assembly 116 .
  • a frame and or injection ring can be included and can be coupled to an upper assembly and a lower assembly.
  • the upper assembly 112 can comprise a heater (not shown) for heating the process chamber, the substrate, or the processing fluid, or a combination of two or more thereof. Alternately, a heater is not required in the upper assembly 112 .
  • the lower assembly 116 can comprise a heater (not shown) for heating the process chamber, the substrate, or the processing fluid, or a combination of two or more thereof.
  • the process module 110 can include means for flowing a processing fluid through the processing chamber 108 .
  • a circular flow pattern can be established, and in another example, a substantially linear flow pattern can be established.
  • the means for flowing can be configured differently.
  • the lower assembly 116 can comprise one or more lifters (not shown) for moving the chuck 118 and/or the substrate 105 . Alternately, a lifter is not required.
  • the process module 110 can include a holder or chuck 118 for supporting and holding the substrate 105 while processing the substrate 105 .
  • the holder or chuck 118 can also be configured to heat or cool the substrate 105 before, during, and/or after processing the substrate 105 .
  • the process module 110 can include a platen for supporting and holding the substrate 105 while processing the substrate 105 .
  • a transfer system (not shown) can be used to move a substrate into and out of the processing chamber 108 through a slot (not shown).
  • the slot can be opened and closed by moving the chuck, and in another example, the slot can be controlled using a gate valve.
  • the substrate can include semiconductor material, metallic material, dielectric material, ceramic material, or polymer material, or a combination of two or more thereof.
  • the semiconductor material can include Si, Ge, Si/Ge, or GaAs.
  • the metallic material can include Cu, Al, Ni, Pb, Ti, Ta, or W, or combinations of two or more thereof.
  • the dielectric material can include Si, O, N, H, P, or C, or combinations of two or more thereof.
  • the ceramic material can include Al, N, Si, C, or O, or combinations of two or more thereof.
  • processing system 100 can further comprise temperature controlled process tubing ( 121 , 125 and 171 ) for coupling the process module 110 to the recirculation system 120 , and a recirculation loop 115 can be configured that includes a portion of the recirculation system, a portion of the process module 110 , temperature controlled process tubing 121 , and temperature controlled process tubing 125 .
  • the temperature-controlled process tubing ( 121 , 125 , and 171 ) can operate at temperatures that can range from 40 to 300 degrees Celsius and pressures that can range from 1000 psi. to 10,000 psi.
  • the recirculation loop 115 comprises a volume of approximately one liter. In alternate embodiments, the volume of the recirculation loop 115 can vary from approximately 0.5 liters to approximately 2.5 liters.
  • processing system 100 can comprise temperature-controlled process tubing 171 coupling the pre-injection assembly 170 to the process module 110 .
  • temperature controlled process tubing may not be required.
  • the controller can be coupled to and used to control the temperature-controlled process tubing 171 .
  • the pre-injection assembly 170 can comprise means (not shown) for providing temperature-controlled fluid to the processing chamber 108 .
  • the pre-injection assembly 170 can comprise means (not shown) for providing temperature-controlled fluid to one or more elements in the recirculation loop 115 .
  • the pre-injection assembly 170 can comprise means (not shown) for providing temperature-controlled CO 2 .
  • the temperature-controlled process tubing ( 121 , 125 , and/or 171 ) can comprise a heater ( 122 , 126 , and 172 ) that can cover a substantial portion (approximately ninety percent) of the outside surface area of the process tubing.
  • the heater can include a high temperature tape heater, such as Thermolyne® silicone rubber-encapsulated heating tape from Sigma Aldrich.
  • the temperature-controlled process tubing ( 121 , 125 , and/or 171 ) can comprise an insulation layer ( 123 , 127 , and 173 ) that can cover a substantial portion (approximately ninety percent) of the outside surface area of the heater.
  • the insulation layer can include a high temperature insulation material, such as silicone foam from Quantum Silicones.
  • the heater and insulation layer can be configured using one or more pieces that can be easily replaced during a maintenance operation.
  • controller 180 can be coupled to and used to control the temperature-controlled process tubing 121 , the temperature-controlled process tubing 125 , and/or the temperature-controlled process tubing 171 .
  • the pre-injection assembly 170 can operate at temperatures that can range from 40 to 300 degrees Celsius and pressures that can range from 1000 psi. to 10,000 psi.
  • the flow rate from pre-injection assembly 170 can vary from approximately 0.01 liters/minute to approximately 100 liters/minute.
  • the recirculation system 120 can comprise one or more pumps (not shown) that can be used to regulate the flow of the supercritical processing solution through the processing chamber 108 and the other elements in the recirculation loop 115 .
  • the flow rate can vary from approximately 0.01 liters/minute to approximately 100 liters/minute.
  • the recirculation system 120 can comprise one or more valves (not shown) for regulating the flow of a supercritical processing solution through the recirculation loop 115 .
  • the recirculation system 120 can comprise any number of back-flow valves, filters, pumps, and/or heaters (not shown) for maintaining a supercritical processing solution and flowing the supercritical process solution through the recirculation system 120 and through the processing chamber 108 in the process module 110 .
  • Processing system 100 can comprise a process chemistry supply system 130 .
  • the process chemistry supply system is coupled to the recirculation system 120 using one or more lines 135 , but this is not required for the invention.
  • the process chemistry supply system can be configured differently and can be coupled to different elements in the processing system.
  • the process chemistry is introduced by the process chemistry supply system 130 into the fluid introduced by the high-pressure fluid supply system 140 at ratios that vary with the substrate properties, the chemistry being used, and the process being performed in the processing chamber 110 .
  • the ratio can vary from approximately 0.001 to approximately 15 percent by volume.
  • the process chemistry volumes can range from approximately ten micro liters to approximately one hundred fifty milliliters. In alternate embodiments, the volume and/or the ratio can be higher or lower.
  • the process chemistry supply system 130 can comprise a cleaning chemistry assembly (not shown) for providing cleaning chemistry for generating supercritical cleaning solutions within the processing chamber.
  • the cleaning chemistry can include peroxides and a fluoride source.
  • the peroxides can include hydrogen peroxide, benzoyl peroxide, or any other suitable peroxide
  • the fluoride sources can include fluoride salts (such as ammonium fluoride salts), hydrogen fluoride, fluoride adducts (such as organic-ammonium fluoride adducts) and combinations thereof.
  • the cleaning chemistry can include chelating agents, complexing agents, oxidants, organic acids, and inorganic acids that can be introduced into supercritical carbon dioxide with one or more carrier solvents, such as N,N-dimethylacetamide (DMAc), gamma-butyrolactone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC), N-methylpyrrolidone (NMP), dimethylpiperidone, propylene carbonate, and alcohols (such a methanol, ethanol and 1-propanol).
  • carrier solvents such as N,N-dimethylacetamide (DMAc), gamma-butyrolactone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC), N-methylpyrrolidone (NMP), dimethylpiperidone, propylene carbonate, and alcohols (such a methanol, ethanol and 1-propanol).
  • the cleaning chemistry can include solvents, co-solvents, surfactants, and/or other ingredients.
  • solvents, co-solvents, and surfactants are disclosed in co-owned U.S. Pat. No. 6,500,605, entitled “REMOVAL OF PHOTORESIST AND RESIDUE FROM SUBSTRATE USING SUPERCRITICAL CARBON DIOXIDE PROCESS”, issued Dec. 31, 2002, and U.S. Pat. No. 6,277,753, entitled “REMOVAL OF CMP RESIDUE FROM SEMICONDUCTORS USING SUPERCRITICAL CARBON DIOXIDE PROCESS”, issued Aug. 21, 2001, both are incorporated by reference herein.
  • the process chemistry supply system 130 can be configured to introduce N-methylpyrrolidone (NMP), diglycol amine, hydroxylamine, di-isopropyl amine, tri-isopropyl amine, tertiary amines, catechol, ammonium fluoride, ammonium bifluoride, methylacetoacetamide, ozone, propylene glycol monoethyl ether acetate, acetylacetone, dibasic esters, ethyl lactate, CHF 3 , BF 3 , HF, other fluorine containing chemicals, or any mixture thereof.
  • NMP N-methylpyrrolidone
  • diglycol amine diglycol amine
  • hydroxylamine hydroxylamine
  • di-isopropyl amine tri-isopropyl amine
  • tertiary amines catechol
  • ammonium fluoride ammonium bifluoride
  • methylacetoacetamide ozone
  • the organic solvents can include, for example, an alcohol, ether, and/or glycol, such as acetone, diacetone alcohol, dimethyl sulfoxide (DMSO), ethylene glycol, methanol, ethanol, propanol, or isopropanol (IPA).
  • an alcohol such as acetone, diacetone alcohol, dimethyl sulfoxide (DMSO), ethylene glycol, methanol, ethanol, propanol, or isopropanol (IPA).
  • DMSO dimethyl sulfoxide
  • IPA isopropanol
  • the process chemistry supply system 130 can be configured to introduce a peroxide during a cleaning and/or rinsing process.
  • the peroxide can be introduced with any one of the above process chemistries, or any mixture thereof.
  • the peroxide can include organic peroxides, or inorganic peroxides, or a combination thereof.
  • organic peroxides can include 2-butanone peroxide; 2,4-pentanedione peroxide; peracetic acid; t-butyl hydroperoxide; benzoyl peroxide; or m-chloroperbenzoic acid (mCPBA).
  • Other peroxides can include hydrogen peroxide.
  • the peroxide can include a diacyl peroxide, such as: decanoyl peroxide; lauroyl peroxide; succinic acid peroxide; or benzoyl peroxide; or any combination thereof.
  • the peroxide can include a dialkyl peroxide, such as: dicumyl peroxide; 2,5-di(t-butylperoxy)-2,5-dimethylhexane; t-butyl cumyl peroxide; ⁇ , ⁇ -bis(t-butylperoxy)diisopropylbenzene mixture of isomers; di(t-amyl)peroxide; di(t-butyl)peroxide; or 2,5-di(t-butylperoxy)-2,5-dimethyl-3-hexyne; or any combination thereof.
  • the peroxide can include a diperoxyketal, such as: 1,1-di(t-butylperoxy)-3,3,5-trimethylcyclohexane; 1,1-di(t-butylperoxy)cyclohexane; 1,1-di(t-amylperoxy)-cyclohexane; n-butyl 4,4-di(t-butylperoxy)valerate; ethyl 3,3-di-(t-amylperoxy)butanoate; t-butyl peroxy-2-ethylhexanoate; or ethyl 3,3-di(t-butylperoxy)butyrate; or any combination thereof.
  • a diperoxyketal such as: 1,1-di(t-butylperoxy)-3,3,5-trimethylcyclohexane; 1,1-di(t-butylperoxy)cyclohexane; 1,1-di(t
  • the peroxide can include a hydroperoxide, such as: cumene hydroperoxide; or t-butyl hydroperoxide; or any combination thereof.
  • the peroxide can include a ketone peroxide, such as: methyl ethyl ketone peroxide; or 2,4-pentanedione peroxide; or any combination thereof.
  • the peroxide can include a peroxydicarbonate, such as: di(n-propyl)peroxydicarbonate; di(sec-butyl)peroxydicarbonate; or di(2-ethylhexyl)peroxydicarbonate; or any combination thereof.
  • the peroxide can include a peroxyester, such as: 3-hydroxyl-1,1-dimethylbutyl peroxyneodecanoate; ⁇ -cumyl peroxyneodecanoate; t-amyl peroxyneodecanoate; t-butyl peroxyneodecanoate; t-butyl peroxypivalate; 2,5-di(2-ethyl hexanoylperoxy)-2,5-dimethylhexane; t-amyl peroxy-2-ethylhexanoate; t-butyl peroxy-2-ethylhexanoate; t-amyl peroxyacetate; t-butyl peroxyacetate; t-butyl peroxybenzoate; OO-(t-amyl) O-(2-ethylhexyl)monoperoxycarbonate; OO-(t-butyl) O-isopropy
  • the process chemistry supply system 130 can comprise a rinsing chemistry assembly (not shown) for providing rinsing chemistry for generating supercritical rinsing solutions within the processing chamber.
  • the rinsing chemistry can include one or more organic solvents including, but not limited to, alcohols and ketones.
  • the rinsing chemistry can comprise solvents, such as N, N-dimethylacetamide (DMAc), gamma-butyrolactone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC), N-methylpyrrolidone (NMP), dimethylpiperidone, propylene carbonate, and alcohols (such a methanol, ethanol and 2-propanol).
  • the process chemistry supply system 130 can be configured to introduce treating chemistry for curing, cleaning, healing (or restoring the dielectric constant of low-k materials), or sealing, or any combination, low dielectric constant films (porous or non-porous).
  • the chemistry can include hexamethyldisilazane (HMDS), chlorotrimethylsilane (TMCS), trichloromethylsilane (TCMS), dimethylsilyldiethylamine (DMSDEA), tetramethyldisilazane (TMDS), trimethylsilyldimethylamine (TMSDMA), dimethylsilyldimethylamine (DMSDMA), trimethylsilyldiethylamine (TMSDEA), bistrimethylsilyl urea (BTSU), bis(dimethylamino)methyl silane (B[DMA]MS), bis(dimethylamino)dimethyl silane (B[DMA]DS), HMCTS, dimethylaminopentamethyldisilane (DMAPMDS
  • the chemistry can include N-tert-butyl-1,1-dimethyl-1-(2,3,4,5-tetramethyl-2,4-cyclopentadiene-1-yl)silanamine, 1,3-diphenyl-1,1,3,3-tetramethyldisilazane, or tert-butylchlorodiphenylsilane.
  • N-tert-butyl-1,1-dimethyl-1-(2,3,4,5-tetramethyl-2,4-cyclopentadiene-1-yl)silanamine 1,3-diphenyl-1,1,3,3-tetramethyldisilazane
  • tert-butylchlorodiphenylsilane tert-butylchlorodiphenylsilane.
  • the processing system 100 can comprise a high-pressure fluid supply system 140 .
  • the high-pressure fluid supply system 140 can be coupled to the recirculation system 120 using one or more lines 145 , but this is not required.
  • the inlet line 145 can be equipped with one or more back-flow valves, and/or heaters (not shown) for controlling the fluid flow from the high-pressure fluid supply system 140 .
  • high-pressure fluid supply system 140 can be configured differently and coupled differently.
  • the high-pressure fluid supply system 140 can be coupled to the process module 110 .
  • the high-pressure fluid supply system 140 can comprise a carbon dioxide source (not shown) and a plurality of flow control elements (not shown) for generating a supercritical fluid.
  • the carbon dioxide source can include a CO 2 feed system
  • the flow control elements can include supply lines, valves, filters, pumps, and heaters.
  • the high-pressure fluid supply system 140 can comprise an inlet valve (not shown) that is configured to open and close to allow or prevent the stream of supercritical carbon dioxide from flowing into the processing chamber 108 .
  • controller 180 can be used to determine fluid parameters such as pressure, temperature, process time, and flow rate.
  • the processing system 100 can also comprise a pressure control system 160 .
  • the pressure control system 160 can be coupled to the process module 110 using one or more lines 165 , but this is not required.
  • Line 165 can be equipped with one or more back-flow valves, pumps, and/or heaters (not shown) for controlling the fluid flow to pressure control system 160 .
  • pressure control system 160 can be configured differently and coupled differently.
  • the pressure control system 160 can also include one or more pumps (not shown), and a sealing means (not shown) for sealing the processing chamber.
  • the pressure control system 160 can comprise means for raising and lowering the substrate and/or the chuck.
  • the processing system 100 can comprise an exhaust control system 150 .
  • an exhaust system may not be required.
  • the exhaust control system 150 can be coupled to the process module 110 using one or more lines 155 , but this is not required.
  • Line 155 can be equipped with one or more back-flow valves, and/or heaters (not shown) for controlling the fluid flow to the exhaust control system 150 .
  • exhaust control system 150 can be configured differently and coupled differently.
  • the exhaust control system 150 can include an exhaust gas collection vessel (not shown) and can be used to remove contaminants from the processing fluid. Alternately, the exhaust control system 150 can be used to recycle the processing fluid.
  • controller 180 can comprise a processor 182 and a memory 184 .
  • Memory 184 can be coupled to processor 182 , and can be used for storing information and instructions to be executed by processor 182 . Alternately, different controller configurations can be used.
  • controller 180 can comprise a port 185 that can be used to couple processing system 100 to another system (not shown).
  • controller 180 can comprise input and/or output devices (not shown).
  • one or more of the processing elements can include memory (not shown) for storing information and instructions to be executed during processing and processors for processing information and/or executing instructions.
  • the memory can be used for storing temporary variables or other intermediate information during the execution of instructions by the various processors in the system.
  • One or more of the processing elements can comprise the means for reading data and/or instructions from a computer readable medium.
  • one or more of the processing elements can comprise the means for writing data and/or instructions to a computer readable medium.
  • Memory devices can include at least one computer readable medium or memory for holding computer-executable instructions programmed according to the teachings of the invention and for containing data structures, tables, records, or other data described herein.
  • the processing system 100 can perform a portion or all of the processing steps of the invention in response to the controller 180 executing one or more sequences of one or more computer-executable instructions contained in a memory. Such instructions can be received by the controller from another computer, a computer readable medium, or a network connection.
  • the present invention includes software for controlling the processing system 100 , for driving a device or devices for implementing the invention, and for enabling the processing system 100 to interact with a human user and/or another system, such as a factory system.
  • software can include, but is not limited to, device drivers, operating systems, development tools, and applications software.
  • Such computer readable media further includes the computer program product of the present invention for performing all or a portion (if processing is distributed) of the processing performed in implementing the invention.
  • computer readable medium refers to any medium that participates in providing instructions to a processor for execution and/or that participates in storing information before, during, and/or after executing an instruction.
  • a computer readable medium can take many forms, including but not limited to, non-volatile media, volatile media, and transmission media.
  • computer-executable instruction refers to any computer code and/or software that can be executed by a processor, that provides instructions to a processor for execution and/or that participates in storing information before, during, and/or after executing an instruction.
  • Controller 180 , processor 182 , memory 184 and other processors and memory in other system elements as described thus far can, unless indicated otherwise below, be constituted by components known in the art or constructed according to principles known in the art.
  • the computer readable medium and the computer executable instructions can also, unless indicated otherwise below, be constituted by components known in the art or constructed according to principles known in the art.
  • Controller 180 can use port 185 to obtain computer code and/or software from another system (not shown), such as a factory system.
  • the computer code and/or software can be used to establish a control hierarchy.
  • the processing system 100 can operate independently, or can be controlled to some degree by a higher-level system (not shown).
  • the controller 180 can receive data from and/or send data to the pre-injection assembly 170 .
  • the controller 180 can include means for determining a temperature of the processing fluid in the pre-injection assembly 170 , means for comparing the temperature to a threshold value, and means for altering the temperature of the processing fluid when the temperature is different from the threshold value. For example, additional cooling can be provided to the fluid in the recirculation loop when the temperature is greater than or equal to the threshold value, and additional heating can be provided to the fluid in the recirculation loop when the temperature is less than the threshold value.
  • the controller 180 can receive data from and/or send data to the temperature controlled process tubing 121 and/or the temperature-controlled process tubing 125 .
  • the controller 180 can include means for determining a temperature of the processing fluid in the process tubing ( 121 , 125 , and 171 ), means for comparing the temperature to a threshold value, and means for altering the temperature of the processing fluid when the temperature is different from the threshold value. For example, additional cooling can be provided to the fluid in the recirculation loop when the temperature is greater than or equal to the threshold value, and additional heating can be provided to the fluid in the recirculation loop when the temperature is less than the threshold value.
  • the controller 180 can use data from the pre-injection assembly 170 and/or the process tubing ( 121 , 125 , and 171 ) to determine when to alter, pause, and/or stop a process.
  • the controller 180 can use the data and operational rules to determine when to change a process and how to change the process, and rules can be used to specify the action taken for normal processing and the actions taken on exceptional conditions.
  • Operational rules can be used to determine which processes are monitored and which data is used. For example, rules can be used to determine how to manage the data when a process is changed, paused, and/or stopped. In general, rules allow system and/or tool operation to change based on the dynamic state of the system.
  • Controller 180 can receive, send, use, and/or generate pre-process data, process data, and post-process data, and this data can include lot data, batch data, run data, composition data, and history data.
  • Pre-process data can be associated with an incoming substrate and can be used to establish an input state for a substrate and/or a current state for a process module.
  • Process data can include process parameters.
  • Post processing data can be associated with a processed substrate and can be used to establish an output state for a substrate
  • the controller 180 can use the pre-process data to predict, select, or calculate a process recipe to use to process the substrate.
  • a process recipe can include a multi-step process involving a set of process modules.
  • Post-process data can be obtained at some point after the substrate has been processed. For example, post-process data can be obtained after a time delay that can vary from minutes to days.
  • the controller 180 can compute a predicted fluid temperature based on the pre-process data, the process characteristics, and a process model.
  • a process model can provide the relationship between one or more process recipe parameters, such as the temperature of the processing fluid and one or more process results.
  • the controller 180 can compare the predicted value to the measured value to determine when to alter, pause, and/or stop a process.
  • a reaction rate model can be used along with an expected fluid temperature at the substrate surface to compute a predicted value for the processing time, or a solubility model can be used along with an expected fluid temperature at the substrate surface to compute a predicted value for the processing time.
  • the controller 180 can use historical data and/or process models to compute an expected value for the temperature of the fluid at various times during the process.
  • the controller 180 can compare an expected temperature value to a measured temperature value to determine when to alter, pause, and/or stop a process.
  • the desired process result can be a process result that is measurable using an optical measuring device, such as a SEM and/or TEM.
  • the desired process result can be an amount of residue and/or contaminant in a via or on the surface of a substrate. After one or more cleaning process run, the desired process can be measured.
  • At least one of the processing elements can comprise a GUI component and/or a database component (not shown).
  • the GUI component and/or the database component may not be required.
  • controller 180 can perform other functions in addition to those discussed here.
  • the controller 180 can monitor variables associated with the other components in the processing system 100 and take actions based on these variables. For example, the controller 180 can process these variables, display these variables and/or results on a GUI screen, determine a fault condition, determine a response to a fault condition, and alert an operator.
  • FIG. 2 illustrates a simplified block diagram of a pre-injection assembly in accordance with an embodiment of the invention.
  • a pre-injection assembly 170 is shown that includes a fluid inlet means 210 having an input port 205 , a supply assembly 220 , a fluid outlet means 230 having an output port 235 , and a controller 250 .
  • the pre-injection assembly 170 can be a portion of the high-pressure fluid supply system 140 .
  • Input port 205 can be coupled to a high-pressure fluid source (not shown).
  • the high-pressure fluid source can provide a process fluid that can comprise gaseous, liquid, supercritical, or near-supercritical carbon dioxide, or combinations thereof, and the high-pressure fluid source can include one or more fluid cylinders, and/or one or more storage vessels.
  • the fluid inlet means 210 can comprise a flow control valve (not shown) that can be used for controlling the flow into the pre-injection assembly 170 .
  • the fluid inlet means 210 can include a heater and a sensor for pre-heating the fluid.
  • the fluid inlet means 210 can include a regulator, a valve, a pump, a vent, a coupling, a filter, piping, and/or safety devices (not shown).
  • the fluid inlet means 210 can comprise one or more flow restrictors for regulating the flow. For example, flow restrictors having different sizes can be used to vary the flow rate, and smaller sized orifices can be used for slower flow and larger sized orifices for faster flow.
  • the fluid inlet means 210 can be coupled to a supply assembly 220 .
  • a filter (not shown) can be used to couple the fluid inlet means 210 to a supply assembly 220 .
  • Supply assembly 220 can comprise a chamber 222 , heater subassembly 224 , insulation 226 , and a sensor subassembly 228 .
  • the chamber 222 can be configured using a high strength metal, such as stainless steel 316L.
  • Chamber 222 can have a volume that can vary from approximately three times to approximately twenty times the volume of the recirculation loop 115 ( FIG. 1 ).
  • the chamber 222 can have an operating pressure up to 10,000 psi, and an operating temperature up to 300 degrees Celsius.
  • Heater subassembly 224 can comprise a heating element (not shown) and can cover at least ninety percent of the outside surface area of the chamber 222 .
  • heating element 224 can include a high temperature blanket heater, such as a silicone blanket heater from Watlow.
  • Insulation 226 can comprise a high temperature material (not shown) and can cover at least ninety percent of the outside surface area of the heating element.
  • insulation 226 can include high-temperature insulation, such as Silicone foam from Quantum Silicones.
  • Heater subassembly 224 and insulation 226 can maintain an operating temperature up to 300 degrees Celsius in the chamber 222 . Heater subassembly 224 and insulation 226 can be configured using one or more pieces that can be easily replaced during a maintenance operation.
  • Sensor subassembly 228 can comprise one or more temperature sensors (not shown) coupled to the chamber 222 at different locations. Alternately, the sensor subassembly 228 can also include a flow sensor and/or pressure sensor (not shown) that can be coupled to the chamber 222 at different locations. Sensor subassembly 228 can measure operating temperatures up to 300 degrees Celsius in the chamber 222 .
  • the sensor can comprise a temperature sensor that can include a thermocouple, a temperature-indicating resistor, a radiation type temperature sensor, a thermistor, a thermometer, a pyrometer, a micro-electromechanical (MEM) device, or a resistance temperature detector (RTD), or a combination thereof.
  • the sensor can include a contact-type sensor or a non-contact sensor.
  • a K-type thermocouple, a Pt sensor, a bimetallic thermocouple, or a temperature indicating platinum resistor can be used.
  • sensor subassembly 228 can include a high temperature sensor, such as k-type thermocouple from Omega.
  • the controller 250 can be coupled to the heater subassembly 224 and the sensor subassembly 228 and can be used to control the heater subassembly 224 and the sensor subassembly 228 . Alternately, controller 250 may not be required.
  • controller 180 can be used to control the heater subassembly 224 and the sensor subassembly 228 .
  • the supply assembly 220 can include a regulator, a valve, a pump, a vent, a coupling, a filter, piping, a cooling device, and/or safety devices (not shown).
  • the supply assembly 220 can be coupled to a fluid outlet means 230 .
  • a filter (not shown) can be used to couple the supply assembly 220 to the fluid outlet means 230 .
  • the fluid outlet means 230 can comprise a flow control valve (not shown) that can be used for controlling the flow out of the pre-injection assembly 170 .
  • a multi-port valve can be used.
  • the fluid outlet means 230 can include a heater and a sensor for post-heating the fluid.
  • the fluid outlet means 230 can include a regulator, a valve, a sensor, a pump, a vent, a coupling, a filter, piping, and/or safety devices (not shown).
  • the fluid outlet means 230 can include a measuring means (not shown) for measuring the flow rate and/or temperature of the fluid passing therethrough.
  • the fluid outlet means 230 can comprise one or more flow restrictors for regulating the flow. For example, flow restrictors having different sizes can be used to vary the flow rate, and smaller sized orifices can be used for slower flow and larger sized orifices for faster flow.
  • the pre-injection assembly 170 can be used to provide a temperature controlled supercritical fluid that can include supercritical carbon dioxide.
  • the pre-injection assembly 170 can be used to provide a temperature controlled supercritical fluid that can include supercritical carbon dioxide admixed with process chemistry.
  • the pre-injection assembly 170 can be coupled to the process chemistry supply system 130 ( FIG. 1 ), and the can comprise a mixing vessel (not shown) and/or a storage vessel (not shown), and one or more vessels can be heated.
  • Controller 250 can also be used to control the fluid inlet means 210 and fluid outlet means 230 . Alternately, controller 250 may not be required. For example, controller 180 can be used to control the fluid inlet means 210 and fluid outlet means 230 .
  • providing processing fluids at an incorrect temperature can have a negative affect on the process.
  • an incorrect temperature can affect the process chemistry, process dropout, and process uniformity.
  • the pre-injection assembly 170 is used during a major portion of the substrate processing so that the impact of temperature on the process is minimized.
  • the pre-injection assembly 170 can be used during a maintenance or system cleaning operation in which cleaning chemistry is used to remove process by-products and/or particles from the interior surfaces of the system.
  • This is a preventative maintenance operation in which maintaining the correct temperature prevents material from adhering to the interior surfaces of the system that can be dislodged later during processing and that can cause unwanted particle deposition on a substrate.
  • FIG. 3 illustrates an exemplary graph of pressure versus time for a supercritical process step in accordance with embodiments of the invention.
  • a graph 300 of pressure versus time is shown, and the graph 300 can be used to represent a supercritical cleaning process step, a supercritical rinsing process step, or a supercritical curing process step, or a combination thereof.
  • different pressures, different timing, and different sequences can be used for different processes.
  • a single time sequence is illustrated in FIG. 3 , this is not required for the invention. Alternately, multi-sequence processes can be used.
  • the substrate to be processed can be placed within the processing chamber 108 and the processing chamber can be sealed.
  • a substrate can have post-etch and/or post-ash residue thereon.
  • the substrate, the processing chamber, and the other elements in the recirculation loop 115 can be heated to an operational temperature that can range from approximately 40 to approximately 300 degrees Celsius.
  • the temperature of temperature controlled process tubing ( 121 , 125 , and 171 ) can be established and/or maintained at the required operational value.
  • temperature of pre-injection assembly 170 can be established and/or maintained at the required operational value.
  • the processing chamber 108 and the other elements in the recirculation loop 115 can be pressurized.
  • the high-pressure fluid supply system 140 and/or the pre-injection assembly 170 can be coupled into the flow path and can be used to provide temperature controlled carbon dioxide into the processing chamber and/or other elements in the recirculation loop 115 .
  • the temperature variation of the temperature-controlled carbon dioxide can be controlled to be less than approximately ten degrees Celsius during the pressurization process. Alternately, the temperature variation can be controlled to be less than approximately five degrees Celsius.
  • a pump (not shown) in the recirculation system 120 can be started and can be used to circulate the temperature controlled fluid through the monitoring system, the processing chamber, and the other elements in the recirculation loop.
  • sensors in the temperature controlled process tubing can operate while the fluid is being circulated and can provide temperature data for the fluid flowing at different points in the loop. Alternately, these sensors may not be operated during this portion of the time T 1 .
  • process chemistry when the pressure in the processing chamber 108 exceeds a critical pressure Pc (1,070 psi), process chemistry can be injected into the recirculation loop 115 using the process chemistry supply system 130 .
  • additional high-pressure fluid is not provided when the process chemistry is injected. Alternately, additional high-pressure fluid can be provided when the process chemistry is injected.
  • process chemistry can be injected into the processing chamber 108 before the pressure exceeds the critical pressure Pc (1,070 psi) using the process chemistry supply system 130 .
  • the injection(s) of the process chemistries can begin upon reaching about 1100-1200 psi.
  • process chemistry is not injected during the T 1 period.
  • sensors in the processing module 110 and/or the temperature controlled process tubing can provide data before, during, and/or after the process chemistry is injected, and data, such as temperature data, can be used to control the injection process.
  • Process chemistry can be injected in a linear fashion, and the injection time can be based on a recirculation time. For example, the recirculation time can be determined based on the length of the recirculation path and the flow rate.
  • process chemistry can be injected in a non-linear fashion. For example, process chemistry can be injected in one or more steps.
  • the process chemistry can include a cleaning agent, a rinsing agent, or a curing agent, or a combination thereof that is injected into the supercritical fluid.
  • One or more injections of process chemistries can be performed over the duration of time T 1 to generate a supercritical processing solution with the desired concentrations of chemicals.
  • the process chemistry in accordance with the embodiments of the invention, can also include one more or more carrier solvents.
  • the supercritical processing solution can be re-circulated over the substrate and through the temperature controlled process tubing ( 121 , 125 , and 171 ), the processing chamber 108 , and the other elements in the recirculation loop 115 .
  • sensors in the processing module 110 and/or the temperature controlled process tubing can provide data while the supercritical processing solution is being re-circulated, and data, such as temperature data, can be used to control the process. Alternately, one or more sensors may not be operated while the supercritical processing solution is being re-circulated.
  • the high-pressure fluid supply system 140 and/or the pre-injection assembly 170 can be used to control the chemical composition while the supercritical processing solution is being re-circulated.
  • additional high-pressure fluid is not provided, and additional process chemistry is not injected during the second time T 2 .
  • additional high-pressure fluid can be provided, and/or additional process chemistry can be injected during the second time T 2 .
  • the processing chamber 108 can operate at a pressure above 1,500 psi during the second time T 2 .
  • the pressure can range from approximately 2,500 psi to approximately 3,100 psi, but can be any value so long as the operating pressure is sufficient to maintain supercritical conditions.
  • the supercritical processing solution can be circulated over the substrate and through the recirculation loop 115 .
  • the supercritical conditions within the processing chamber 108 and the other elements in the recirculation loop 115 are maintained during the second time T 2 , and the supercritical processing solution continues to be circulated over the substrate and through the processing chamber 108 and the other elements in the recirculation loop 115 .
  • the recirculation system 120 can be used to regulate the flow of the supercritical processing solution through the processing chamber 108 and the other elements in the recirculation loop 115 .
  • the high-pressure fluid supply system 140 and/or the pre-injection assembly 170 can comprise means for providing a first volume of temperature-controlled fluid during a push-through process, and the first volume can be larger than the volume of the recirculation loop. Alternately, the first volume can be less than or approximately equal to the volume of the recirculation loop.
  • the temperature differential within the first volume of temperature-controlled fluid during the push-through process can be controlled to be less than approximately ten degrees Celsius. Alternately, the temperature differential can be controlled to be less than approximately five degrees Celsius.
  • a sensor in the processing module 110 can provide data before, during, and/or after a push-through process is performed, and data, such as temperature data, can be used to control the push-through process.
  • data such as temperature data
  • one or more sensors may not be operated during a push-through process.
  • the sensor data can be used to control the fluid temperature and/or flow rate during a push-through process.
  • one or more volumes of temperature controlled supercritical carbon dioxide can be fed into the recirculation loop 115 from the high-pressure fluid supply system 140 and/or the pre-injection assembly 170 , and the supercritical processing solution along with process residue suspended or dissolved therein can be displaced from the processing chamber 108 and the other elements in the recirculation loop 115 through the exhaust control system 150 .
  • Providing temperature-controlled fluid during the push-through process prevents process residue suspended or dissolved within the fluid being displaced from the processing chamber 108 and the other elements in the recirculation loop 115 from dropping out and/or adhering to the processing chamber 108 and the other elements in the recirculation loop 115 .
  • the temperature of the fluid supplied by the high-pressure fluid supply system 140 and/or the pre-injection assembly 170 can vary over a wider temperature range than the range used during the second time T 2 .
  • a single second time T 2 is followed by a single third time T 3 , but this is not required.
  • other time sequences can be used to process a substrate.
  • a pressure cycling process can be performed, and the processing chamber 108 can be cycled through one or more decompression and compression cycles. Alternately, one or more pressure cycles can occur during the push-through process. In other embodiments, a pressure cycling process is not required.
  • the pressure can be cycled between a first pressure P 3 and a second pressure P 4 one or more times. In alternate embodiments, the first pressure P 3 and a second pressure P 4 can vary.
  • the pressure can be lowered by venting through the exhaust control system 150 .
  • pressure cycling can be accomplished by lowering the pressure to below approximately 1,500 psi and raising the pressure to above approximately 2,500 psi.
  • the pressure can be increased by using the high-pressure fluid supply system 140 and/or the pre-injection assembly 170 to provide additional high-pressure fluid.
  • the high-pressure fluid supply system 140 and/or the pre-injection assembly 170 can comprise means for providing a first volume of temperature-controlled fluid during a compression cycle, and the first volume can be larger than the volume of the recirculation loop. Alternately, the first volume can be less than or approximately equal to the volume of the recirculation loop.
  • the temperature differential within the first volume of temperature-controlled fluid during the compression cycle can be controlled to be less than approximately ten degrees Celsius. Alternately, the temperature differential can be controlled to be less than approximately five degrees Celsius.
  • the high-pressure fluid supply system 140 and/or the pre-injection assembly 170 can comprise means for providing a second volume of temperature-controlled fluid during a decompression cycle, and the second volume can be larger than the volume of the recirculation loop. Alternately, the second volume can be less than or approximately equal to the volume of the recirculation loop.
  • the temperature differential within the second volume of temperature-controlled fluid during the decompression cycle can be controlled to be less than approximately ten degrees Celsius. Alternately, the temperature differential can be controlled to be less than approximately five degrees Celsius.
  • a sensor in the processing module 110 can provide data before, during, and/or after a pressure cycling process is performed, and data, such as temperature data, can be used to control the pressure cycling process.
  • data such as temperature data
  • one or more sensors may not be operated during a pressure cycling process.
  • the sensor data can be used to control the fluid temperature and/or flow rate during a pressure cycling process.
  • one or more volumes of temperature controlled supercritical carbon dioxide can be fed into the processing chamber 108 and the other elements in the recirculation loop 115 from high-pressure fluid supply system 140 and/or the pre-injection assembly 170 , and the supercritical processing solution along with process residue suspended or dissolved therein can be displaced from the processing chamber 108 and the other elements in the recirculation loop 115 through the exhaust control system 150 .
  • the temperature of the fluid supplied by the high-pressure fluid supply system 140 and/or the pre-injection assembly 170 can vary over a wider temperature range than the range used during the second time T 2 .
  • a single third time T 3 is followed by a single fourth time T 4 , but this is not required.
  • other time sequences can be used to process a substrate.
  • the high-pressure fluid supply system 140 and/or the pre-injection assembly 170 can be switched off during a portion of the fourth time T 4 .
  • the processing chamber 108 can be returned to lower pressure.
  • the processing chamber can be vented or exhausted to a pressure compatible with a transfer system
  • a sensor in the processing module 110 can provide data before, during, and/or after a venting process is performed, and data, such as temperature data, can be used to control the venting process. Alternately, one or more sensors may not be operated during a venting process.
  • the high-pressure fluid supply system 140 and/or the pre-injection assembly 170 can comprise means for providing a volume of temperature-controlled fluid during a venting process, and the volume can be larger than the volume of the recirculation loop.
  • the volume can be less than or approximately equal to the volume of the recirculation loop.
  • one or more volumes of temperature controlled supercritical carbon dioxide can be fed into the processing chamber 108 and the other elements in the recirculation loop 115 from the high-pressure fluid supply system 140 and/or the pre-injection assembly 170 , and the remaining processing solution along with process residue suspended or dissolved therein can be displaced from the processing chamber 108 and the other elements in the recirculation loop 115 through the exhaust control system 150 .
  • a single fourth time T 4 is followed by a single fifth time T 5 , but this is not required.
  • other time sequences can be used to process a substrate.
  • the high-pressure fluid supply system 140 and/or the pre-injection assembly 170 can be switched off.
  • the temperature of the fluid supplied by the high-pressure fluid supply system 140 and/or the pre-injection assembly 170 can vary over a wider temperature range than the range used during the second time T 2 .
  • the temperature can range below the temperature required for supercritical operation.
  • the chamber pressure can be made substantially equal to the pressure inside of a transfer chamber (not shown) coupled to the processing chamber.
  • the substrate can be moved from the processing chamber into the transfer chamber, and moved to a second process apparatus or module to continue processing.
  • the pressure returns to an initial pressure P 0 , but this is not required for the invention. In alternate embodiments, the pressure does not have to return to P 0 , and the process sequence can continue with additional time steps such as those shown in time steps T 1 , T 2 , T 3 , T 4 , or T 5
  • the graph 300 is provided for exemplary purposes only. It will be understood by those skilled in the art that a supercritical processing step can have any number of different time/pressures or temperature profiles without departing from the scope of the invention. Further, any number of cleaning, rinsing, and/or curing process sequences with each step having any number of compression and decompression cycles are contemplated. In addition, as stated previously, concentrations of various chemicals and species within a supercritical processing solution can be readily tailored for the application at hand and altered at any time within a supercritical processing step.
  • FIG. 4 illustrates a flow diagram of a method for monitoring the temperature of a high-pressure processing fluid flowing through a recirculation loop in a high-pressure processing system in accordance with an embodiment of the invention.
  • Procedure 400 starts in 410 wherein a substrate can be positioned within a processing chamber that is part of the recirculation loop.
  • a process temperature can be determined.
  • a volume of fluid can be provided to the pre-injection assembly and the pre-injection assembly can heat the volume of fluid to the process temperature.
  • a first volume of temperature-controlled fluid can be provided from the pre-injection assembly to the processing chamber and the other elements in the recirculation loop.
  • the pre-injection assembly can provide different volumes to the processing chamber and/or other elements in the recirculation loop.
  • an additional volume of fluid can be provided to the pre-injection assembly and the pre-injection assembly can heat the additional volume of fluid to the process temperature.
  • procedure 400 can end.
  • the pre-injection assembly can maintain the fluid in the pre-injection assembly at the process temperature.

Abstract

A method of and apparatus for regulating carbon dioxide using a pre-injection assembly coupled to a processing chamber operating at a supercritical state is disclosed. The method and apparatus utilize a source for providing supercritical carbon dioxide to the pre-injection assembly and a temperature control element for maintaining the pre-injection region at a supercritical temperature and pressure.

Description

    FIELD OF THE INVENTION
  • This invention relates to the field of particle prevention techniques in cleaning silicon wafers. More particularly, the present invention relates to the field of reducing substrate material contaminants during supercritical carbon dioxide processes.
  • BACKGROUND OF THE INVENTION
  • Carbon Dioxide (CO2) is an environmentally friendly, naturally abundant, non-polar molecule. Being non-polar, CO2 has the capacity to dissolve in and dissolve a variety of non-polar materials or contaminates. The degree to which the contaminants found in non-polar CO2 are soluble is dependant on the physical state of the CO2. The four phases of CO2 are solid, liquid, gas, and supercritical. These states are differentiated by appropriate combinations of specific pressures and temperatures. CO2 in a supercritical state (sc-CO2) is neither liquid nor gas but embodies properties of both. In addition, sc-CO2 lacks any meaningful surface tension while interacting with solid surfaces, and hence, can readily penetrate high aspect ratio geometrical features more readily than liquid CO2. Moreover, because of its low viscosity and liquid-like characteristics, the sc-CO2 can easily dissolve large quantities of many other chemicals. It has been shown that as the temperature and pressure are increased into the supercritical phase, the solubility of CO2 also increases. This increase in solubility has lead to the development of sc-CO2 cleaning, extractions, and degreasing.
  • Supercritical fluids have been used to remove residue from surfaces or extract contaminants from various materials. For example, as described in U.S. Pat. No. 6,367,491 to Marshall, et al., entitled “Apparatus for Contaminant Removal Using Natural Convection Flow and Changes in Solubility Concentration by Temperature,” issued Apr. 9, 2002, supercritical and near-supercritical fluids have been used as solvents to clean contaminants from articles; citing, NASA Tech Brief MFS-29611 (December 1990), describing the use of supercritical carbon dioxide as an alternative for hydrocarbon solvents conventionally used for washing organic and inorganic contaminants from the surfaces of metal parts.
  • Supercritical fluids have been employed in the cleaning of semiconductor wafers. For example, an approach to using supercritical carbon dioxide to remove exposed organic photoresist film is disclosed in U.S. Pat. No. 4,944,837 to Nishikawa, et al., entitled “Method of Processing an Article in a Supercritical Atmosphere,” issued Jul. 31, 1990.
  • When cleaning semiconductor wafers with supercritical fluids it is important that contamination and particles be minimized by maintaining the proper temperatures and pressures to eliminate phase changes during processing. Cold spots in the system can allow contaminants to fall out, the fluid to change its phase, or both.
  • What is needed is a method of and system for preventing phase changes from occurring in high-pressure semiconductor processing systems.
  • SUMMARY OF THE INVENTION
  • In accordance with the present invention, a method of and apparatus for pre-processing carbon dioxide using a pre-injection assembly coupled to a processing chamber operating at a supercritical state is disclosed. The supercritical state is defined by both a temperature and a pressure. The method comprises the steps of providing supercritical carbon dioxide to a preinjection region within the pre-injection assembly; isolating the preinjection region; and maintaining the preinjection region at a supercritical temperature and pressure.
  • The supercritical temperature and pressure of the preinjection region is maintained by adding a heating element to the assembly. The heating element can comprise a heater blanket and/or heat tape. Preferably, the heat element includes temperature controllers or built-in preset thermostats to prevent overheating. The pre-injection assembly can comprise a discharge means for discharging particles from the preinjection region.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • A more complete appreciation of various embodiments of the invention and many of the attendant advantages thereof will become readily apparent with reference to the following detailed description, particularly when considered in conjunction with the accompanying drawings, in which:
  • FIG. 1 shows an exemplary block diagram of a processing system in accordance with embodiments of the invention;
  • FIG. 2 illustrates a simplified block diagram of a pre-injection assembly in accordance with an embodiment of the invention;
  • FIG. 3 illustrates an exemplary graph of pressure versus time for supercritical processes in accordance with an embodiment of the invention; and
  • FIG. 4 illustrates a flow diagram of a method for operating a pre-injection assembly in accordance with an embodiment of the invention.
  • DETAILED DESCRIPTION OF SEVERAL EMBODIMENTS
  • Embodiments of the present invention disclose a pre-injection assembly that enables the injection of a temperature-controlled high-pressure processing fluid/solution into a closed loop environment. The closed loop environment is preferably under high pressure. In one embodiment, the high-pressure system can exceed 3,000 psi.
  • FIG. 1 shows an exemplary block diagram of a processing system in accordance with an embodiment of the invention. In the illustrated embodiment, processing system 100 comprises a process module 110, a recirculation system 120, a process chemistry supply system 130, a high-pressure fluid supply system 140, an exhaust control system 150, a pressure control system 160, a pre-injection assembly 170, and a controller 180. The processing system 100 can operate at pressures that can range from 1000 psi. to 10,000 psi. In addition, the processing system 100 can operate at temperatures that can range from 40 to 300 degrees Celsius.
  • The details concerning one example of a processing chamber are disclosed in co-owned and co-pending U.S. patent application Ser. No. 09/912,844, entitled “HIGH PRESSURE PROCESSING CHAMBER FOR SEMICONDUCTOR SUBSTRATE,” filed Jul. 24, 2001, Ser. No. 09/970,309, entitled “HIGH PRESSURE PROCESSING CHAMBER FOR MULTIPLE SEMICONDUCTOR SUBSTRATES,” filed Oct. 3, 2001, Ser. No. 10/121,791, entitled “HIGH PRESSURE PROCESSING CHAMBER FOR SEMICONDUCTOR SUBSTRATE INCLUDING FLOW ENHANCING FEATURES,” filed Apr. 10, 2002, and Ser. No. 10/364,284, entitled “HIGH-PRESSURE PROCESSING CHAMBER FOR A SEMICONDUCTOR WAFER,” filed Feb. 10, 2003, the contents of which are incorporated herein by reference.
  • The controller 180 can be coupled to the process module 110, the recirculation system 120, the process chemistry supply system 130, the high-pressure fluid supply system 140, the exhaust control system 150, the pressure control system 160, and the pre-injection assembly 170. Alternately, controller 180 can be coupled to one or more additional controllers/computers (not shown), and controller 180 can obtain setup, configuration, and/or recipe information from an additional controller/computer.
  • In FIG. 1, singular processing elements (110, 120, 130, 140, 150, 160, 170, and 180) are shown, but this is not required for the invention. The semiconductor processing system 100 can comprise any number of processing elements having any number of controllers associated with them in addition to independent processing elements.
  • The controller 180 can be used to configure any number of processing elements (110, 120, 130, 140, 150, 160, and 170), and the controller 180 can collect, provide, process, store, and display data from processing elements. The controller 180 can comprise a number of applications for controlling one or more of the processing elements. For example, controller 180 can include a GUI component (not shown) that can provide easy to use interfaces that enable a user to monitor and/or control one or more processing elements.
  • The process module 110 can include an upper assembly 112 and a lower assembly 116, and the upper assembly 112 can be coupled to the lower assembly 116. In an alternate embodiment, a frame and or injection ring can be included and can be coupled to an upper assembly and a lower assembly. The upper assembly 112 can comprise a heater (not shown) for heating the process chamber, the substrate, or the processing fluid, or a combination of two or more thereof. Alternately, a heater is not required in the upper assembly 112. In another embodiment, the lower assembly 116 can comprise a heater (not shown) for heating the process chamber, the substrate, or the processing fluid, or a combination of two or more thereof. The process module 110 can include means for flowing a processing fluid through the processing chamber 108. In one example, a circular flow pattern can be established, and in another example, a substantially linear flow pattern can be established. Alternately, the means for flowing can be configured differently. The lower assembly 116 can comprise one or more lifters (not shown) for moving the chuck 118 and/or the substrate 105. Alternately, a lifter is not required.
  • In one embodiment, the process module 110 can include a holder or chuck 118 for supporting and holding the substrate 105 while processing the substrate 105. The holder or chuck 118 can also be configured to heat or cool the substrate 105 before, during, and/or after processing the substrate 105. Alternately, the process module 110 can include a platen for supporting and holding the substrate 105 while processing the substrate 105.
  • A transfer system (not shown) can be used to move a substrate into and out of the processing chamber 108 through a slot (not shown). In one example, the slot can be opened and closed by moving the chuck, and in another example, the slot can be controlled using a gate valve.
  • The substrate can include semiconductor material, metallic material, dielectric material, ceramic material, or polymer material, or a combination of two or more thereof. The semiconductor material can include Si, Ge, Si/Ge, or GaAs. The metallic material can include Cu, Al, Ni, Pb, Ti, Ta, or W, or combinations of two or more thereof. The dielectric material can include Si, O, N, H, P, or C, or combinations of two or more thereof. The ceramic material can include Al, N, Si, C, or O, or combinations of two or more thereof.
  • In one embodiment, processing system 100 can further comprise temperature controlled process tubing (121, 125 and 171) for coupling the process module 110 to the recirculation system 120, and a recirculation loop 115 can be configured that includes a portion of the recirculation system, a portion of the process module 110, temperature controlled process tubing 121, and temperature controlled process tubing 125. In addition, the temperature-controlled process tubing (121, 125, and 171) can operate at temperatures that can range from 40 to 300 degrees Celsius and pressures that can range from 1000 psi. to 10,000 psi.
  • In alternate embodiments, temperature controlled process tubing may not be required. In one embodiment, the recirculation loop 115 comprises a volume of approximately one liter. In alternate embodiments, the volume of the recirculation loop 115 can vary from approximately 0.5 liters to approximately 2.5 liters.
  • In addition, processing system 100 can comprise temperature-controlled process tubing 171 coupling the pre-injection assembly 170 to the process module 110. In alternate embodiments, temperature controlled process tubing may not be required. In addition, the controller can be coupled to and used to control the temperature-controlled process tubing 171. The pre-injection assembly 170 can comprise means (not shown) for providing temperature-controlled fluid to the processing chamber 108. Alternately, the pre-injection assembly 170 can comprise means (not shown) for providing temperature-controlled fluid to one or more elements in the recirculation loop 115. For example, the pre-injection assembly 170 can comprise means (not shown) for providing temperature-controlled CO2.
  • The temperature-controlled process tubing (121, 125, and/or 171) can comprise a heater (122, 126, and 172) that can cover a substantial portion (approximately ninety percent) of the outside surface area of the process tubing. For example, the heater can include a high temperature tape heater, such as Thermolyne® silicone rubber-encapsulated heating tape from Sigma Aldrich. In addition, the temperature-controlled process tubing (121, 125, and/or 171) can comprise an insulation layer (123, 127, and 173) that can cover a substantial portion (approximately ninety percent) of the outside surface area of the heater. For example, the insulation layer can include a high temperature insulation material, such as silicone foam from Quantum Silicones. The heater and insulation layer can be configured using one or more pieces that can be easily replaced during a maintenance operation.
  • Furthermore, the controller 180 can be coupled to and used to control the temperature-controlled process tubing 121, the temperature-controlled process tubing 125, and/or the temperature-controlled process tubing 171.
  • The pre-injection assembly 170 can operate at temperatures that can range from 40 to 300 degrees Celsius and pressures that can range from 1000 psi. to 10,000 psi. The flow rate from pre-injection assembly 170 can vary from approximately 0.01 liters/minute to approximately 100 liters/minute.
  • The recirculation system 120 can comprise one or more pumps (not shown) that can be used to regulate the flow of the supercritical processing solution through the processing chamber 108 and the other elements in the recirculation loop 115. The flow rate can vary from approximately 0.01 liters/minute to approximately 100 liters/minute.
  • The recirculation system 120 can comprise one or more valves (not shown) for regulating the flow of a supercritical processing solution through the recirculation loop 115. For example, the recirculation system 120 can comprise any number of back-flow valves, filters, pumps, and/or heaters (not shown) for maintaining a supercritical processing solution and flowing the supercritical process solution through the recirculation system 120 and through the processing chamber 108 in the process module 110.
  • Processing system 100 can comprise a process chemistry supply system 130. In the illustrated embodiment, the process chemistry supply system is coupled to the recirculation system 120 using one or more lines 135, but this is not required for the invention. In alternate embodiments, the process chemistry supply system can be configured differently and can be coupled to different elements in the processing system.
  • The process chemistry is introduced by the process chemistry supply system 130 into the fluid introduced by the high-pressure fluid supply system 140 at ratios that vary with the substrate properties, the chemistry being used, and the process being performed in the processing chamber 110. The ratio can vary from approximately 0.001 to approximately 15 percent by volume. For example, when the recirculation loop 115 comprises a volume of about one liter, the process chemistry volumes can range from approximately ten micro liters to approximately one hundred fifty milliliters. In alternate embodiments, the volume and/or the ratio can be higher or lower.
  • The process chemistry supply system 130 can comprise a cleaning chemistry assembly (not shown) for providing cleaning chemistry for generating supercritical cleaning solutions within the processing chamber. The cleaning chemistry can include peroxides and a fluoride source. For example, the peroxides can include hydrogen peroxide, benzoyl peroxide, or any other suitable peroxide, and the fluoride sources can include fluoride salts (such as ammonium fluoride salts), hydrogen fluoride, fluoride adducts (such as organic-ammonium fluoride adducts) and combinations thereof.
  • Further details of fluoride sources and methods of generating supercritical processing solutions with fluoride sources are described in U.S. patent application Ser. No. 10/442,557, filed May 10, 2003, and titled “TETRA-ORGANIC AMMONIUM FLUORIDE AND HF IN SUPERCRITICAL FLUID FOR PHOTORESIST AND RESIDUE REMOVAL”, and U.S. patent application Ser. No. 10/321,341, filed Dec. 16, 2002, and titled “FLUORIDE IN SUPERCRITICAL FLUID FOR PHOTORESIST POLYMER AND RESIDUE REMOVAL,” both are incorporated by reference herein.
  • In addition, the cleaning chemistry can include chelating agents, complexing agents, oxidants, organic acids, and inorganic acids that can be introduced into supercritical carbon dioxide with one or more carrier solvents, such as N,N-dimethylacetamide (DMAc), gamma-butyrolactone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC), N-methylpyrrolidone (NMP), dimethylpiperidone, propylene carbonate, and alcohols (such a methanol, ethanol and 1-propanol).
  • Furthermore, the cleaning chemistry can include solvents, co-solvents, surfactants, and/or other ingredients. Examples of solvents, co-solvents, and surfactants are disclosed in co-owned U.S. Pat. No. 6,500,605, entitled “REMOVAL OF PHOTORESIST AND RESIDUE FROM SUBSTRATE USING SUPERCRITICAL CARBON DIOXIDE PROCESS”, issued Dec. 31, 2002, and U.S. Pat. No. 6,277,753, entitled “REMOVAL OF CMP RESIDUE FROM SEMICONDUCTORS USING SUPERCRITICAL CARBON DIOXIDE PROCESS”, issued Aug. 21, 2001, both are incorporated by reference herein.
  • The process chemistry supply system 130 can be configured to introduce N-methylpyrrolidone (NMP), diglycol amine, hydroxylamine, di-isopropyl amine, tri-isopropyl amine, tertiary amines, catechol, ammonium fluoride, ammonium bifluoride, methylacetoacetamide, ozone, propylene glycol monoethyl ether acetate, acetylacetone, dibasic esters, ethyl lactate, CHF3, BF3, HF, other fluorine containing chemicals, or any mixture thereof. Other chemicals such as organic solvents can be utilized independently or in conjunction with the above chemicals to remove organic materials. The organic solvents can include, for example, an alcohol, ether, and/or glycol, such as acetone, diacetone alcohol, dimethyl sulfoxide (DMSO), ethylene glycol, methanol, ethanol, propanol, or isopropanol (IPA). For further details, see U.S. Pat. No. 6,306,564B1, filed May 27, 1998, and titled “REMOVAL OF RESIST OR RESIDUE FROM SEMICONDUCTORS USING SUPERCRITICAL CARBON DIOXIDE”, and U.S. Pat. No. 6,509,141B2, filed Sep. 3, 1999, and titled “REMOVAL OF PHOTORESIST AND PHOTORESIST RESIDUE FROM SEMICONDUCTORS USING SUPERCRITICAL CARBON DIOXIDE PROCESS”, both are incorporated by reference herein.
  • Moreover, the process chemistry supply system 130 can be configured to introduce a peroxide during a cleaning and/or rinsing process. The peroxide can be introduced with any one of the above process chemistries, or any mixture thereof. The peroxide can include organic peroxides, or inorganic peroxides, or a combination thereof. For example, organic peroxides can include 2-butanone peroxide; 2,4-pentanedione peroxide; peracetic acid; t-butyl hydroperoxide; benzoyl peroxide; or m-chloroperbenzoic acid (mCPBA). Other peroxides can include hydrogen peroxide. Alternatively, the peroxide can include a diacyl peroxide, such as: decanoyl peroxide; lauroyl peroxide; succinic acid peroxide; or benzoyl peroxide; or any combination thereof. Alternatively, the peroxide can include a dialkyl peroxide, such as: dicumyl peroxide; 2,5-di(t-butylperoxy)-2,5-dimethylhexane; t-butyl cumyl peroxide; α,α-bis(t-butylperoxy)diisopropylbenzene mixture of isomers; di(t-amyl)peroxide; di(t-butyl)peroxide; or 2,5-di(t-butylperoxy)-2,5-dimethyl-3-hexyne; or any combination thereof. Alternatively, the peroxide can include a diperoxyketal, such as: 1,1-di(t-butylperoxy)-3,3,5-trimethylcyclohexane; 1,1-di(t-butylperoxy)cyclohexane; 1,1-di(t-amylperoxy)-cyclohexane; n-butyl 4,4-di(t-butylperoxy)valerate; ethyl 3,3-di-(t-amylperoxy)butanoate; t-butyl peroxy-2-ethylhexanoate; or ethyl 3,3-di(t-butylperoxy)butyrate; or any combination thereof. Alternatively, the peroxide can include a hydroperoxide, such as: cumene hydroperoxide; or t-butyl hydroperoxide; or any combination thereof. Alternatively, the peroxide can include a ketone peroxide, such as: methyl ethyl ketone peroxide; or 2,4-pentanedione peroxide; or any combination thereof. Alternatively, the peroxide can include a peroxydicarbonate, such as: di(n-propyl)peroxydicarbonate; di(sec-butyl)peroxydicarbonate; or di(2-ethylhexyl)peroxydicarbonate; or any combination thereof. Alternatively, the peroxide can include a peroxyester, such as: 3-hydroxyl-1,1-dimethylbutyl peroxyneodecanoate; α-cumyl peroxyneodecanoate; t-amyl peroxyneodecanoate; t-butyl peroxyneodecanoate; t-butyl peroxypivalate; 2,5-di(2-ethyl hexanoylperoxy)-2,5-dimethylhexane; t-amyl peroxy-2-ethylhexanoate; t-butyl peroxy-2-ethylhexanoate; t-amyl peroxyacetate; t-butyl peroxyacetate; t-butyl peroxybenzoate; OO-(t-amyl) O-(2-ethylhexyl)monoperoxycarbonate; OO-(t-butyl) O-isopropyl monoperoxycarbonate; OO-(t-butyl) O-(2-ethylhexyl) monoperoxycarbonate; polyether poly-t-butylperoxy carbonate; or t-butyl peroxy-3,5,5-trimethylhexanoate; or any combination thereof. Alternatively, the peroxide can include any combination of peroxides listed above.
  • The process chemistry supply system 130 can comprise a rinsing chemistry assembly (not shown) for providing rinsing chemistry for generating supercritical rinsing solutions within the processing chamber. The rinsing chemistry can include one or more organic solvents including, but not limited to, alcohols and ketones. For example, the rinsing chemistry can comprise solvents, such as N, N-dimethylacetamide (DMAc), gamma-butyrolactone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC), N-methylpyrrolidone (NMP), dimethylpiperidone, propylene carbonate, and alcohols (such a methanol, ethanol and 2-propanol).
  • Moreover, the process chemistry supply system 130 can be configured to introduce treating chemistry for curing, cleaning, healing (or restoring the dielectric constant of low-k materials), or sealing, or any combination, low dielectric constant films (porous or non-porous). The chemistry can include hexamethyldisilazane (HMDS), chlorotrimethylsilane (TMCS), trichloromethylsilane (TCMS), dimethylsilyldiethylamine (DMSDEA), tetramethyldisilazane (TMDS), trimethylsilyldimethylamine (TMSDMA), dimethylsilyldimethylamine (DMSDMA), trimethylsilyldiethylamine (TMSDEA), bistrimethylsilyl urea (BTSU), bis(dimethylamino)methyl silane (B[DMA]MS), bis(dimethylamino)dimethyl silane (B[DMA]DS), HMCTS, dimethylaminopentamethyldisilane (DMAPMDS), dimethylaminodimethyldisilane (DMADMDS), disila-aza-cyclopentane (TDACP), disila-oza-cyclopentane (TDOCP), methyltrimethoxysilane (MTMOS), vinyltrimethoxysilane (VTMOS), or trimethylsilylimidazole (TMSI). Additionally, the chemistry can include N-tert-butyl-1,1-dimethyl-1-(2,3,4,5-tetramethyl-2,4-cyclopentadiene-1-yl)silanamine, 1,3-diphenyl-1,1,3,3-tetramethyldisilazane, or tert-butylchlorodiphenylsilane. For further details, see U.S. patent application Ser. No. 10/682,196, filed Oct. 10, 2003, and titled “METHOD AND SYSTEM FOR TREATING A DIELECTRIC FILM”, and U.S. patent application Ser. No. 10/379,984, filed Mar. 4, 2003, and titled “METHOD OF PASSIVATING LOW DIELECTRIC MATERIALS IN WAFER PROCESSING”, both incorporated by reference herein.
  • The processing system 100 can comprise a high-pressure fluid supply system 140. As shown in FIG. 1, the high-pressure fluid supply system 140 can be coupled to the recirculation system 120 using one or more lines 145, but this is not required. The inlet line 145 can be equipped with one or more back-flow valves, and/or heaters (not shown) for controlling the fluid flow from the high-pressure fluid supply system 140. In alternate embodiments, high-pressure fluid supply system 140 can be configured differently and coupled differently. For example, the high-pressure fluid supply system 140 can be coupled to the process module 110.
  • The high-pressure fluid supply system 140 can comprise a carbon dioxide source (not shown) and a plurality of flow control elements (not shown) for generating a supercritical fluid. For example, the carbon dioxide source can include a CO2 feed system, and the flow control elements can include supply lines, valves, filters, pumps, and heaters. The high-pressure fluid supply system 140 can comprise an inlet valve (not shown) that is configured to open and close to allow or prevent the stream of supercritical carbon dioxide from flowing into the processing chamber 108. For example, controller 180 can be used to determine fluid parameters such as pressure, temperature, process time, and flow rate.
  • The processing system 100 can also comprise a pressure control system 160. As shown in FIG. 1, the pressure control system 160 can be coupled to the process module 110 using one or more lines 165, but this is not required. Line 165 can be equipped with one or more back-flow valves, pumps, and/or heaters (not shown) for controlling the fluid flow to pressure control system 160. In alternate embodiments, pressure control system 160 can be configured differently and coupled differently. For example, the pressure control system 160 can also include one or more pumps (not shown), and a sealing means (not shown) for sealing the processing chamber. In addition, the pressure control system 160 can comprise means for raising and lowering the substrate and/or the chuck.
  • In addition, the processing system 100 can comprise an exhaust control system 150. Alternately, an exhaust system may not be required. As shown in FIG. 1, the exhaust control system 150 can be coupled to the process module 110 using one or more lines 155, but this is not required. Line 155 can be equipped with one or more back-flow valves, and/or heaters (not shown) for controlling the fluid flow to the exhaust control system 150. In alternate embodiments, exhaust control system 150 can be configured differently and coupled differently. The exhaust control system 150 can include an exhaust gas collection vessel (not shown) and can be used to remove contaminants from the processing fluid. Alternately, the exhaust control system 150 can be used to recycle the processing fluid.
  • In one embodiment, controller 180 can comprise a processor 182 and a memory 184. Memory 184 can be coupled to processor 182, and can be used for storing information and instructions to be executed by processor 182. Alternately, different controller configurations can be used. In addition, controller 180 can comprise a port 185 that can be used to couple processing system 100 to another system (not shown). Furthermore, controller 180 can comprise input and/or output devices (not shown).
  • In addition, one or more of the processing elements (110, 120, 130, 140, 150, 160, and 180) can include memory (not shown) for storing information and instructions to be executed during processing and processors for processing information and/or executing instructions. For example, the memory can be used for storing temporary variables or other intermediate information during the execution of instructions by the various processors in the system. One or more of the processing elements can comprise the means for reading data and/or instructions from a computer readable medium. In addition, one or more of the processing elements can comprise the means for writing data and/or instructions to a computer readable medium.
  • Memory devices can include at least one computer readable medium or memory for holding computer-executable instructions programmed according to the teachings of the invention and for containing data structures, tables, records, or other data described herein.
  • The processing system 100 can perform a portion or all of the processing steps of the invention in response to the controller 180 executing one or more sequences of one or more computer-executable instructions contained in a memory. Such instructions can be received by the controller from another computer, a computer readable medium, or a network connection.
  • Stored on any one or on a combination of computer readable media, the present invention includes software for controlling the processing system 100, for driving a device or devices for implementing the invention, and for enabling the processing system 100 to interact with a human user and/or another system, such as a factory system. Such software can include, but is not limited to, device drivers, operating systems, development tools, and applications software. Such computer readable media further includes the computer program product of the present invention for performing all or a portion (if processing is distributed) of the processing performed in implementing the invention.
  • The term “computer readable medium” as used herein refers to any medium that participates in providing instructions to a processor for execution and/or that participates in storing information before, during, and/or after executing an instruction. A computer readable medium can take many forms, including but not limited to, non-volatile media, volatile media, and transmission media. The term “computer-executable instruction” as used herein refers to any computer code and/or software that can be executed by a processor, that provides instructions to a processor for execution and/or that participates in storing information before, during, and/or after executing an instruction.
  • Controller 180, processor 182, memory 184 and other processors and memory in other system elements as described thus far can, unless indicated otherwise below, be constituted by components known in the art or constructed according to principles known in the art. The computer readable medium and the computer executable instructions can also, unless indicated otherwise below, be constituted by components known in the art or constructed according to principles known in the art.
  • Controller 180 can use port 185 to obtain computer code and/or software from another system (not shown), such as a factory system. The computer code and/or software can be used to establish a control hierarchy. For example, the processing system 100 can operate independently, or can be controlled to some degree by a higher-level system (not shown).
  • The controller 180 can receive data from and/or send data to the pre-injection assembly 170. The controller 180 can include means for determining a temperature of the processing fluid in the pre-injection assembly 170, means for comparing the temperature to a threshold value, and means for altering the temperature of the processing fluid when the temperature is different from the threshold value. For example, additional cooling can be provided to the fluid in the recirculation loop when the temperature is greater than or equal to the threshold value, and additional heating can be provided to the fluid in the recirculation loop when the temperature is less than the threshold value.
  • In addition, the controller 180 can receive data from and/or send data to the temperature controlled process tubing 121 and/or the temperature-controlled process tubing 125. The controller 180 can include means for determining a temperature of the processing fluid in the process tubing (121, 125, and 171), means for comparing the temperature to a threshold value, and means for altering the temperature of the processing fluid when the temperature is different from the threshold value. For example, additional cooling can be provided to the fluid in the recirculation loop when the temperature is greater than or equal to the threshold value, and additional heating can be provided to the fluid in the recirculation loop when the temperature is less than the threshold value.
  • The controller 180 can use data from the pre-injection assembly 170 and/or the process tubing (121, 125, and 171) to determine when to alter, pause, and/or stop a process. The controller 180 can use the data and operational rules to determine when to change a process and how to change the process, and rules can be used to specify the action taken for normal processing and the actions taken on exceptional conditions. Operational rules can be used to determine which processes are monitored and which data is used. For example, rules can be used to determine how to manage the data when a process is changed, paused, and/or stopped. In general, rules allow system and/or tool operation to change based on the dynamic state of the system.
  • Controller 180 can receive, send, use, and/or generate pre-process data, process data, and post-process data, and this data can include lot data, batch data, run data, composition data, and history data. Pre-process data can be associated with an incoming substrate and can be used to establish an input state for a substrate and/or a current state for a process module. Process data can include process parameters. Post processing data can be associated with a processed substrate and can be used to establish an output state for a substrate The controller 180 can use the pre-process data to predict, select, or calculate a process recipe to use to process the substrate. A process recipe can include a multi-step process involving a set of process modules. Post-process data can be obtained at some point after the substrate has been processed. For example, post-process data can be obtained after a time delay that can vary from minutes to days.
  • In one embodiment, the controller 180 can compute a predicted fluid temperature based on the pre-process data, the process characteristics, and a process model. A process model can provide the relationship between one or more process recipe parameters, such as the temperature of the processing fluid and one or more process results. The controller 180 can compare the predicted value to the measured value to determine when to alter, pause, and/or stop a process.
  • In other embodiments, a reaction rate model can be used along with an expected fluid temperature at the substrate surface to compute a predicted value for the processing time, or a solubility model can be used along with an expected fluid temperature at the substrate surface to compute a predicted value for the processing time.
  • In another embodiment, the controller 180 can use historical data and/or process models to compute an expected value for the temperature of the fluid at various times during the process. The controller 180 can compare an expected temperature value to a measured temperature value to determine when to alter, pause, and/or stop a process.
  • In a supercritical cleaning/rinsing process, the desired process result can be a process result that is measurable using an optical measuring device, such as a SEM and/or TEM. For example, the desired process result can be an amount of residue and/or contaminant in a via or on the surface of a substrate. After one or more cleaning process run, the desired process can be measured.
  • In addition, at least one of the processing elements (110, 120, 130, 140, 150, 160, 170, and 180) can comprise a GUI component and/or a database component (not shown). In alternate embodiments, the GUI component and/or the database component may not be required.
  • It will be appreciated that the controller 180 can perform other functions in addition to those discussed here. The controller 180 can monitor variables associated with the other components in the processing system 100 and take actions based on these variables. For example, the controller 180 can process these variables, display these variables and/or results on a GUI screen, determine a fault condition, determine a response to a fault condition, and alert an operator.
  • FIG. 2 illustrates a simplified block diagram of a pre-injection assembly in accordance with an embodiment of the invention. In the illustrated embodiment, a pre-injection assembly 170 is shown that includes a fluid inlet means 210 having an input port 205, a supply assembly 220, a fluid outlet means 230 having an output port 235, and a controller 250. In alternate embodiments, different configurations can be used. For example, the pre-injection assembly 170 can be a portion of the high-pressure fluid supply system 140.
  • Input port 205 can be coupled to a high-pressure fluid source (not shown). For example, the high-pressure fluid source can provide a process fluid that can comprise gaseous, liquid, supercritical, or near-supercritical carbon dioxide, or combinations thereof, and the high-pressure fluid source can include one or more fluid cylinders, and/or one or more storage vessels.
  • The fluid inlet means 210 can comprise a flow control valve (not shown) that can be used for controlling the flow into the pre-injection assembly 170. In an alternate embodiment, the fluid inlet means 210 can include a heater and a sensor for pre-heating the fluid. In additional embodiments, the fluid inlet means 210 can include a regulator, a valve, a pump, a vent, a coupling, a filter, piping, and/or safety devices (not shown). In addition, the fluid inlet means 210 can comprise one or more flow restrictors for regulating the flow. For example, flow restrictors having different sizes can be used to vary the flow rate, and smaller sized orifices can be used for slower flow and larger sized orifices for faster flow.
  • In one embodiment, the fluid inlet means 210 can be coupled to a supply assembly 220. In an alternate embodiment, a filter (not shown) can be used to couple the fluid inlet means 210 to a supply assembly 220.
  • Supply assembly 220 can comprise a chamber 222, heater subassembly 224, insulation 226, and a sensor subassembly 228. For example, the chamber 222 can be configured using a high strength metal, such as stainless steel 316L. Chamber 222 can have a volume that can vary from approximately three times to approximately twenty times the volume of the recirculation loop 115 (FIG. 1). The chamber 222 can have an operating pressure up to 10,000 psi, and an operating temperature up to 300 degrees Celsius.
  • Heater subassembly 224 can comprise a heating element (not shown) and can cover at least ninety percent of the outside surface area of the chamber 222. For example, heating element 224 can include a high temperature blanket heater, such as a silicone blanket heater from Watlow. Insulation 226 can comprise a high temperature material (not shown) and can cover at least ninety percent of the outside surface area of the heating element. For example, insulation 226 can include high-temperature insulation, such as Silicone foam from Quantum Silicones. Heater subassembly 224 and insulation 226 can maintain an operating temperature up to 300 degrees Celsius in the chamber 222. Heater subassembly 224 and insulation 226 can be configured using one or more pieces that can be easily replaced during a maintenance operation.
  • Sensor subassembly 228 can comprise one or more temperature sensors (not shown) coupled to the chamber 222 at different locations. Alternately, the sensor subassembly 228 can also include a flow sensor and/or pressure sensor (not shown) that can be coupled to the chamber 222 at different locations. Sensor subassembly 228 can measure operating temperatures up to 300 degrees Celsius in the chamber 222.
  • The sensor can comprise a temperature sensor that can include a thermocouple, a temperature-indicating resistor, a radiation type temperature sensor, a thermistor, a thermometer, a pyrometer, a micro-electromechanical (MEM) device, or a resistance temperature detector (RTD), or a combination thereof. The sensor can include a contact-type sensor or a non-contact sensor. For example, a K-type thermocouple, a Pt sensor, a bimetallic thermocouple, or a temperature indicating platinum resistor can be used. For example, sensor subassembly 228 can include a high temperature sensor, such as k-type thermocouple from Omega.
  • The controller 250 can be coupled to the heater subassembly 224 and the sensor subassembly 228 and can be used to control the heater subassembly 224 and the sensor subassembly 228. Alternately, controller 250 may not be required. For example, controller 180 can be used to control the heater subassembly 224 and the sensor subassembly 228. In additional embodiments, the supply assembly 220 can include a regulator, a valve, a pump, a vent, a coupling, a filter, piping, a cooling device, and/or safety devices (not shown).
  • In one embodiment, the supply assembly 220 can be coupled to a fluid outlet means 230. In an alternate embodiment, a filter (not shown) can be used to couple the supply assembly 220 to the fluid outlet means 230.
  • The fluid outlet means 230 can comprise a flow control valve (not shown) that can be used for controlling the flow out of the pre-injection assembly 170. For example, a multi-port valve can be used. In an alternate embodiment, the fluid outlet means 230 can include a heater and a sensor for post-heating the fluid. In additional embodiments, the fluid outlet means 230 can include a regulator, a valve, a sensor, a pump, a vent, a coupling, a filter, piping, and/or safety devices (not shown). For example, the fluid outlet means 230 can include a measuring means (not shown) for measuring the flow rate and/or temperature of the fluid passing therethrough. In addition, the fluid outlet means 230 can comprise one or more flow restrictors for regulating the flow. For example, flow restrictors having different sizes can be used to vary the flow rate, and smaller sized orifices can be used for slower flow and larger sized orifices for faster flow.
  • The pre-injection assembly 170 can be used to provide a temperature controlled supercritical fluid that can include supercritical carbon dioxide.
  • In an alternate embodiment, the pre-injection assembly 170 can be used to provide a temperature controlled supercritical fluid that can include supercritical carbon dioxide admixed with process chemistry. For example, the pre-injection assembly 170 can be coupled to the process chemistry supply system 130 (FIG. 1), and the can comprise a mixing vessel (not shown) and/or a storage vessel (not shown), and one or more vessels can be heated.
  • Controller 250 can also be used to control the fluid inlet means 210 and fluid outlet means 230. Alternately, controller 250 may not be required. For example, controller 180 can be used to control the fluid inlet means 210 and fluid outlet means 230.
  • During substrate processing, providing processing fluids at an incorrect temperature can have a negative affect on the process. For example, an incorrect temperature can affect the process chemistry, process dropout, and process uniformity. In one embodiment, the pre-injection assembly 170 is used during a major portion of the substrate processing so that the impact of temperature on the process is minimized.
  • In another embodiment, the pre-injection assembly 170 can be used during a maintenance or system cleaning operation in which cleaning chemistry is used to remove process by-products and/or particles from the interior surfaces of the system. This is a preventative maintenance operation in which maintaining the correct temperature prevents material from adhering to the interior surfaces of the system that can be dislodged later during processing and that can cause unwanted particle deposition on a substrate.
  • FIG. 3 illustrates an exemplary graph of pressure versus time for a supercritical process step in accordance with embodiments of the invention. In the illustrated embodiment, a graph 300 of pressure versus time is shown, and the graph 300 can be used to represent a supercritical cleaning process step, a supercritical rinsing process step, or a supercritical curing process step, or a combination thereof. Alternately, different pressures, different timing, and different sequences can be used for different processes. In addition, although a single time sequence is illustrated in FIG. 3, this is not required for the invention. Alternately, multi-sequence processes can be used.
  • Referring to FIGS. 1-3, prior to an initial time T0, the substrate to be processed can be placed within the processing chamber 108 and the processing chamber can be sealed. For example, during cleaning, rinsing, and/or curing processes, a substrate can have post-etch and/or post-ash residue thereon. The substrate, the processing chamber, and the other elements in the recirculation loop 115 can be heated to an operational temperature that can range from approximately 40 to approximately 300 degrees Celsius. For example, the temperature of temperature controlled process tubing (121, 125, and 171) can be established and/or maintained at the required operational value. Furthermore, temperature of pre-injection assembly 170 can be established and/or maintained at the required operational value.
  • During time T1, the processing chamber 108 and the other elements in the recirculation loop 115 can be pressurized. During at least one portion of the time T1, the high-pressure fluid supply system 140 and/or the pre-injection assembly 170 can be coupled into the flow path and can be used to provide temperature controlled carbon dioxide into the processing chamber and/or other elements in the recirculation loop 115. For example, the temperature variation of the temperature-controlled carbon dioxide can be controlled to be less than approximately ten degrees Celsius during the pressurization process. Alternately, the temperature variation can be controlled to be less than approximately five degrees Celsius.
  • During time T1, a pump (not shown) in the recirculation system 120 can be started and can be used to circulate the temperature controlled fluid through the monitoring system, the processing chamber, and the other elements in the recirculation loop. In one embodiment, sensors in the temperature controlled process tubing (121, 125, and 171) can operate while the fluid is being circulated and can provide temperature data for the fluid flowing at different points in the loop. Alternately, these sensors may not be operated during this portion of the time T1.
  • In one embodiment, when the pressure in the processing chamber 108 exceeds a critical pressure Pc (1,070 psi), process chemistry can be injected into the recirculation loop 115 using the process chemistry supply system 130. In one embodiment, additional high-pressure fluid is not provided when the process chemistry is injected. Alternately, additional high-pressure fluid can be provided when the process chemistry is injected.
  • In other embodiments, process chemistry can be injected into the processing chamber 108 before the pressure exceeds the critical pressure Pc (1,070 psi) using the process chemistry supply system 130. For example, the injection(s) of the process chemistries can begin upon reaching about 1100-1200 psi. In other embodiments, process chemistry is not injected during the T1 period.
  • In addition, sensors in the processing module 110 and/or the temperature controlled process tubing (121, 125, and 171) can provide data before, during, and/or after the process chemistry is injected, and data, such as temperature data, can be used to control the injection process. Process chemistry can be injected in a linear fashion, and the injection time can be based on a recirculation time. For example, the recirculation time can be determined based on the length of the recirculation path and the flow rate. In other embodiments, process chemistry can be injected in a non-linear fashion. For example, process chemistry can be injected in one or more steps.
  • The process chemistry can include a cleaning agent, a rinsing agent, or a curing agent, or a combination thereof that is injected into the supercritical fluid. One or more injections of process chemistries can be performed over the duration of time T1 to generate a supercritical processing solution with the desired concentrations of chemicals. The process chemistry, in accordance with the embodiments of the invention, can also include one more or more carrier solvents.
  • Still referring to FIGS. 1-3, during a second time T2, the supercritical processing solution can be re-circulated over the substrate and through the temperature controlled process tubing (121, 125, and 171), the processing chamber 108, and the other elements in the recirculation loop 115.
  • In one embodiment, sensors in the processing module 110 and/or the temperature controlled process tubing (121, 125, and 171) can provide data while the supercritical processing solution is being re-circulated, and data, such as temperature data, can be used to control the process. Alternately, one or more sensors may not be operated while the supercritical processing solution is being re-circulated. The high-pressure fluid supply system 140 and/or the pre-injection assembly 170 can be used to control the chemical composition while the supercritical processing solution is being re-circulated. In one embodiment, additional high-pressure fluid is not provided, and additional process chemistry is not injected during the second time T2. Alternatively, additional high-pressure fluid can be provided, and/or additional process chemistry can be injected during the second time T2.
  • The processing chamber 108 can operate at a pressure above 1,500 psi during the second time T2. For example, the pressure can range from approximately 2,500 psi to approximately 3,100 psi, but can be any value so long as the operating pressure is sufficient to maintain supercritical conditions. The supercritical processing solution can be circulated over the substrate and through the recirculation loop 115. The supercritical conditions within the processing chamber 108 and the other elements in the recirculation loop 115 are maintained during the second time T2, and the supercritical processing solution continues to be circulated over the substrate and through the processing chamber 108 and the other elements in the recirculation loop 115. The recirculation system 120 can be used to regulate the flow of the supercritical processing solution through the processing chamber 108 and the other elements in the recirculation loop 115.
  • Still referring to FIGS. 1-3, during a third time T3, one or more push-through processes can be performed. The high-pressure fluid supply system 140 and/or the pre-injection assembly 170 can comprise means for providing a first volume of temperature-controlled fluid during a push-through process, and the first volume can be larger than the volume of the recirculation loop. Alternately, the first volume can be less than or approximately equal to the volume of the recirculation loop. In addition, the temperature differential within the first volume of temperature-controlled fluid during the push-through process can be controlled to be less than approximately ten degrees Celsius. Alternately, the temperature differential can be controlled to be less than approximately five degrees Celsius.
  • In one embodiment, a sensor in the processing module 110, a sensor in the pre-injection assembly 170, or a sensor in the temperature controlled process tubing (121, 125, and 171), or a combination thereof can provide data before, during, and/or after a push-through process is performed, and data, such as temperature data, can be used to control the push-through process. Alternately, one or more sensors may not be operated during a push-through process. The sensor data can be used to control the fluid temperature and/or flow rate during a push-through process. For example, during the third time T3, one or more volumes of temperature controlled supercritical carbon dioxide can be fed into the recirculation loop 115 from the high-pressure fluid supply system 140 and/or the pre-injection assembly 170, and the supercritical processing solution along with process residue suspended or dissolved therein can be displaced from the processing chamber 108 and the other elements in the recirculation loop 115 through the exhaust control system 150. Providing temperature-controlled fluid during the push-through process prevents process residue suspended or dissolved within the fluid being displaced from the processing chamber 108 and the other elements in the recirculation loop 115 from dropping out and/or adhering to the processing chamber 108 and the other elements in the recirculation loop 115. In addition, during the third time T3, the temperature of the fluid supplied by the high-pressure fluid supply system 140 and/or the pre-injection assembly 170 can vary over a wider temperature range than the range used during the second time T2.
  • In the illustrated embodiment shown in FIG. 3, a single second time T2 is followed by a single third time T3, but this is not required. In alternate embodiments, other time sequences can be used to process a substrate.
  • During a fourth time T4, a pressure cycling process can be performed, and the processing chamber 108 can be cycled through one or more decompression and compression cycles. Alternately, one or more pressure cycles can occur during the push-through process. In other embodiments, a pressure cycling process is not required. The pressure can be cycled between a first pressure P3 and a second pressure P4 one or more times. In alternate embodiments, the first pressure P3 and a second pressure P4 can vary. In one embodiment, the pressure can be lowered by venting through the exhaust control system 150. For example, pressure cycling can be accomplished by lowering the pressure to below approximately 1,500 psi and raising the pressure to above approximately 2,500 psi. The pressure can be increased by using the high-pressure fluid supply system 140 and/or the pre-injection assembly 170 to provide additional high-pressure fluid.
  • The high-pressure fluid supply system 140 and/or the pre-injection assembly 170 can comprise means for providing a first volume of temperature-controlled fluid during a compression cycle, and the first volume can be larger than the volume of the recirculation loop. Alternately, the first volume can be less than or approximately equal to the volume of the recirculation loop. In addition, the temperature differential within the first volume of temperature-controlled fluid during the compression cycle can be controlled to be less than approximately ten degrees Celsius. Alternately, the temperature differential can be controlled to be less than approximately five degrees Celsius.
  • In addition, the high-pressure fluid supply system 140 and/or the pre-injection assembly 170 can comprise means for providing a second volume of temperature-controlled fluid during a decompression cycle, and the second volume can be larger than the volume of the recirculation loop. Alternately, the second volume can be less than or approximately equal to the volume of the recirculation loop. In addition, the temperature differential within the second volume of temperature-controlled fluid during the decompression cycle can be controlled to be less than approximately ten degrees Celsius. Alternately, the temperature differential can be controlled to be less than approximately five degrees Celsius.
  • In one embodiment, a sensor in the processing module 110, a sensor in the pre-injection assembly 170, or a sensor in the temperature controlled process tubing (121, 125, and 171), or a combination thereof can provide data before, during, and/or after a pressure cycling process is performed, and data, such as temperature data, can be used to control the pressure cycling process. Alternately, one or more sensors may not be operated during a pressure cycling process. The sensor data can be used to control the fluid temperature and/or flow rate during a pressure cycling process. For example, during the fourth time T4, one or more volumes of temperature controlled supercritical carbon dioxide can be fed into the processing chamber 108 and the other elements in the recirculation loop 115 from high-pressure fluid supply system 140 and/or the pre-injection assembly 170, and the supercritical processing solution along with process residue suspended or dissolved therein can be displaced from the processing chamber 108 and the other elements in the recirculation loop 115 through the exhaust control system 150.
  • Providing temperature-controlled fluid during the pressure cycling process prevents process residue suspended or dissolved within the fluid being displaced from the processing chamber 108 and the other elements in the recirculation loop 115 from dropping out and/or adhering to the processing chamber 108 and the other elements in the recirculation loop 115. In addition, during the fourth time T4, the temperature of the fluid supplied by the high-pressure fluid supply system 140 and/or the pre-injection assembly 170 can vary over a wider temperature range than the range used during the second time T2.
  • In the illustrated embodiment shown in FIG. 3, a single third time T3 is followed by a single fourth time T4, but this is not required. In alternate embodiments, other time sequences can be used to process a substrate.
  • In an alternate embodiment, the high-pressure fluid supply system 140 and/or the pre-injection assembly 170 can be switched off during a portion of the fourth time T4.
  • During a fifth time T5, the processing chamber 108 can be returned to lower pressure. For example, after a supercritical process is completed, the processing chamber can be vented or exhausted to a pressure compatible with a transfer system
  • In one embodiment, a sensor in the processing module 110, a sensor in the pre-injection assembly 170, or a sensor in the temperature controlled process tubing (121, 125, and 171), or a combination thereof can provide data before, during, and/or after a venting process is performed, and data, such as temperature data, can be used to control the venting process. Alternately, one or more sensors may not be operated during a venting process. The high-pressure fluid supply system 140 and/or the pre-injection assembly 170 can comprise means for providing a volume of temperature-controlled fluid during a venting process, and the volume can be larger than the volume of the recirculation loop. Alternately, the volume can be less than or approximately equal to the volume of the recirculation loop. For example, during the fifth time T5, one or more volumes of temperature controlled supercritical carbon dioxide can be fed into the processing chamber 108 and the other elements in the recirculation loop 115 from the high-pressure fluid supply system 140 and/or the pre-injection assembly 170, and the remaining processing solution along with process residue suspended or dissolved therein can be displaced from the processing chamber 108 and the other elements in the recirculation loop 115 through the exhaust control system 150.
  • In the illustrated embodiment shown in FIG. 3, a single fourth time T4 is followed by a single fifth time T5, but this is not required. In alternate embodiments, other time sequences can be used to process a substrate.
  • In one embodiment, during a portion of the fifth time T5, the high-pressure fluid supply system 140 and/or the pre-injection assembly 170 can be switched off. In addition, the temperature of the fluid supplied by the high-pressure fluid supply system 140 and/or the pre-injection assembly 170 can vary over a wider temperature range than the range used during the second time T2. For example, the temperature can range below the temperature required for supercritical operation.
  • For substrate processing, the chamber pressure can be made substantially equal to the pressure inside of a transfer chamber (not shown) coupled to the processing chamber. In one embodiment, the substrate can be moved from the processing chamber into the transfer chamber, and moved to a second process apparatus or module to continue processing.
  • In the illustrated embodiment shown in FIG. 3, the pressure returns to an initial pressure P0, but this is not required for the invention. In alternate embodiments, the pressure does not have to return to P0, and the process sequence can continue with additional time steps such as those shown in time steps T1, T2, T3, T4, or T5
  • The graph 300 is provided for exemplary purposes only. It will be understood by those skilled in the art that a supercritical processing step can have any number of different time/pressures or temperature profiles without departing from the scope of the invention. Further, any number of cleaning, rinsing, and/or curing process sequences with each step having any number of compression and decompression cycles are contemplated. In addition, as stated previously, concentrations of various chemicals and species within a supercritical processing solution can be readily tailored for the application at hand and altered at any time within a supercritical processing step.
  • FIG. 4 illustrates a flow diagram of a method for monitoring the temperature of a high-pressure processing fluid flowing through a recirculation loop in a high-pressure processing system in accordance with an embodiment of the invention. Procedure 400 starts in 410 wherein a substrate can be positioned within a processing chamber that is part of the recirculation loop.
  • In 420, a process temperature can be determined.
  • In 430, a volume of fluid can be provided to the pre-injection assembly and the pre-injection assembly can heat the volume of fluid to the process temperature.
  • In 440, a first volume of temperature-controlled fluid can be provided from the pre-injection assembly to the processing chamber and the other elements in the recirculation loop. Alternately, the pre-injection assembly can provide different volumes to the processing chamber and/or other elements in the recirculation loop.
  • In 450, an additional volume of fluid can be provided to the pre-injection assembly and the pre-injection assembly can heat the additional volume of fluid to the process temperature.
  • In 460, procedure 400 can end. For example, the pre-injection assembly can maintain the fluid in the pre-injection assembly at the process temperature.
  • While the invention has been described in terms of specific embodiments incorporating details to facilitate the understanding of the principles of construction and operation of the invention, such reference herein to specific embodiments and details thereof is not intended to limit the scope of the claims appended hereto. It will be apparent to those skilled in the art that modifications may be made in the embodiments chosen for illustration without departing from the spirit and scope of the invention.

Claims (14)

1. A system for regulating a processing fluid temperature within a high-pressure processing system, the system comprising:
a high-pressure, temperature-controlled recirculation loop comprising a high-pressure, temperature-controlled processing chamber and a high-pressure, temperature-controlled recirculation system coupled to the high-pressure, temperature-controlled processing chamber, wherein the processing fluid flows through the high-pressure, temperature-controlled recirculation loop;
a pre-injection assembly coupled to the high-pressure, temperature-controlled recirculation loop and comprising means for supplying high-pressure, temperature-controlled fluid to the high-pressure, temperature-controlled recirculation loop;
a process chemistry supply system coupled to the high-pressure, temperature-controlled recirculation loop and comprising means for supplying process chemistry to the high-pressure, temperature-controlled recirculation loop; and
a controller coupled to the high-pressure, temperature-controlled processing chamber, the high-pressure, temperature-controlled recirculation system, the pre-injection assembly, and the process chemistry supply system wherein the controller comprises means for determining required process temperature data, means for obtaining measured temperature data for the processing fluid in the pre-injection assembly, means for comparing the required process temperature data to the measured temperature data, and means for changing the temperature of the processing fluid in the pre-injection assembly when the measured temperature data is substantially greater than or substantially less than the required process temperature data.
2. The system as claimed in claim 1, wherein the pre-injection assembly comprises:
a fluid inlet means comprising an input port;
a supply assembly coupled to the fluid inlet means;
a fluid outlet means comprising an output port and being coupled to the supply assembly; and
a controller coupled to the fluid inlet means, coupled to the supply assembly, and coupled to the fluid outlet means.
3. The system as claimed in claim 2, wherein the supply assembly comprises a chamber, heater assembly, insulation, and a sensor subassembly.
4. The system as claimed in claim 3, wherein the chamber volume is between approximately three times and approximately twenty times the volume of the high-pressure, temperature-controlled recirculation loop and the chamber has an operating pressure up to 10,000 psi, and an operating temperature up to 300 degrees Celsius.
5. The system as claimed in claim 3, wherein the heater subassembly comprises a removable high temperature blanket heater.
6. The system as claimed in claim 3, wherein the insulation comprises a removable high-temperature insulating blanket.
7. The system as claimed in claim 3, wherein the sensor subassembly comprises a temperature sensor, a flow sensor, a pressure sensor, or a combination thereof.
8. The system as claimed in claim 7, wherein the temperature sensor comprises a thermocouple, a temperature-indicating resistor, a radiation type temperature sensor, a thermistor, a thermometer, a pyrometer, a micro-electromechanical (MEM) device, or a resistance temperature detector (RTD), or a combination thereof.
9. The system as claimed in claim 3, wherein the sensor subassembly is configured to operate at pressures above 3000 psi.
10. The system as claimed in claim 1, wherein the processing fluid comprises gaseous, liquid, supercritical, or near-supercritical carbon dioxide, or a combination of two or more thereof.
11. The system as claimed in claim 1, wherein the process chemistry comprises a cleaning agent, a rinsing agent, a curing agent, a drying agent, or an etching agent, or a combination of two or more thereof.
12. The system as claimed in claim 1, wherein the high-pressure, temperature-controlled recirculation loop further comprises temperature controlled process tubing coupling the high-pressure, temperature-controlled processing chamber to the high-pressure, temperature-controlled recirculation system, wherein the processing fluid flows through the temperature controlled process tubing.
13. The system as claimed in claim 12, wherein the temperature controlled process tubing comprises a heater and an insulation layer.
14-22. (canceled)
US12/511,231 2005-03-29 2009-07-29 Phase change based heating element system and method Abandoned US20100000681A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/511,231 US20100000681A1 (en) 2005-03-29 2009-07-29 Phase change based heating element system and method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/093,536 US20060226117A1 (en) 2005-03-29 2005-03-29 Phase change based heating element system and method
US12/511,231 US20100000681A1 (en) 2005-03-29 2009-07-29 Phase change based heating element system and method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/093,536 Division US20060226117A1 (en) 2005-03-29 2005-03-29 Phase change based heating element system and method

Publications (1)

Publication Number Publication Date
US20100000681A1 true US20100000681A1 (en) 2010-01-07

Family

ID=37082200

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/093,536 Abandoned US20060226117A1 (en) 2005-03-29 2005-03-29 Phase change based heating element system and method
US12/511,231 Abandoned US20100000681A1 (en) 2005-03-29 2009-07-29 Phase change based heating element system and method

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/093,536 Abandoned US20060226117A1 (en) 2005-03-29 2005-03-29 Phase change based heating element system and method

Country Status (1)

Country Link
US (2) US20060226117A1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080206949A1 (en) * 2007-02-28 2008-08-28 Semiconductor Technology Academic Research Center Apparatus for forming conductor, method for forming conductor, and method for manufacturing semiconductor device
US20100024778A1 (en) * 2008-08-01 2010-02-04 Goodrich Control Systems Fuel Pumping System
US20120006356A1 (en) * 2010-07-12 2012-01-12 Tokyo Electron Limited Substrate Processing Apparatus, Substrate Processing Method, and Computer-Readable Storage Medium
US8133806B1 (en) 2010-09-30 2012-03-13 S.O.I.Tec Silicon On Insulator Technologies Systems and methods for forming semiconductor materials by atomic layer deposition
US8486192B2 (en) 2010-09-30 2013-07-16 Soitec Thermalizing gas injectors for generating increased precursor gas, material deposition systems including such injectors, and related methods
TWI648814B (en) * 2016-11-08 2019-01-21 中微半導體設備(上海)有限公司 Temperature control wafer mounting table and temperature control method

Families Citing this family (361)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) * 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (en) 2012-05-02 2018-05-01 Asm智慧財產控股公司 Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9604153B1 (en) * 2012-07-31 2017-03-28 Quinlan Properties, LLC Separation systems and methods of using them
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10283344B2 (en) * 2014-07-11 2019-05-07 Applied Materials, Inc. Supercritical carbon dioxide process for low-k thin films
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
WO2018222771A1 (en) 2017-06-02 2018-12-06 Applied Materials, Inc. Dry stripping of boron carbide hardmask
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
CN111095513B (en) 2017-08-18 2023-10-31 应用材料公司 High-pressure high-temperature annealing chamber
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20200042009A (en) 2017-09-12 2020-04-22 어플라이드 머티어리얼스, 인코포레이티드 Apparatus and methods for manufacturing semiconductor structures using a protective barrier layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
KR102585074B1 (en) 2017-11-11 2023-10-04 마이크로머티어리얼즈 엘엘씨 Gas delivery system for high pressure processing chamber
KR102622303B1 (en) 2017-11-16 2024-01-05 어플라이드 머티어리얼스, 인코포레이티드 High pressure steam annealing processing equipment
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
KR20200075892A (en) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 Condenser system for high pressure treatment systems
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
CN111344522B (en) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 Including clean mini-environment device
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
SG11202006867QA (en) 2018-01-24 2020-08-28 Applied Materials Inc Seam healing using high pressure anneal
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102536820B1 (en) 2018-03-09 2023-05-24 어플라이드 머티어리얼스, 인코포레이티드 High pressure annealing process for metal containing materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
JP7179172B6 (en) 2018-10-30 2022-12-16 アプライド マテリアルズ インコーポレイテッド Method for etching structures for semiconductor applications
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
CN112996950A (en) 2018-11-16 2021-06-18 应用材料公司 Film deposition using enhanced diffusion process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP7197396B2 (en) * 2019-02-06 2022-12-27 東京エレクトロン株式会社 SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
JP2021172884A (en) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride-containing layer and structure comprising vanadium nitride-containing layer
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2625886A (en) * 1947-08-21 1953-01-20 American Brake Shoe Co Pump
US2873597A (en) * 1955-08-08 1959-02-17 Victor T Fahringer Apparatus for sealing a pressure vessel
US3642020A (en) * 1969-11-17 1972-02-15 Cameron Iron Works Inc Pressure operated{13 positive displacement shuttle valve
US3646948A (en) * 1969-01-06 1972-03-07 Hobart Mfg Co Hydraulic control system for a washing machine
US4145161A (en) * 1977-08-10 1979-03-20 Standard Oil Company (Indiana) Speed control
US4244557A (en) * 1977-10-07 1981-01-13 Leybold-Heraeus Gmbh High vacuum seal
US4245154A (en) * 1977-09-24 1981-01-13 Tokyo Ohka Kogyo Kabushiki Kaisha Apparatus for treatment with gas plasma
US4316750A (en) * 1981-01-16 1982-02-23 Western Electric Company, Inc. Apparatus and method for cleaning a flux station of a soldering system
US4367140A (en) * 1979-11-05 1983-01-04 Sykes Ocean Water Ltd. Reverse osmosis liquid purification apparatus
US4426388A (en) * 1982-04-02 1984-01-17 Merck & Co., Inc. 5-Benzothiazolesulfonamide derivatives for the topical treatment of elevated intraocular pressure
US4574184A (en) * 1982-10-20 1986-03-04 Kurt Wolf & Co. Kg Saucepan and cover for a cooking utensil, particulary a steam pressure cooking pan
US4730630A (en) * 1986-10-27 1988-03-15 White Consolidated Industries, Inc. Dishwasher with power filtered rinse
US4983223A (en) * 1989-10-24 1991-01-08 Chenpatents Apparatus and method for reducing solvent vapor losses
US5091207A (en) * 1989-07-20 1992-02-25 Fujitsu Limited Process and apparatus for chemical vapor deposition
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5186594A (en) * 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
US5188515A (en) * 1990-06-08 1993-02-23 Lewa Herbert Ott Gmbh & Co. Diaphragm for an hydraulically driven diaphragm pump
US5190373A (en) * 1991-12-24 1993-03-02 Union Carbide Chemicals & Plastics Technology Corporation Method, apparatus, and article for forming a heated, pressurized mixture of fluids
US5191993A (en) * 1991-03-04 1993-03-09 Xorella Ag Device for the shifting and tilting of a vessel closure
US5193560A (en) * 1989-01-30 1993-03-16 Kabushiki Kaisha Tiyoda Sisakusho Cleaning system using a solvent
US5196134A (en) * 1989-12-20 1993-03-23 Hughes Aircraft Company Peroxide composition for removing organic contaminants and method of using same
US5195878A (en) * 1991-05-20 1993-03-23 Hytec Flow Systems Air-operated high-temperature corrosive liquid pump
US5197800A (en) * 1991-06-28 1993-03-30 Nordson Corporation Method for forming coating material formulations substantially comprised of a saturated resin rich phase
US5280693A (en) * 1991-10-14 1994-01-25 Krones Ag Hermann Kronseder Maschinenfabrik Vessel closure machine
US5285352A (en) * 1992-07-15 1994-02-08 Motorola, Inc. Pad array semiconductor device with thermal conductor and process for making the same
US5285845A (en) * 1991-01-15 1994-02-15 Nordinvent S.A. Heat exchanger element
US5288333A (en) * 1989-05-06 1994-02-22 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefore
US5290361A (en) * 1991-01-24 1994-03-01 Wako Pure Chemical Industries, Ltd. Surface treating cleaning method
US5294261A (en) * 1992-11-02 1994-03-15 Air Products And Chemicals, Inc. Surface cleaning using an argon or nitrogen aerosol
US5298032A (en) * 1991-09-11 1994-03-29 Ciba-Geigy Corporation Process for dyeing cellulosic textile material with disperse dyes
US5378311A (en) * 1992-12-04 1995-01-03 Sony Corporation Method of producing semiconductor device
US5377705A (en) * 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5397220A (en) * 1993-03-18 1995-03-14 Nippon Shokubai Co., Ltd. Canned motor pump
US5482564A (en) * 1994-06-21 1996-01-09 Texas Instruments Incorporated Method of unsticking components of micro-mechanical devices
US5486212A (en) * 1991-09-04 1996-01-23 The Clorox Company Cleaning through perhydrolysis conducted in dense fluid medium
US5494526A (en) * 1994-04-08 1996-02-27 Texas Instruments Incorporated Method for cleaning semiconductor wafers using liquified gases
US5500081A (en) * 1990-05-15 1996-03-19 Bergman; Eric J. Dynamic semiconductor wafer processing using homogeneous chemical vapors
US5501761A (en) * 1994-10-18 1996-03-26 At&T Corp. Method for stripping conformal coatings from circuit boards
US5706319A (en) * 1996-08-12 1998-01-06 Joseph Oat Corporation Reactor vessel seal and method for temporarily sealing a reactor pressure vessel from the refueling canal
US5704416A (en) * 1993-09-10 1998-01-06 Aavid Laboratories, Inc. Two phase component cooler
US5714299A (en) * 1996-11-04 1998-02-03 Xerox Corporation Processes for toner additives with liquid carbon dioxide
US5718956A (en) * 1994-12-29 1998-02-17 Bentley-Harris Inc. Reflective foam sleeve
US5725987A (en) * 1996-11-01 1998-03-10 Xerox Corporation Supercritical processes
US5726211A (en) * 1996-03-21 1998-03-10 International Business Machines Corporation Process for making a foamed elastometric polymer
US5727618A (en) * 1993-08-23 1998-03-17 Sdl Inc Modular microchannel heat exchanger
US5730874A (en) * 1991-06-12 1998-03-24 Idaho Research Foundation, Inc. Extraction of metals using supercritical fluid and chelate forming legand
US5872061A (en) * 1997-10-27 1999-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma etch method for forming residue free fluorine containing plasma etched layers
US5872257A (en) * 1994-04-01 1999-02-16 University Of Pittsburgh Further extractions of metals in carbon dioxide and chelating agents therefor
US5870823A (en) * 1996-11-27 1999-02-16 International Business Machines Corporation Method of forming a multilayer electronic packaging substrate with integral cooling channels
US5874795A (en) * 1995-12-28 1999-02-23 Japan Servo Co., Ltd Multi-phase permanent-magnet type electric rotating machine
US5873948A (en) * 1994-06-07 1999-02-23 Lg Semicon Co., Ltd. Method for removing etch residue material
US5876655A (en) * 1995-02-21 1999-03-02 E. I. Du Pont De Nemours And Company Method for eliminating flow wrinkles in compression molded panels
US5880017A (en) * 1994-08-08 1999-03-09 Hewlett-Packard Co. Method of bumping substrates by contained paste deposition
US5880524A (en) * 1997-05-05 1999-03-09 Intel Corporation Heat pipe lid for electronic packages
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5881577A (en) * 1996-09-09 1999-03-16 Air Liquide America Corporation Pressure-swing absorption based cleaning methods and systems
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US5888050A (en) * 1996-10-30 1999-03-30 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
US6010316A (en) * 1996-01-16 2000-01-04 The Board Of Trustees Of The Leland Stanford Junior University Acoustic micropump
US6014312A (en) * 1997-03-17 2000-01-11 Curamik Electronics Gmbh Cooler or heat sink for electrical components or circuits and an electrical circuit with this heat sink
US6013164A (en) * 1997-06-25 2000-01-11 Sandia Corporation Electokinetic high pressure hydraulic system
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6019882A (en) * 1997-06-25 2000-02-01 Sandia Corporation Electrokinetic high pressure hydraulic system
US6021791A (en) * 1998-06-29 2000-02-08 Speedfam-Ipec Corporation Method and apparatus for immersion cleaning of semiconductor devices
US6024801A (en) * 1995-05-31 2000-02-15 Texas Instruments Incorporated Method of cleaning and treating a semiconductor device including a micromechanical device
US6023934A (en) * 1996-08-16 2000-02-15 American Superconductor Corp. Methods and apparatus for cooling systems for cryogenic power conversion electronics
US6029371A (en) * 1997-09-17 2000-02-29 Tokyo Electron Limited Drying treatment method and apparatus
US6171645B1 (en) * 1995-11-16 2001-01-09 Texas Instruments Incorporated Polyol-based method for forming thin film aerogels on semiconductor substrates
US6171067B1 (en) * 1997-09-25 2001-01-09 Caliper Technologies Corp. Micropump
US6174675B1 (en) * 1997-11-25 2001-01-16 Caliper Technologies Corp. Electrical current for controlling fluid parameters in microchannels
US6176962B1 (en) * 1990-02-28 2001-01-23 Aclara Biosciences, Inc. Methods for fabricating enclosed microchannel structures
US6182742B1 (en) * 1996-06-21 2001-02-06 Hitachi, Ltd. Cooling apparatus for use in an electronic system
US6186722B1 (en) * 1997-02-26 2001-02-13 Fujitsu Limited Chamber apparatus for processing semiconductor devices
US6186660B1 (en) * 1997-10-09 2001-02-13 Caliper Technologies Corp. Microfluidic systems incorporating varied channel dimensions
US6190459B1 (en) * 1998-01-07 2001-02-20 Tokyo Electron Limited Gas treatment apparatus
US6334266B1 (en) * 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US20020001929A1 (en) * 2000-04-25 2002-01-03 Biberger Maximilian A. Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US20020014257A1 (en) * 1999-08-05 2002-02-07 Mohan Chandra Supercritical fluid cleaning process for precision surfaces
US6347918B1 (en) * 1999-01-27 2002-02-19 Applied Materials, Inc. Inflatable slit/gate valve
US20030003762A1 (en) * 2001-06-27 2003-01-02 International Business Machines Corporation Process of removing residue material from a precision surface
US20030005948A1 (en) * 2001-05-31 2003-01-09 M-Fsi Ltd. Substrate Cleaning apparatus
US20030008238A1 (en) * 2001-06-27 2003-01-09 International Business Machines Corporation Process of drying a cast polymeric film disposed on a workpiece
US20030008155A1 (en) * 2001-06-11 2003-01-09 Jsr Corporation Method for the formation of silica film, silica film, insulating film, and semiconductor device
US20030008518A1 (en) * 2001-07-03 2003-01-09 Ting-Chang Chang Method of avoiding dielectric layer deterioation with a low dielectric constant
US20030013311A1 (en) * 2001-07-03 2003-01-16 Ting-Chang Chang Method of avoiding dielectric layer deterioation with a low dielectric constant during a stripping process
US6508259B1 (en) * 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
US6509141B2 (en) * 1997-05-27 2003-01-21 Tokyo Electron Limited Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US20030029479A1 (en) * 2001-08-08 2003-02-13 Dainippon Screen Mfg. Co, Ltd. Substrate cleaning apparatus and method
US6521466B1 (en) * 2002-04-17 2003-02-18 Paul Castrucci Apparatus and method for semiconductor wafer test yield enhancement
US20030036023A1 (en) * 2000-12-12 2003-02-20 Moreau Wayne M. Supercritical fluid(SCF) silylation process
US20040011386A1 (en) * 2002-07-17 2004-01-22 Scp Global Technologies Inc. Composition and method for removing photoresist and/or resist residue using supercritical fluids
US20040018452A1 (en) * 2002-04-12 2004-01-29 Paul Schilling Method of treatment of porous dielectric films to reduce damage during cleaning
US20040020518A1 (en) * 2001-02-15 2004-02-05 Deyoung James P. Methods for transferring supercritical fluids in microelectronic and other industrial processes
US20050014370A1 (en) * 2003-02-10 2005-01-20 Supercritical Systems, Inc. High-pressure processing chamber for a semiconductor wafer
US6848458B1 (en) * 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US20050026547A1 (en) * 1999-06-03 2005-02-03 Moore Scott E. Semiconductor processor control systems, semiconductor processor systems, and systems configured to provide a semiconductor workpiece process fluid
US6851148B2 (en) * 1997-11-26 2005-02-08 Chart Inc. Carbon dioxide dry cleaning system
US20060003592A1 (en) * 2004-06-30 2006-01-05 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US609574A (en) * 1898-08-23 Island
US2439689A (en) * 1948-04-13 Method of rendering glass
US2617719A (en) * 1950-12-29 1952-11-11 Stanolind Oil & Gas Co Cleaning porous media
US3135211A (en) * 1960-09-28 1964-06-02 Integral Motor Pump Corp Motor and pump assembly
US3521765A (en) * 1967-10-31 1970-07-28 Western Electric Co Closed-end machine for processing articles in a controlled atmosphere
US3681171A (en) * 1968-08-23 1972-08-01 Hitachi Ltd Apparatus for producing a multilayer printed circuit plate assembly
US3623627A (en) * 1969-08-22 1971-11-30 Hunt Co Rodney Door construction for a pressure vessel
US3744660A (en) * 1970-12-30 1973-07-10 Combustion Eng Shield for nuclear reactor vessel
FR2128426B1 (en) * 1971-03-02 1980-03-07 Cnen
US3890176A (en) * 1972-08-18 1975-06-17 Gen Electric Method for removing photoresist from substrate
US3968885A (en) * 1973-06-29 1976-07-13 International Business Machines Corporation Method and apparatus for handling workpieces
US3993449A (en) * 1975-04-07 1976-11-23 City Of North Olmsted Apparatus for pollution abatement
GB1520522A (en) * 1975-06-16 1978-08-09 Ono Pharmaceutical Co 16-methyleneprostaglandins
US4341592A (en) * 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4029517A (en) * 1976-03-01 1977-06-14 Autosonics Inc. Vapor degreasing system having a divider wall between upper and lower vapor zone portions
US4091643A (en) * 1976-05-14 1978-05-30 Ama Universal S.P.A. Circuit for the recovery of solvent vapor evolved in the course of a cleaning cycle in dry-cleaning machines or plants, and for the de-pressurizing of such machines
US4219333A (en) * 1978-07-03 1980-08-26 Harris Robert D Carbonated cleaning solution
CH636422A5 (en) * 1979-02-26 1983-05-31 Balzers Hochvakuum HIGH VACUUM VALVE.
US4349415A (en) * 1979-09-28 1982-09-14 Critical Fluid Systems, Inc. Process for separating organic liquid solutes from their solvent mixtures
US4618769A (en) * 1985-01-04 1986-10-21 The United States Of America As Represented By The United States Department Of Energy Liquid chromatography/Fourier transform IR spectrometry interface flow cell
US5013366A (en) * 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
DE69131878T2 (en) * 1990-09-21 2000-07-20 Dainippon Printing Co Ltd Process for producing a phase shift photomask
US5242641A (en) * 1991-07-15 1993-09-07 Pacific Trinetics Corporation Method for forming filled holes in multi-layer integrated circuit packages
KR0170421B1 (en) * 1992-04-16 1999-03-30 이노우에 아키라 Spindrier
KR940009563B1 (en) * 1992-09-04 1994-10-15 대우전자주식회사 Tableware washing machine
US5355901A (en) * 1992-10-27 1994-10-18 Autoclave Engineers, Ltd. Apparatus for supercritical cleaning
US5434107A (en) * 1994-01-28 1995-07-18 Texas Instruments Incorporated Method for planarization
US6262510B1 (en) * 1994-09-22 2001-07-17 Iancu Lungu Electronically switched reluctance motor
US5783495A (en) * 1995-11-13 1998-07-21 Micron Technology, Inc. Method of wafer cleaning, and system and cleaning solution regarding same
JP3415373B2 (en) * 1995-11-29 2003-06-09 東芝マイクロエレクトロニクス株式会社 Method and apparatus for dissolving a surface layer such as a semiconductor substrate
US6500605B1 (en) * 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
US6103638A (en) * 1997-11-07 2000-08-15 Micron Technology, Inc. Formation of planar dielectric layers using liquid interfaces
US6085762A (en) * 1998-03-30 2000-07-11 The Regents Of The University Of California Apparatus and method for providing pulsed fluids
US6642140B1 (en) * 1998-09-03 2003-11-04 Micron Technology, Inc. System for filling openings in semiconductor products
JP3772056B2 (en) * 1998-10-12 2006-05-10 株式会社東芝 Semiconductor substrate cleaning method
JP2000265945A (en) * 1998-11-10 2000-09-26 Uct Kk Chemical supplying pump, chemical supplying device, chemical supplying system, substrate cleaning device, chemical supplying method, and substrate cleaning method
KR100304254B1 (en) * 1998-12-08 2002-03-21 윤종용 Module Vision Inspection Equipment
US7044143B2 (en) * 1999-05-14 2006-05-16 Micell Technologies, Inc. Detergent injection systems and methods for carbon dioxide microelectronic substrate processing systems
US6329118B1 (en) * 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
US6536450B1 (en) * 1999-07-07 2003-03-25 Semitool, Inc. Fluid heating system for processing semiconductor materials
US6712081B1 (en) * 1999-08-31 2004-03-30 Kobe Steel, Ltd. Pressure processing device
JP4014127B2 (en) * 2000-10-04 2007-11-28 東京エレクトロン株式会社 Substrate processing method and substrate processing apparatus
US6767877B2 (en) * 2001-04-06 2004-07-27 Akrion, Llc Method and system for chemical injection in silicon wafer processing
FR2823134B1 (en) * 2001-04-10 2003-09-19 Novasep CHROMATOGRAPHIC BED PROTECTION DEVICE IN CHROMATOGRAPHIC COLUMNS WITH DYNAMIC AXIAL COMPRESSION
US6561767B2 (en) * 2001-08-01 2003-05-13 Berger Instruments, Inc. Converting a pump for use in supercritical fluid chromatography
US6795177B2 (en) * 2001-11-01 2004-09-21 Axiom Analytical, Inc. Multipass sampling system for Raman spectroscopy
US6766810B1 (en) * 2002-02-15 2004-07-27 Novellus Systems, Inc. Methods and apparatus to control pressure in a supercritical fluid reactor
US7387868B2 (en) * 2002-03-04 2008-06-17 Tokyo Electron Limited Treatment of a dielectric layer using supercritical CO2
US6669785B2 (en) * 2002-05-15 2003-12-30 Micell Technologies, Inc. Methods and compositions for etch cleaning microelectronic substrates in carbon dioxide
US6800142B1 (en) * 2002-05-30 2004-10-05 Novellus Systems, Inc. Method for removing photoresist and post-etch residue using activated peroxide followed by supercritical fluid treatment
US20040050406A1 (en) * 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
US20040118812A1 (en) * 2002-08-09 2004-06-24 Watkins James J. Etch method using supercritical fluids
US20040048194A1 (en) * 2002-09-11 2004-03-11 International Business Machines Corporation Mehod for forming a tunable deep-ultraviolet dielectric antireflection layer for image transfer processing
US6960242B2 (en) * 2002-10-02 2005-11-01 The Boc Group, Inc. CO2 recovery process for supercritical extraction
US6924222B2 (en) * 2002-11-21 2005-08-02 Intel Corporation Formation of interconnect structures by removing sacrificial material with supercritical carbon dioxide
US20040177867A1 (en) * 2002-12-16 2004-09-16 Supercritical Systems, Inc. Tetra-organic ammonium fluoride and HF in supercritical fluid for photoresist and residue removal
US6929901B2 (en) * 2002-12-18 2005-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Method for reworking a lithographic process to provide an undamaged and residue free arc layer
US6876017B2 (en) * 2003-02-08 2005-04-05 Intel Corporation Polymer sacrificial light absorbing structure and method
JP2004249189A (en) * 2003-02-19 2004-09-09 Sony Corp Washing method
US20040168709A1 (en) * 2003-02-27 2004-09-02 Drumm James M. Process control, monitoring and end point detection for semiconductor wafers processed with supercritical fluids
US6875709B2 (en) * 2003-03-07 2005-04-05 Taiwan Semiconductor Manufacturing Comapny, Ltd. Application of a supercritical CO2 system for curing low k dielectric materials
US6875285B2 (en) * 2003-04-24 2005-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for dampening high pressure impact on porous materials
CN100408902C (en) * 2003-05-13 2008-08-06 应用材料股份有限公司 Methods and apparatus for sealing an opening of a processing chamber
US7226512B2 (en) * 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
US6857437B2 (en) * 2003-06-18 2005-02-22 Ekc Technology, Inc. Automated dense phase fluid cleaning system
US7642649B2 (en) * 2003-12-01 2010-01-05 Texas Instruments Incorporated Support structure for low-k dielectrics
US20050118832A1 (en) * 2003-12-01 2005-06-02 Korzenski Michael B. Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
JP4464125B2 (en) * 2003-12-22 2010-05-19 ソニー株式会社 Structure manufacturing method and silicon oxide film etching agent
US20050241672A1 (en) * 2004-04-28 2005-11-03 Texas Instruments Incorporated Extraction of impurities in a semiconductor process with a supercritical fluid
US20060102204A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited Method for removing a residue from a substrate using supercritical carbon dioxide processing
US20060102208A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited System for removing a residue from a substrate using supercritical carbon dioxide processing
US7704324B2 (en) * 2005-01-25 2010-04-27 General Electric Company Apparatus for processing materials in supercritical fluids and methods thereof
US7435447B2 (en) * 2005-02-15 2008-10-14 Tokyo Electron Limited Method and system for determining flow conditions in a high pressure processing system

Patent Citations (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2625886A (en) * 1947-08-21 1953-01-20 American Brake Shoe Co Pump
US2873597A (en) * 1955-08-08 1959-02-17 Victor T Fahringer Apparatus for sealing a pressure vessel
US3646948A (en) * 1969-01-06 1972-03-07 Hobart Mfg Co Hydraulic control system for a washing machine
US3642020A (en) * 1969-11-17 1972-02-15 Cameron Iron Works Inc Pressure operated{13 positive displacement shuttle valve
US4145161A (en) * 1977-08-10 1979-03-20 Standard Oil Company (Indiana) Speed control
US4245154A (en) * 1977-09-24 1981-01-13 Tokyo Ohka Kogyo Kabushiki Kaisha Apparatus for treatment with gas plasma
US4244557A (en) * 1977-10-07 1981-01-13 Leybold-Heraeus Gmbh High vacuum seal
US4367140A (en) * 1979-11-05 1983-01-04 Sykes Ocean Water Ltd. Reverse osmosis liquid purification apparatus
US4316750A (en) * 1981-01-16 1982-02-23 Western Electric Company, Inc. Apparatus and method for cleaning a flux station of a soldering system
US4426388A (en) * 1982-04-02 1984-01-17 Merck & Co., Inc. 5-Benzothiazolesulfonamide derivatives for the topical treatment of elevated intraocular pressure
US4574184A (en) * 1982-10-20 1986-03-04 Kurt Wolf & Co. Kg Saucepan and cover for a cooking utensil, particulary a steam pressure cooking pan
US4730630A (en) * 1986-10-27 1988-03-15 White Consolidated Industries, Inc. Dishwasher with power filtered rinse
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US5193560A (en) * 1989-01-30 1993-03-16 Kabushiki Kaisha Tiyoda Sisakusho Cleaning system using a solvent
US5288333A (en) * 1989-05-06 1994-02-22 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefore
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5091207A (en) * 1989-07-20 1992-02-25 Fujitsu Limited Process and apparatus for chemical vapor deposition
US4983223A (en) * 1989-10-24 1991-01-08 Chenpatents Apparatus and method for reducing solvent vapor losses
US5196134A (en) * 1989-12-20 1993-03-23 Hughes Aircraft Company Peroxide composition for removing organic contaminants and method of using same
US6176962B1 (en) * 1990-02-28 2001-01-23 Aclara Biosciences, Inc. Methods for fabricating enclosed microchannel structures
US5186594A (en) * 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
US5500081A (en) * 1990-05-15 1996-03-19 Bergman; Eric J. Dynamic semiconductor wafer processing using homogeneous chemical vapors
US5188515A (en) * 1990-06-08 1993-02-23 Lewa Herbert Ott Gmbh & Co. Diaphragm for an hydraulically driven diaphragm pump
US5285845A (en) * 1991-01-15 1994-02-15 Nordinvent S.A. Heat exchanger element
US5290361A (en) * 1991-01-24 1994-03-01 Wako Pure Chemical Industries, Ltd. Surface treating cleaning method
US5191993A (en) * 1991-03-04 1993-03-09 Xorella Ag Device for the shifting and tilting of a vessel closure
US5195878A (en) * 1991-05-20 1993-03-23 Hytec Flow Systems Air-operated high-temperature corrosive liquid pump
US5730874A (en) * 1991-06-12 1998-03-24 Idaho Research Foundation, Inc. Extraction of metals using supercritical fluid and chelate forming legand
US5197800A (en) * 1991-06-28 1993-03-30 Nordson Corporation Method for forming coating material formulations substantially comprised of a saturated resin rich phase
US5486212A (en) * 1991-09-04 1996-01-23 The Clorox Company Cleaning through perhydrolysis conducted in dense fluid medium
US5298032A (en) * 1991-09-11 1994-03-29 Ciba-Geigy Corporation Process for dyeing cellulosic textile material with disperse dyes
US5280693A (en) * 1991-10-14 1994-01-25 Krones Ag Hermann Kronseder Maschinenfabrik Vessel closure machine
US5190373A (en) * 1991-12-24 1993-03-02 Union Carbide Chemicals & Plastics Technology Corporation Method, apparatus, and article for forming a heated, pressurized mixture of fluids
US5285352A (en) * 1992-07-15 1994-02-08 Motorola, Inc. Pad array semiconductor device with thermal conductor and process for making the same
US5294261A (en) * 1992-11-02 1994-03-15 Air Products And Chemicals, Inc. Surface cleaning using an argon or nitrogen aerosol
US5378311A (en) * 1992-12-04 1995-01-03 Sony Corporation Method of producing semiconductor device
US5397220A (en) * 1993-03-18 1995-03-14 Nippon Shokubai Co., Ltd. Canned motor pump
US5727618A (en) * 1993-08-23 1998-03-17 Sdl Inc Modular microchannel heat exchanger
US5704416A (en) * 1993-09-10 1998-01-06 Aavid Laboratories, Inc. Two phase component cooler
US5377705A (en) * 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5872257A (en) * 1994-04-01 1999-02-16 University Of Pittsburgh Further extractions of metals in carbon dioxide and chelating agents therefor
US5494526A (en) * 1994-04-08 1996-02-27 Texas Instruments Incorporated Method for cleaning semiconductor wafers using liquified gases
US5873948A (en) * 1994-06-07 1999-02-23 Lg Semicon Co., Ltd. Method for removing etch residue material
US5482564A (en) * 1994-06-21 1996-01-09 Texas Instruments Incorporated Method of unsticking components of micro-mechanical devices
US5880017A (en) * 1994-08-08 1999-03-09 Hewlett-Packard Co. Method of bumping substrates by contained paste deposition
US5501761A (en) * 1994-10-18 1996-03-26 At&T Corp. Method for stripping conformal coatings from circuit boards
US5718956A (en) * 1994-12-29 1998-02-17 Bentley-Harris Inc. Reflective foam sleeve
US5876655A (en) * 1995-02-21 1999-03-02 E. I. Du Pont De Nemours And Company Method for eliminating flow wrinkles in compression molded panels
US6024801A (en) * 1995-05-31 2000-02-15 Texas Instruments Incorporated Method of cleaning and treating a semiconductor device including a micromechanical device
US6171645B1 (en) * 1995-11-16 2001-01-09 Texas Instruments Incorporated Polyol-based method for forming thin film aerogels on semiconductor substrates
US5874795A (en) * 1995-12-28 1999-02-23 Japan Servo Co., Ltd Multi-phase permanent-magnet type electric rotating machine
US6010316A (en) * 1996-01-16 2000-01-04 The Board Of Trustees Of The Leland Stanford Junior University Acoustic micropump
US5726211A (en) * 1996-03-21 1998-03-10 International Business Machines Corporation Process for making a foamed elastometric polymer
US6182742B1 (en) * 1996-06-21 2001-02-06 Hitachi, Ltd. Cooling apparatus for use in an electronic system
US5706319A (en) * 1996-08-12 1998-01-06 Joseph Oat Corporation Reactor vessel seal and method for temporarily sealing a reactor pressure vessel from the refueling canal
US6023934A (en) * 1996-08-16 2000-02-15 American Superconductor Corp. Methods and apparatus for cooling systems for cryogenic power conversion electronics
US5881577A (en) * 1996-09-09 1999-03-16 Air Liquide America Corporation Pressure-swing absorption based cleaning methods and systems
US5888050A (en) * 1996-10-30 1999-03-30 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
US5725987A (en) * 1996-11-01 1998-03-10 Xerox Corporation Supercritical processes
US5714299A (en) * 1996-11-04 1998-02-03 Xerox Corporation Processes for toner additives with liquid carbon dioxide
US5870823A (en) * 1996-11-27 1999-02-16 International Business Machines Corporation Method of forming a multilayer electronic packaging substrate with integral cooling channels
US6186722B1 (en) * 1997-02-26 2001-02-13 Fujitsu Limited Chamber apparatus for processing semiconductor devices
US6014312A (en) * 1997-03-17 2000-01-11 Curamik Electronics Gmbh Cooler or heat sink for electrical components or circuits and an electrical circuit with this heat sink
US5880524A (en) * 1997-05-05 1999-03-09 Intel Corporation Heat pipe lid for electronic packages
US6509141B2 (en) * 1997-05-27 2003-01-21 Tokyo Electron Limited Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US6019882A (en) * 1997-06-25 2000-02-01 Sandia Corporation Electrokinetic high pressure hydraulic system
US6013164A (en) * 1997-06-25 2000-01-11 Sandia Corporation Electokinetic high pressure hydraulic system
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6029371A (en) * 1997-09-17 2000-02-29 Tokyo Electron Limited Drying treatment method and apparatus
US6171067B1 (en) * 1997-09-25 2001-01-09 Caliper Technologies Corp. Micropump
US6186660B1 (en) * 1997-10-09 2001-02-13 Caliper Technologies Corp. Microfluidic systems incorporating varied channel dimensions
US5872061A (en) * 1997-10-27 1999-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma etch method for forming residue free fluorine containing plasma etched layers
US6174675B1 (en) * 1997-11-25 2001-01-16 Caliper Technologies Corp. Electrical current for controlling fluid parameters in microchannels
US6851148B2 (en) * 1997-11-26 2005-02-08 Chart Inc. Carbon dioxide dry cleaning system
US6190459B1 (en) * 1998-01-07 2001-02-20 Tokyo Electron Limited Gas treatment apparatus
US6021791A (en) * 1998-06-29 2000-02-08 Speedfam-Ipec Corporation Method and apparatus for immersion cleaning of semiconductor devices
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6347918B1 (en) * 1999-01-27 2002-02-19 Applied Materials, Inc. Inflatable slit/gate valve
US20050026547A1 (en) * 1999-06-03 2005-02-03 Moore Scott E. Semiconductor processor control systems, semiconductor processor systems, and systems configured to provide a semiconductor workpiece process fluid
US6508259B1 (en) * 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
US20020014257A1 (en) * 1999-08-05 2002-02-07 Mohan Chandra Supercritical fluid cleaning process for precision surfaces
US6334266B1 (en) * 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US20020001929A1 (en) * 2000-04-25 2002-01-03 Biberger Maximilian A. Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US20030036023A1 (en) * 2000-12-12 2003-02-20 Moreau Wayne M. Supercritical fluid(SCF) silylation process
US20040020518A1 (en) * 2001-02-15 2004-02-05 Deyoung James P. Methods for transferring supercritical fluids in microelectronic and other industrial processes
US20030005948A1 (en) * 2001-05-31 2003-01-09 M-Fsi Ltd. Substrate Cleaning apparatus
US20030008155A1 (en) * 2001-06-11 2003-01-09 Jsr Corporation Method for the formation of silica film, silica film, insulating film, and semiconductor device
US20030008238A1 (en) * 2001-06-27 2003-01-09 International Business Machines Corporation Process of drying a cast polymeric film disposed on a workpiece
US20030003762A1 (en) * 2001-06-27 2003-01-02 International Business Machines Corporation Process of removing residue material from a precision surface
US20030008518A1 (en) * 2001-07-03 2003-01-09 Ting-Chang Chang Method of avoiding dielectric layer deterioation with a low dielectric constant
US20030013311A1 (en) * 2001-07-03 2003-01-16 Ting-Chang Chang Method of avoiding dielectric layer deterioation with a low dielectric constant during a stripping process
US20030029479A1 (en) * 2001-08-08 2003-02-13 Dainippon Screen Mfg. Co, Ltd. Substrate cleaning apparatus and method
US6848458B1 (en) * 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US20040018452A1 (en) * 2002-04-12 2004-01-29 Paul Schilling Method of treatment of porous dielectric films to reduce damage during cleaning
US6521466B1 (en) * 2002-04-17 2003-02-18 Paul Castrucci Apparatus and method for semiconductor wafer test yield enhancement
US20040011386A1 (en) * 2002-07-17 2004-01-22 Scp Global Technologies Inc. Composition and method for removing photoresist and/or resist residue using supercritical fluids
US20050014370A1 (en) * 2003-02-10 2005-01-20 Supercritical Systems, Inc. High-pressure processing chamber for a semiconductor wafer
US20060003592A1 (en) * 2004-06-30 2006-01-05 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080206949A1 (en) * 2007-02-28 2008-08-28 Semiconductor Technology Academic Research Center Apparatus for forming conductor, method for forming conductor, and method for manufacturing semiconductor device
US20100024778A1 (en) * 2008-08-01 2010-02-04 Goodrich Control Systems Fuel Pumping System
US20120006356A1 (en) * 2010-07-12 2012-01-12 Tokyo Electron Limited Substrate Processing Apparatus, Substrate Processing Method, and Computer-Readable Storage Medium
US8133806B1 (en) 2010-09-30 2012-03-13 S.O.I.Tec Silicon On Insulator Technologies Systems and methods for forming semiconductor materials by atomic layer deposition
US8486192B2 (en) 2010-09-30 2013-07-16 Soitec Thermalizing gas injectors for generating increased precursor gas, material deposition systems including such injectors, and related methods
US8486193B2 (en) 2010-09-30 2013-07-16 Soitec Systems for forming semiconductor materials by atomic layer deposition
US8785316B2 (en) 2010-09-30 2014-07-22 Soitec Methods for forming semiconductor materials by atomic layer deposition using halide precursors
TWI648814B (en) * 2016-11-08 2019-01-21 中微半導體設備(上海)有限公司 Temperature control wafer mounting table and temperature control method

Also Published As

Publication number Publication date
US20060226117A1 (en) 2006-10-12

Similar Documents

Publication Publication Date Title
US20100000681A1 (en) Phase change based heating element system and method
US7380984B2 (en) Process flow thermocouple
US7789971B2 (en) Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US20070012337A1 (en) In-line metrology for supercritical fluid processing
US7435447B2 (en) Method and system for determining flow conditions in a high pressure processing system
US7494107B2 (en) Gate valve for plus-atmospheric pressure semiconductor process vessels
JP5371862B2 (en) Substrate processing apparatus and processing liquid temperature measuring method
US20050227187A1 (en) Ionic fluid in supercritical fluid for semiconductor processing
US20050191865A1 (en) Treatment of a dielectric layer using supercritical CO2
US7291565B2 (en) Method and system for treating a substrate with a high pressure fluid using fluorosilicic acid
US7550075B2 (en) Removal of contaminants from a fluid
US20060225769A1 (en) Isothermal control of a process chamber
US20060185693A1 (en) Cleaning step in supercritical processing
US7524383B2 (en) Method and system for passivating a processing chamber
US20060186088A1 (en) Etching and cleaning BPSG material using supercritical processing
WO2006107502A2 (en) Removal of porogens and porogen residues using supercritical co2
US7767145B2 (en) High pressure fourier transform infrared cell
US7442636B2 (en) Method of inhibiting copper corrosion during supercritical CO2 cleaning
US20060185694A1 (en) Rinsing step in supercritical processing
US20060225772A1 (en) Controlled pressure differential in a high-pressure processing chamber
JP2008516427A (en) Method and system for injecting chemicals into a supercritical fluid
US20060219268A1 (en) Neutralization of systemic poisoning in wafer processing
US20060102590A1 (en) Method for treating a substrate with a high pressure fluid using a preoxide-based process chemistry
CN112259472A (en) Semiconductor cleaning equipment and cleaning medium temperature control method
US20060102282A1 (en) Method and apparatus for selectively filtering residue from a processing chamber

Legal Events

Date Code Title Description
AS Assignment

Owner name: SUPERCRITICAL SYSTEMS INC., ARIZONA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BERTRAM, RONALD T.;HILLMAN, JOSEPH T.;BIBERGER, MAXIMILAN A.;REEL/FRAME:023020/0465;SIGNING DATES FROM 20050418 TO 20050426

AS Assignment

Owner name: TOYKO ELECTRON LIMITED, JAPAN

Free format text: CONFIRMATORY LICENSE;ASSIGNOR:SUPERCRITICAL SYSTEMS, INC.;REEL/FRAME:023095/0437

Effective date: 20090806

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION