US20090188892A1 - Method of checking substrate edge processing apparatus - Google Patents

Method of checking substrate edge processing apparatus Download PDF

Info

Publication number
US20090188892A1
US20090188892A1 US12/353,365 US35336509A US2009188892A1 US 20090188892 A1 US20090188892 A1 US 20090188892A1 US 35336509 A US35336509 A US 35336509A US 2009188892 A1 US2009188892 A1 US 2009188892A1
Authority
US
United States
Prior art keywords
wafer
processing apparatus
substrate
checking
substrate edge
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/353,365
Inventor
Kumiko Yamazaki
Isamu Sakuragi
Akinori Kitamura
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to US12/353,365 priority Critical patent/US20090188892A1/en
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KITAMURA, AKINORI, SAKURAGI, ISAMU, YAMAZAKI, KUMIKO
Publication of US20090188892A1 publication Critical patent/US20090188892A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Definitions

  • the present invention relates to a method of checking a substrate edge processing apparatus, and in particular to a method of checking a substrate edge processing apparatus that removes undesired substance attached to an edge of a substrate.
  • a substrate processing system that carries out plasma processing such as etching processing is comprised of a process module in which a wafer is housed and which carries out etching processing on the wafer, a transfer module that transfers a wafer into the process module and transfers a processed wafer out from the process module, a loader module that removes each wafer from an airtight container in which a plurality of wafers are housed, and a load-lock module that is disposed between the transfer module and the loader module and connects them together.
  • the process module has a chamber in which a wafer is housed. Fluorocarbon-based deposition gas is supplied as a process gas into the chamber to produce an electric field in the chamber, whereby the supplied process gas is turned into plasma, and the wafer is subjected to the etching processing by the plasma.
  • reaction product arising from reaction of the process gas for example, fluorocarbon-based polymer is produced.
  • the polymer becomes attached to an upper surface of the wafer and also reaches a lower surface of an edge (bevel portion) of the wafer. For this reason, the fluorocarbon-based polymer becomes attached to the lower surface of the bevel portion of the wafer as well.
  • a wafer having been subjected to the etching processing is transferred into an airtight container that holds a plurality of wafers parallel to one another, for example, a FOUP, and hence if polymer is attached to the lower surface of the bevel portion of a wafer, the polymer is separated from the lower surface of the bevel portion of the wafer placed in an upper part of the FOUP and falls to become attached to a surface of a wafer placed in a lower part of the FOUP, resulting in degradation in the quality of a semiconductor device manufactured from the wafer in the lower part.
  • the substrate processing system has a bevel polymer removing apparatus (substrate edge processing apparatus) so as to remove the polymer attached to the bevel portion of the wafer.
  • a bevel polymer removing apparatus substrate edge processing apparatus
  • FIG. 6 is a partial cross-sectional view schematically showing the construction of the bevel polymer removing apparatus.
  • the bevel polymer removing apparatus 60 has a chamber 61 in which a wafer W having been subjected to the etching processing is housed, and a stage 62 on which the wafer W is mounted is disposed in the chamber 61 .
  • the stage 62 has a central shaft 63 , which is connected to a rotatively driving mechanism 64 disposed at a bottom of the chamber 61 .
  • the rotatively driving mechanism 64 rotatively drives the central shaft 63 to rotate the stage 62 .
  • a bevel polymer removing mechanism 65 that removes polymer becoming attached to the wafer W during the etching processing is disposed below the bevel portion of the wafer W mounted on the stage 62 disposed in the chamber 61 .
  • the bevel polymer removing mechanism 65 has a laser light irradiating unit 66 that irradiates laser light L toward polymer P attached to the bevel portion of the wafer W, an ozone gas supply unit 67 that supplies ozone gas O toward the polymer P, and a sucking and discharging unit 68 that sucks and discharges the polymer P or the like removed by the laser light irradiating unit 66 and the ozone gas supply unit 67 .
  • the laser light irradiating unit 66 irradiates the laser light L to the bevel portion of the wafer W to heat the polymer P and cause the polymer P to vaporize
  • the ozone gas supply unit 67 supplies the ozone gas O toward the bevel portion of the wafer W to chemically dissolve the polymer P to remove the polymer P (see, for example, the brochure of International Publication No. 06/006,526).
  • the wafer W having been subjected to the etching processing is housed in the FOUP after the polymer attached to the bevel portion of the wafer W is removed by the bevel polymer removing apparatus 60 as described above.
  • a blanket wafer with an oxide film (a wafer on a surface of which an oxide film is formed) is prepared, and the blanket wafer is transferred into the chamber of the process module and subjected to the etching processing described above. Thereafter, the blanket wafer having been subjected to the etching processing is transferred out from the chamber, and the amount of etching on a surface of the blanket wafer is measured.
  • the oxide film can be formed with a uniform thickness on a wafer by CVD processing or the like, and the thickness of the oxide film can be set to a desired value. That is, the thickness of the oxide film before the etching processing is a reliable value, and hence the measured etching amount is also reliable.
  • the state of the process module can be quantitatively checked.
  • an object to be processed is fluorocarbon-based polymer attached to the bevel portion of a wafer W.
  • the fluorocarbon-based polymer is produced concomitantly with the etching processing, and hence it is difficult to uniformly attach the fluorocarbon-based polymer to the bevel portion of a measurement wafer or the like along the circumference thereof, and also it is difficult to set the amount of attachment to a desired value. That is, the amount of attached polymer before the removal thereof is not a reliable value, and hence even if the removal amount of the polymer is measured, the measured removal amount is not a reliable value. As a result, it is difficult to quantitatively check the state of the bevel polymer removing apparatus 60 .
  • the present invention provides a method of checking a substrate edge processing apparatus, which can accurately check the state of the substrate edge processing apparatus.
  • the present invention provides a method of checking a substrate edge processing apparatus that carries out a predetermined process in which undesired substance attached to an edge of a substrate are removed, comprising a first measurement step of measuring a thickness of an organic film formed on a surface of the substrate, a processing step of carrying out the predetermined process on a predetermined portion of the organic film using the substrate edge processing apparatus, a second measurement step of measuring a film thickness of the predetermined portion on which the predetermined process has been carried out, a calculating step of calculating a removal amount of the organic film in the processing step based on a result of the measurement in the first measurement step and a result of the measurement in the second measurement step, and an evaluating step of evaluating performance of the substrate edge processing apparatus based on the calculated removal amount.
  • the substrate on the surface of which the organic film is formed is used, and the performance of the substrate edge processing apparatus is evaluated based on the removal amount of the organic film.
  • the organic film can be formed with a uniform thickness on the substrate by a coating process or the like, and also, the thickness of the organic film can be set to a desired value. That is, the thickness of the organic film before the predetermined process is a reliable value, and hence the calculated removal amount of the organic film is also a reliable value.
  • the state of the substrate edge processing apparatus can be quantitatively checked.
  • the state of the substrate edge processing apparatus can be periodically checked. As a result, the state of the substrate edge processing apparatus can be accurately checked.
  • the present invention can provide a method of checking a substrate edge processing apparatus, wherein the organic film is a resist film.
  • the organic film formed on the surface of the substrate is a resist film.
  • the resist film can be uniformly formed on the substrate with ease by a coating process or the like, and hence a substrate for measurement can be easily prepared.
  • the present invention can provide a method of checking a substrate edge processing apparatus, wherein the predetermined portion is positioned 2 mm or more inside an end of the substrate.
  • the predetermined process is carried out on the predetermined portion positioned 2 mm or more inside the end of the substrate.
  • the shape of the organic film formed on the surface of the substrate is unstable at the end of the substrate, but the shape of the organic film is stable at a position 2 mm or more inside the end of the substrate.
  • the reliability of the value of the removal amount of the organic film can be increased.
  • the present invention can provide a method of checking a substrate edge processing apparatus, wherein processing conditions in the processing step differ from processing conditions for removing the undesired substance attached to the edge of the substrate.
  • the processing conditions for the predetermined process carried out on the predetermined portion of the organic film differs from the processing conditions for removing the undesired substance attached to the edge of the substrate. That is, the processing conditions for the predetermined process can be processing conditions under which the organic film does not deteriorate, and as a result, the measurement of the film thickness of the organic film can be prevented from becoming impossible due to deterioration of the organic film.
  • the present invention can provide a method of checking a substrate edge processing apparatus, wherein in the evaluating step, a value of the removal amount calculated in the calculating step is compared with a predetermined value set in advance.
  • the value of the calculated removal amount and the predetermined value set in advance are compared with each other to evaluate the performance of the substrate edge processing apparatus. As a result, the performance of the substrate edge processing apparatus can be accurately evaluated.
  • the present invention can provide a method of checking a substrate edge processing apparatus, wherein in the processing step, laser light is irradiated toward the predetermined portion.
  • the laser light is irradiated toward the predetermined portion of the organic film.
  • the organic film is heated to evaporate, and hence the organic film can be reliably removed.
  • the present invention can provide a method of checking a substrate edge processing apparatus, wherein in the processing step, further, ozone gas is supplied toward the predetermined portion.
  • the ozone gas is further supplied toward the predetermined portion of the organic film.
  • the organic film is chemically dissolved, and hence the organic film can be more reliably removed.
  • FIG. 1 is a plan view schematically showing the construction of a substrate processing system to which a method of checking a substrate edge processing apparatus according to a first embodiment of the present invention is applied;
  • FIG. 2 is a partial cross-sectional view showing a bevel polymer removing apparatus appearing in FIG. 1 ;
  • FIG. 3 is a flow chart showing a checking process carried out as the method of checking the substrate edge processing apparatus according to the present embodiment
  • FIG. 4 is a plan view schematically showing the construction of a substrate processing system to which a method of checking a substrate edge processing apparatus according to a second embodiment of the present invention is applied;
  • FIG. 5 is a flow chart showing a checking process carried out as the method of checking the substrate edge processing apparatus according to the present embodiment.
  • FIG. 6 is a partial cross-sectional view schematically showing the construction of a bevel polymer removing apparatus.
  • FIG. 1 is a plan view schematically showing the construction of the substrate processing system to which the method of checking the substrate edge processing apparatus according to the present embodiment is applied.
  • the substrate processing system 10 is comprised of a transfer module 11 that is hexagonal in plan view, two process modules 12 and 13 that are connected to one side of the transfer module 11 , two process modules 14 and 15 that are connected to the other side of the transfer module 11 in a manner being opposed to the two process modules 12 and 13 , a process module 16 that is adjacent to the process module 13 and connected to the transfer module 11 , a process module 17 that is adjacent to the process module 15 and connected to the transfer module 11 , a loader module 18 as a rectangular transfer chamber, and two load-lock modules 19 and 20 that are disposed between the transfer module 11 and the loader module 18 and connect them together.
  • the transfer module 11 has therein a transfer arm 21 which is constructed such as to be able to bend, extend, and turn about a pivot thereof, and the transfer arm 21 transfers a wafer W between the process modules 12 to 17 and the load-lock modules 19 and 20 .
  • Each of the process modules 12 to 17 is a substrate processing apparatus that carries out predetermined processing on a wafer for a semiconductor device (hereinafter simply referred to as “wafer”) W.
  • the process module 12 is an etching processing apparatus that carries out etching processing on the wafer W using plasma produced from fluorocarbon deposition gas.
  • the interiors of the transfer module 11 and the process modules 12 to 17 are maintained in a decompressed state, and the transfer module 11 and each of the process modules 12 to 17 are connected together via vacuum gate valves 12 a to 17 a.
  • the pressure in the loader module 18 is maintained at atmospheric pressure, and on the other hand, the pressure in the transfer module 11 is maintained in a vacuum.
  • the load-lock modules 19 and 20 have respective vacuum gate valves 19 a and 20 a at locations thereof connected to the transfer module 11 , and have respective atmospheric door valves 19 b and 20 b at locations thereof connected to the loader module 18 so that the load-lock modules 19 and 20 function as a vacuum preliminary transfer chamber of which inner pressure is adjustable.
  • the load-lock modules 19 and 20 also have respective wafer mounting stages 19 c and 20 c on which the wafer W transferred between the loader module 18 and the transfer module 11 is temporarily mounted.
  • FOUP Front Opening Unified Pod
  • a FOUP 29 as an airtight container housing 25 wafers W
  • an orienter 31 that pre-aligns the position of a wafer W transferred out from the FOUP 29
  • a bevel polymer removing apparatus 32 as a substrate edge processing apparatus that removes fluorocarbon-based polymer (undesired substance) attached to the edge (bevel portion) of the wafer W, as well as the load-lock modules 19 and 20 .
  • the load-lock modules 19 and 20 are connected to a side wall of the loader module 18 in the longitudinal direction thereof and arranged in such a manner as to face the three FOUP mounting stages 30 across the loader module 18 .
  • the orienter 31 is disposed at one end of the loader module 18 in the longitudinal direction thereof, and the bevel polymer removing apparatus 32 is disposed at the other end of the loader module 18 in the longitudinal direction thereof.
  • the loader module 18 has therein a SCARA-type dual-arm transfer arm 33 for transferring wafers W, and on a side wall thereof three load ports 34 that are arranged in a manner corresponding to the respective FOUP mounting stages 30 and through which wafers W are transferred.
  • the transfer arm 33 removes each wafer from the FOUPs 29 mounted on the FOUP mounting stages 30 via the load ports 34 and transfers each wafers into and from the load-lock modules 19 and 20 , the orienter 31 , and the bevel polymer removing apparatus 32 .
  • FIG. 2 is a partial cross-sectional view showing the bevel polymer removing apparatus appearing in FIG. 1 .
  • the bevel polymer removing apparatus 32 has a chamber 33 a in which a wafer W or a blanket wafer Wb, described later, having been subjected to the etching processing (they will hereinafter be referred to as the “wafer W or the like”) is housed, and a stage 34 a on which the wafer W or the like is mounted is disposed in the chamber 33 a .
  • the rotatively driving mechanism 36 rotatively drives the central shaft 35 to rotate the stage 34 a.
  • a bevel polymer removing mechanism 37 that removes polymer becoming attached to the wafer W or the like during the etching processing is disposed below the bevel portion of the wafer W or the like mounted on the stage 34 a disposed in the chamber 33 a .
  • the bevel polymer removing mechanism 37 has a laser light irradiating unit 38 that irradiates laser light L toward polymer attached to the bevel portion of the wafer W, an ozone gas supply unit 38 that supplies ozone gas O toward the polymer, and a sucking and discharging unit 40 that sucks and discharges polymer or the like removed by the laser light irradiating unit 38 and the ozone gas supply unit 39 .
  • the laser light irradiating unit 38 irradiates laser light L from a laser light source 41 via an optical fiber cable 42
  • the ozone gas supply unit 39 supplies ozone gas O from an ozonizer 44 through a supply nozzle 46 via a supply pipe 45
  • the sucking and discharging unit 40 discharges the polymer or the like sucked by the sucking and discharging nozzle 47 by a discharging means 49 via a discharge pipe 48 .
  • the wafer W with the bevel portion thereof to which polymer has become attached as a result of the etching processing is mounted on the stage 34 a , the bevel polymer removing mechanism 37 removes the polymer, and the rotatively driving mechanism 36 rotates the stage 34 a , that is, the wafer W.
  • the polymer attached to the bevel portion of the wafer W can be reliably removed along the circumference thereof.
  • a cooling gas supply unit 50 that cools the wafer W or the like is disposed above the wafer W or the like mounted on the stage 34 a in the chamber 33 a .
  • the cooling gas supply unit 50 supplies cooling gas C from a cooling gas source 51 to the surface of the wafer W or the like through a supply nozzle 53 via a supply pipe 52 so as to prevent conductive heat from the bevel portion heated by the irradiation of the laser light L from spreading over the entire wafer W or the like.
  • the substrate processing system 10 has a system controller (not shown) that controls operation of the component elements, and an operation panel 54 disposed at one end of the loader module 18 in the longitudinal direction thereof.
  • the system controller controls operation of the component elements in accordance with programs for various processing.
  • the operation panel 54 displays the operating states of the component elements and receives operating conditions input by an operator.
  • FIG. 3 is a flow chart showing a checking process carried out as the method of checking the substrate edge processing apparatus according to the present embodiment.
  • a blanket wafer Wb with a resist film R (a wafer on a surface of which a resist film R is formed) is prepared, and the bracket wafer Wb is transferred into a film thickness measurement unit, not shown, to measure the film thickness of the resist film R (step S 301 ).
  • the blanket wafer Wb is transferred out from the film thickness measurement unit, and the blanket wafer Wb is housed in the FOUP 29 such that the surface on which the resist film R is formed is the lower side.
  • the FOUP 29 in which the blanket wafer Wb is housed is mounted on the FOUP mounting stage 30 .
  • the transfer arm 33 then removes the blanket wafer Wb housed in the FOUP 29 via the load port 34 and transfers the removed blanket wafer Wb into the bevel polymer removing apparatus 32 (step S 302 ).
  • the laser light irradiating unit 38 irradiates laser light L toward a resist film measurement portion R 1 of the resist film R which is positioned a distance L 1 , specifically, 2 mm or more, preferably, 2 mm inside an end of the lower side of the blanket wafer Wb, that is, the resist film R as shown in FIG. 2
  • the ozone gas supply unit 39 supplies ozone gas O toward the resist film measurement portion R 1 (step S 303 ).
  • the resist in the resist film measurement portion R 1 is heated to vaporize by the irradiation of the laser light L and is chemically dissolved and removed by the supplied ozone gas O.
  • the rotatively driving mechanism 36 rotates the stage 34 a , that is, the blanket wafer Wb.
  • the transfer arm 33 then transfers the blanket wafer Wb of which resist in the resist film measurement portion R 1 has been partially removed from the bevel polymer removing apparatus 32 and houses the blanket wafer Wb in the FOUP 29 via the load port 34 (step S 304 ).
  • the blanket wafer Wb is then removed from the FOUP 29 and transferred into the film thickness measurement unit such that the surface on which the resist film R is formed is on the upper side to measure the film thickness of the resist film measurement portion R 1 (step S 305 ).
  • the removal amount of the resist in the resist film measurement portion R 1 is calculated using the measured value of the film thickness of the resist film R measured in the step S 301 and the measured value of the film thickness of the resist film measurement portion R 1 measured in the step S 305 (step S 306 ).
  • the value of the removal amount of the resist and a predetermined value set in advance by an operator are compared with each other to evaluate the performance of the bevel polymer removing apparatus 32 , specifically, the removing capability of the bevel polymer removing mechanism 37 (step S 307 ), and the present process is brought to an end.
  • the blanket wafer Wb on which the resist film R is formed is used, and the performance of the bevel polymer removing apparatus 32 is evaluated based on the removal amount of the resist in the resist film measurement portion R 1 .
  • the resist film R can be formed with a uniform thickness on a wafer by a coating process or the like, and also, the thickness of the resist film R can be set to a desired value. That is, the thickness of the resist film measurement portion R 1 before the removal of a part thereof is a reliable value, and hence the calculated removal amount of the resist in the resist film measurement portion R is also a reliable value.
  • the state of the bevel polymer removing apparatus 32 can be quantitatively checked.
  • a plurality of blanket wafers Wb on which resist films R with the same thickness are formed can be easily prepared, and hence the state of the bevel polymer removing apparatus 32 can be periodically checked. As a result, the state of the bevel polymer removing apparatus 32 can be accurately checked.
  • the value of the removal amount of the resist in the resist film measurement portion R 1 and the predetermined value set in advance by the operator are compared with each other to evaluate the performance of the bevel polymer removing apparatus 32 .
  • the performance of the bevel polymer removing apparatus 32 can be accurately evaluated.
  • the above described resist film R is unstable in shape at the end of the wafer. For this reason, in the above described checking process in FIG. 3 , the resist in the resist film measurement portion R 1 that is positioned a distance L 1 , specifically, 2 mm or more, preferably, 2 mm inside the end of the wafer where the resist film R is stable in shape. As a result, the reliability of the value of the removal amount of the resist in the resist film measurement portion R 1 can be increased.
  • the above described resist film R deteriorates when high-power laser light is irradiated on it.
  • the power of the laser light L irradiated to remove the resist in the resist film measurement portion R 1 is set to be lower than the power of the laser light irradiated to remove the polymer P attached to the bevel portion of the wafer W. This can prevent the measurement of the film thickness of the resist film measuring portion R 1 from becoming impossible due to deterioration of the resist in the resist film measurement portion R 1 .
  • the removing capability of the bevel polymer removing mechanism 37 as a whole is evaluated by irradiating the laser light L and supplying the ozone gas in removing the resist in the resist film measurement portion R 1
  • the laser irradiating unit 38 and the ozone gas supply unit 39 may be individually evaluated. Specifically, two blanket wafers Wb are prepared, only the laser light L is irradiated in removing the resist in the resist film measurement portion R 1 of the first blanket wafer Wb, and the laser light L is irradiated and the ozone gas O is supplied in removing the resist in the resist film measurement portion R 1 of the second blanket wafer Wb.
  • the removing capability of the laser irradiating unit 38 is evaluated using the value of the removal amount of the resist in the resist film measurement portion R 1 of the first blanket wafer Wb
  • the removing capability of the ozone gas supply unit 39 is evaluated using a value obtained by subtracting the value of the removal amount of the resist in the resist film measurement portion R 1 of the first blanket wafer Wb from the value of the removal amount of the resist in the resist film measurement portion R 1 of the second blanket wafer Wb.
  • a film that is formed on the surface of a wafer is not limited to being the resist film R, but any organic film may be used insofar as it can be uniformly coated on the surface of the wafer.
  • the substrate processing system according to the present embodiment differs from the first embodiment only in that it is provided with a wafer reversing unit and a film thickness measurement unit.
  • a wafer reversing unit and a film thickness measurement unit.
  • FIG. 4 is a plan view schematically showing the construction of the substrate processing system to which the method of checking the substrate edge processing apparatus according to the present embodiment is applied.
  • a wafer reversing unit 55 that reverses a blanket wafer Wb is connected to the loader module 18 of the substrate processing system 54 , and a film thickness measurement unit 56 that measures the state of the surface of the blanket wafer Wb is connected to the wafer reversing unit 55 .
  • the film thickness measurement unit 56 is a monitor of an optical system and has a mounting stage 57 on which the loaded blanket wafer Wb is mounted, and an optical sensor 58 that orients the blanket wafer Wb mounted on the mounting stage 57 to measure the film thickness of the resist film R of the blanket wafer Wb.
  • the method of checking the substrate edge processing apparatus according to the present embodiment is only different from the first embodiment in that the measurement of the film thickness of the resist film R of the blanket wafer Wb is performed inside the substrate processing system. In the following, thus explanations of common constructions and functions will be omitted.
  • FIG. 5 is a flow chart showing a checking process carried out as the method of checking the substrate edge processing apparatus according to the present embodiment.
  • a blanket wafer Wb with a resist film R is prepared, and the blanket wafer Wb is housed in the FOUP 29 such that the surface on which the resist film R is formed is on the lower side.
  • the FOUP 29 in which the blanket wafer Wb is housed is mounted on the FOUP mounting stage 30 .
  • the transfer arm 33 then removes the blanket wafer Wb housed in the FOUP 29 via the load port 34 , and transfers the removed blanket wafer Wb into the wafer reversing unit 55 .
  • the wafer reversing unit 55 reverses the blanket wafer Wb such that the surface on which the resist film R is formed on the upper side and transfers the same into the film thickness measurement unit 56 (step S 501 ).
  • the film thickness measurement unit 56 measures the film thickness of the resist film R of the blanket wafer Wb (step S 502 ).
  • the wafer reversing unit 55 transfers the blanket wafer Wb from the film thickness measurement unit 56 and reverses the blanket wafer Wb such that the surface on which the resist film R is formed on the lower side (step S 503 ).
  • the transfer arm 33 removes the blanket wafer Wb from the wafer reversing unit 55 , and transfers the removed blanket wafer Wb into the bevel polymer removing apparatus 32 (step S 504 ).
  • the bevel polymer removing apparatus 32 removes the resist in the resist film measurement portion R 1 of on the lower side of the blanket wafer Wb (step S 303 ).
  • the transfer arm 33 transfers the blanket wafer Wb of which resist in the resist film measurement portion R 1 has been partially removed from the bevel polymer removing apparatus 32 and transfers the blanket wafer Wb into the wafer reversing unit 55 (step S 505 ).
  • the wafer reversing unit 55 reverses the blanket wafer Wb and transfers the same the blanket wafer Wb into the film thickness measurement unit 56 as in the step S 501 (step S 506 ).
  • the film thickness measurement unit 56 measures the film thickness of the resist film measurement portion R 1 of the blanket wafer Wb (step S 507 ).
  • the removal amount of the resist in the resist film measurement portion R 1 is calculated using the measured value of the film thickness of the resist film R measured in the step S 502 and the measured value of the film thickness of the resist film measurement portion R 1 measured in the step S 507 (step S 508 ).
  • the performance of the bevel polymer removing apparatus 32 specifically, the removing capability of the bevel polymer removing mechanism 37 is evaluated based on the removal amount of the resist in the resist film measurement portion R 1 calculated in the step S 508 , specifically, by comparing the value of the removal amount of the resist with a predetermined value set in advance by the operator (step S 509 ), and the present process is brought to an end.
  • the substrates subjected to the etching processing are wafers for semiconductor devices, but the substrate are not limited to them and rather may instead be any of various glass substrates used in LCDs (Liquid Crystal Displays), FPDs (Flat Panel Displays), or the like.
  • the object of the present invention may also be accomplished by supplying a system or an apparatus with a storage medium in which a program code of software, which realizes the functions of the above described second embodiment is stored, and causing a computer (or a CPU, a MPU, or the like) of the system or the apparatus to read out and execute the program code stored in the storage medium.
  • the program code itself read from the storage medium realizes the functions of the above described second embodiment, and hence the program code and the storage medium on which the program code is stored constitute the present invention.
  • Examples of the storage medium for supplying the program code include a medium capable of storing the above program code, for example, a floppy (registered trademark) disk, a hard disk, a magnetic-optical disk, an optical disk such as a CD-ROM, a CD-R, a CD-RW, a DVD-ROM, a DVD-RAM, a DVD-RW, or a DVD+RW, a magnetic tape, a nonvolatile memory card, and a ROM.
  • the program code may be downloaded via a network.
  • the functions of the above described second embodiment may be accomplished by writing a program code read out from the storage medium into a memory provided in an expansion board inserted into a computer or a memory provided in an expansion unit connected to the computer and then causing a CPU or the like provided in the expansion board or the expansion unit to perform a part or all of the actual operations based on instructions of the program code.

Abstract

A method of checking a substrate edge processing apparatus, which can accurately check the state of the substrate edge processing apparatus. The thickness of an organic film formed on a surface of a substrate is measured, and a predetermined process in which undesired substance attached to an edge of the substrate is removed is carried out on a predetermined portion of the organic film using the substrate edge processing apparatus. The film thickness of the predetermined portion is then measured. The removal amount of the organic film is calculated based on the measurement results, and the performance of the substrate edge processing apparatus is evaluated based on the calculated removal amount.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to a method of checking a substrate edge processing apparatus, and in particular to a method of checking a substrate edge processing apparatus that removes undesired substance attached to an edge of a substrate.
  • 2. Description of the Related Art
  • A substrate processing system that carries out plasma processing such as etching processing is comprised of a process module in which a wafer is housed and which carries out etching processing on the wafer, a transfer module that transfers a wafer into the process module and transfers a processed wafer out from the process module, a loader module that removes each wafer from an airtight container in which a plurality of wafers are housed, and a load-lock module that is disposed between the transfer module and the loader module and connects them together.
  • The process module has a chamber in which a wafer is housed. Fluorocarbon-based deposition gas is supplied as a process gas into the chamber to produce an electric field in the chamber, whereby the supplied process gas is turned into plasma, and the wafer is subjected to the etching processing by the plasma.
  • In the process module, when the wafer is subjected to the etching processing, reaction product arising from reaction of the process gas, for example, fluorocarbon-based polymer is produced. The polymer becomes attached to an upper surface of the wafer and also reaches a lower surface of an edge (bevel portion) of the wafer. For this reason, the fluorocarbon-based polymer becomes attached to the lower surface of the bevel portion of the wafer as well.
  • In general, a wafer having been subjected to the etching processing is transferred into an airtight container that holds a plurality of wafers parallel to one another, for example, a FOUP, and hence if polymer is attached to the lower surface of the bevel portion of a wafer, the polymer is separated from the lower surface of the bevel portion of the wafer placed in an upper part of the FOUP and falls to become attached to a surface of a wafer placed in a lower part of the FOUP, resulting in degradation in the quality of a semiconductor device manufactured from the wafer in the lower part.
  • Conventionally, the substrate processing system has a bevel polymer removing apparatus (substrate edge processing apparatus) so as to remove the polymer attached to the bevel portion of the wafer.
  • FIG. 6 is a partial cross-sectional view schematically showing the construction of the bevel polymer removing apparatus.
  • Referring to FIG. 6, the bevel polymer removing apparatus 60 has a chamber 61 in which a wafer W having been subjected to the etching processing is housed, and a stage 62 on which the wafer W is mounted is disposed in the chamber 61. The stage 62 has a central shaft 63, which is connected to a rotatively driving mechanism 64 disposed at a bottom of the chamber 61. The rotatively driving mechanism 64 rotatively drives the central shaft 63 to rotate the stage 62.
  • A bevel polymer removing mechanism 65 that removes polymer becoming attached to the wafer W during the etching processing is disposed below the bevel portion of the wafer W mounted on the stage 62 disposed in the chamber 61. The bevel polymer removing mechanism 65 has a laser light irradiating unit 66 that irradiates laser light L toward polymer P attached to the bevel portion of the wafer W, an ozone gas supply unit 67 that supplies ozone gas O toward the polymer P, and a sucking and discharging unit 68 that sucks and discharges the polymer P or the like removed by the laser light irradiating unit 66 and the ozone gas supply unit 67. In the bevel polymer removing apparatus 60, the laser light irradiating unit 66 irradiates the laser light L to the bevel portion of the wafer W to heat the polymer P and cause the polymer P to vaporize, and the ozone gas supply unit 67 supplies the ozone gas O toward the bevel portion of the wafer W to chemically dissolve the polymer P to remove the polymer P (see, for example, the brochure of International Publication No. 06/006,526).
  • In the substrate processing system, the wafer W having been subjected to the etching processing is housed in the FOUP after the polymer attached to the bevel portion of the wafer W is removed by the bevel polymer removing apparatus 60 as described above.
  • Actually, in the substrate processing system described above, it is necessary to periodically and quantitatively check the states of the process module and the bevel polymer removing apparatus 60 described above when wafers W are produced in volume.
  • When the state of the process module is to be checked, first, a blanket wafer with an oxide film (a wafer on a surface of which an oxide film is formed) is prepared, and the blanket wafer is transferred into the chamber of the process module and subjected to the etching processing described above. Thereafter, the blanket wafer having been subjected to the etching processing is transferred out from the chamber, and the amount of etching on a surface of the blanket wafer is measured. The oxide film can be formed with a uniform thickness on a wafer by CVD processing or the like, and the thickness of the oxide film can be set to a desired value. That is, the thickness of the oxide film before the etching processing is a reliable value, and hence the measured etching amount is also reliable. Thus, the state of the process module can be quantitatively checked.
  • On the other hand, in the bevel polymer removing apparatus 60 described above, an object to be processed is fluorocarbon-based polymer attached to the bevel portion of a wafer W.
  • However, the fluorocarbon-based polymer is produced concomitantly with the etching processing, and hence it is difficult to uniformly attach the fluorocarbon-based polymer to the bevel portion of a measurement wafer or the like along the circumference thereof, and also it is difficult to set the amount of attachment to a desired value. That is, the amount of attached polymer before the removal thereof is not a reliable value, and hence even if the removal amount of the polymer is measured, the measured removal amount is not a reliable value. As a result, it is difficult to quantitatively check the state of the bevel polymer removing apparatus 60.
  • Moreover, in order to periodically check the state of the bevel polymer removing apparatus 60, it is necessary to prepare a plurality of measurement wafers to which the same amount of polymer is attached, but this is also difficult for the reasons described above.
  • For the reasons described above, it is difficult to periodically and quantitatively, that is, accurately check the state of the bevel polymer removing apparatus 60.
  • SUMMARY OF THE INVENTION
  • The present invention provides a method of checking a substrate edge processing apparatus, which can accurately check the state of the substrate edge processing apparatus.
  • Accordingly, the present invention provides a method of checking a substrate edge processing apparatus that carries out a predetermined process in which undesired substance attached to an edge of a substrate are removed, comprising a first measurement step of measuring a thickness of an organic film formed on a surface of the substrate, a processing step of carrying out the predetermined process on a predetermined portion of the organic film using the substrate edge processing apparatus, a second measurement step of measuring a film thickness of the predetermined portion on which the predetermined process has been carried out, a calculating step of calculating a removal amount of the organic film in the processing step based on a result of the measurement in the first measurement step and a result of the measurement in the second measurement step, and an evaluating step of evaluating performance of the substrate edge processing apparatus based on the calculated removal amount.
  • According to the present invention, the substrate on the surface of which the organic film is formed is used, and the performance of the substrate edge processing apparatus is evaluated based on the removal amount of the organic film. The organic film can be formed with a uniform thickness on the substrate by a coating process or the like, and also, the thickness of the organic film can be set to a desired value. That is, the thickness of the organic film before the predetermined process is a reliable value, and hence the calculated removal amount of the organic film is also a reliable value. Thus, the state of the substrate edge processing apparatus can be quantitatively checked. Moreover, because a plurality of substrates on which organic films with the same thickness are formed can be easily prepared, the state of the substrate edge processing apparatus can be periodically checked. As a result, the state of the substrate edge processing apparatus can be accurately checked.
  • The present invention can provide a method of checking a substrate edge processing apparatus, wherein the organic film is a resist film.
  • According to the present invention, the organic film formed on the surface of the substrate is a resist film. The resist film can be uniformly formed on the substrate with ease by a coating process or the like, and hence a substrate for measurement can be easily prepared.
  • The present invention can provide a method of checking a substrate edge processing apparatus, wherein the predetermined portion is positioned 2 mm or more inside an end of the substrate.
  • According to the present invention, the predetermined process is carried out on the predetermined portion positioned 2 mm or more inside the end of the substrate. The shape of the organic film formed on the surface of the substrate is unstable at the end of the substrate, but the shape of the organic film is stable at a position 2 mm or more inside the end of the substrate. Thus, the reliability of the value of the removal amount of the organic film can be increased.
  • The present invention can provide a method of checking a substrate edge processing apparatus, wherein processing conditions in the processing step differ from processing conditions for removing the undesired substance attached to the edge of the substrate.
  • According to the present invention, the processing conditions for the predetermined process carried out on the predetermined portion of the organic film differs from the processing conditions for removing the undesired substance attached to the edge of the substrate. That is, the processing conditions for the predetermined process can be processing conditions under which the organic film does not deteriorate, and as a result, the measurement of the film thickness of the organic film can be prevented from becoming impossible due to deterioration of the organic film.
  • The present invention can provide a method of checking a substrate edge processing apparatus, wherein in the evaluating step, a value of the removal amount calculated in the calculating step is compared with a predetermined value set in advance.
  • According to the present invention, the value of the calculated removal amount and the predetermined value set in advance are compared with each other to evaluate the performance of the substrate edge processing apparatus. As a result, the performance of the substrate edge processing apparatus can be accurately evaluated.
  • The present invention can provide a method of checking a substrate edge processing apparatus, wherein in the processing step, laser light is irradiated toward the predetermined portion.
  • According to the present invention, the laser light is irradiated toward the predetermined portion of the organic film. When the laser light is irradiated on the organic film, the organic film is heated to evaporate, and hence the organic film can be reliably removed.
  • The present invention can provide a method of checking a substrate edge processing apparatus, wherein in the processing step, further, ozone gas is supplied toward the predetermined portion.
  • According to the present invention, the ozone gas is further supplied toward the predetermined portion of the organic film. When the ozone gas is supplied to the organic film, the organic film is chemically dissolved, and hence the organic film can be more reliably removed.
  • The features and advantages of the invention will become more apparent from the following detailed description taken in conjunction with the accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a plan view schematically showing the construction of a substrate processing system to which a method of checking a substrate edge processing apparatus according to a first embodiment of the present invention is applied;
  • FIG. 2 is a partial cross-sectional view showing a bevel polymer removing apparatus appearing in FIG. 1;
  • FIG. 3 is a flow chart showing a checking process carried out as the method of checking the substrate edge processing apparatus according to the present embodiment;
  • FIG. 4 is a plan view schematically showing the construction of a substrate processing system to which a method of checking a substrate edge processing apparatus according to a second embodiment of the present invention is applied;
  • FIG. 5 is a flow chart showing a checking process carried out as the method of checking the substrate edge processing apparatus according to the present embodiment; and
  • FIG. 6 is a partial cross-sectional view schematically showing the construction of a bevel polymer removing apparatus.
  • DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS
  • The present invention will now be described in detail below with reference to the accompanying drawings showing preferred embodiments thereof.
  • First, a description will be given of a substrate processing system to which a method of checking a substrate edge processing apparatus according to a first embodiment of the present invention is applied.
  • FIG. 1 is a plan view schematically showing the construction of the substrate processing system to which the method of checking the substrate edge processing apparatus according to the present embodiment is applied.
  • Referring to FIG. 1, the substrate processing system 10 is comprised of a transfer module 11 that is hexagonal in plan view, two process modules 12 and 13 that are connected to one side of the transfer module 11, two process modules 14 and 15 that are connected to the other side of the transfer module 11 in a manner being opposed to the two process modules 12 and 13, a process module 16 that is adjacent to the process module 13 and connected to the transfer module 11, a process module 17 that is adjacent to the process module 15 and connected to the transfer module 11, a loader module 18 as a rectangular transfer chamber, and two load- lock modules 19 and 20 that are disposed between the transfer module 11 and the loader module 18 and connect them together.
  • The transfer module 11 has therein a transfer arm 21 which is constructed such as to be able to bend, extend, and turn about a pivot thereof, and the transfer arm 21 transfers a wafer W between the process modules 12 to 17 and the load- lock modules 19 and 20.
  • Each of the process modules 12 to 17 is a substrate processing apparatus that carries out predetermined processing on a wafer for a semiconductor device (hereinafter simply referred to as “wafer”) W. For example, the process module 12 is an etching processing apparatus that carries out etching processing on the wafer W using plasma produced from fluorocarbon deposition gas.
  • The interiors of the transfer module 11 and the process modules 12 to 17 are maintained in a decompressed state, and the transfer module 11 and each of the process modules 12 to 17 are connected together via vacuum gate valves 12 a to 17 a.
  • In the substrate processing system 10, the pressure in the loader module 18 is maintained at atmospheric pressure, and on the other hand, the pressure in the transfer module 11 is maintained in a vacuum. For this reason, the load- lock modules 19 and 20 have respective vacuum gate valves 19 a and 20 a at locations thereof connected to the transfer module 11, and have respective atmospheric door valves 19 b and 20 b at locations thereof connected to the loader module 18 so that the load- lock modules 19 and 20 function as a vacuum preliminary transfer chamber of which inner pressure is adjustable. The load- lock modules 19 and 20 also have respective wafer mounting stages 19 c and 20 c on which the wafer W transferred between the loader module 18 and the transfer module 11 is temporarily mounted.
  • To the loader module 18 are connected three FOUP (Front Opening Unified Pod) mounting stages 30 on each of which a FOUP 29 as an airtight container housing 25 wafers W is mounted, an orienter 31 that pre-aligns the position of a wafer W transferred out from the FOUP 29, a bevel polymer removing apparatus 32 as a substrate edge processing apparatus that removes fluorocarbon-based polymer (undesired substance) attached to the edge (bevel portion) of the wafer W, as well as the load- lock modules 19 and 20.
  • The load- lock modules 19 and 20 are connected to a side wall of the loader module 18 in the longitudinal direction thereof and arranged in such a manner as to face the three FOUP mounting stages 30 across the loader module 18. The orienter 31 is disposed at one end of the loader module 18 in the longitudinal direction thereof, and the bevel polymer removing apparatus 32 is disposed at the other end of the loader module 18 in the longitudinal direction thereof.
  • The loader module 18 has therein a SCARA-type dual-arm transfer arm 33 for transferring wafers W, and on a side wall thereof three load ports 34 that are arranged in a manner corresponding to the respective FOUP mounting stages 30 and through which wafers W are transferred. The transfer arm 33 removes each wafer from the FOUPs 29 mounted on the FOUP mounting stages 30 via the load ports 34 and transfers each wafers into and from the load- lock modules 19 and 20, the orienter 31, and the bevel polymer removing apparatus 32.
  • FIG. 2 is a partial cross-sectional view showing the bevel polymer removing apparatus appearing in FIG. 1.
  • Referring to FIG. 2, the bevel polymer removing apparatus 32 has a chamber 33 a in which a wafer W or a blanket wafer Wb, described later, having been subjected to the etching processing (they will hereinafter be referred to as the “wafer W or the like”) is housed, and a stage 34 a on which the wafer W or the like is mounted is disposed in the chamber 33 a. The stage =34 a has a central shaft 35, which is connected to a rotatively driving mechanism 36 disposed at a bottom of the chamber 33 a. The rotatively driving mechanism 36 rotatively drives the central shaft 35 to rotate the stage 34 a.
  • A bevel polymer removing mechanism 37 that removes polymer becoming attached to the wafer W or the like during the etching processing is disposed below the bevel portion of the wafer W or the like mounted on the stage 34 a disposed in the chamber 33 a. The bevel polymer removing mechanism 37 has a laser light irradiating unit 38 that irradiates laser light L toward polymer attached to the bevel portion of the wafer W, an ozone gas supply unit 38 that supplies ozone gas O toward the polymer, and a sucking and discharging unit 40 that sucks and discharges polymer or the like removed by the laser light irradiating unit 38 and the ozone gas supply unit 39. The laser light irradiating unit 38 irradiates laser light L from a laser light source 41 via an optical fiber cable 42, the ozone gas supply unit 39 supplies ozone gas O from an ozonizer 44 through a supply nozzle 46 via a supply pipe 45, and the sucking and discharging unit 40 discharges the polymer or the like sucked by the sucking and discharging nozzle 47 by a discharging means 49 via a discharge pipe 48.
  • In the bevel polymer removing apparatus 32, the wafer W with the bevel portion thereof to which polymer has become attached as a result of the etching processing is mounted on the stage 34 a, the bevel polymer removing mechanism 37 removes the polymer, and the rotatively driving mechanism 36 rotates the stage 34 a, that is, the wafer W. As a result, the polymer attached to the bevel portion of the wafer W can be reliably removed along the circumference thereof.
  • A cooling gas supply unit 50 that cools the wafer W or the like is disposed above the wafer W or the like mounted on the stage 34 a in the chamber 33 a. The cooling gas supply unit 50 supplies cooling gas C from a cooling gas source 51 to the surface of the wafer W or the like through a supply nozzle 53 via a supply pipe 52 so as to prevent conductive heat from the bevel portion heated by the irradiation of the laser light L from spreading over the entire wafer W or the like.
  • Referring again to FIG. 1, the substrate processing system 10 has a system controller (not shown) that controls operation of the component elements, and an operation panel 54 disposed at one end of the loader module 18 in the longitudinal direction thereof. The system controller controls operation of the component elements in accordance with programs for various processing. The operation panel 54 displays the operating states of the component elements and receives operating conditions input by an operator.
  • Next, a description will be given of the method of substrate edge processing apparatus according to the present embodiment.
  • FIG. 3 is a flow chart showing a checking process carried out as the method of checking the substrate edge processing apparatus according to the present embodiment.
  • Referring to FIG. 3, first, a blanket wafer Wb with a resist film R (a wafer on a surface of which a resist film R is formed) is prepared, and the bracket wafer Wb is transferred into a film thickness measurement unit, not shown, to measure the film thickness of the resist film R (step S301).
  • Next, the blanket wafer Wb is transferred out from the film thickness measurement unit, and the blanket wafer Wb is housed in the FOUP 29 such that the surface on which the resist film R is formed is the lower side.
  • The FOUP 29 in which the blanket wafer Wb is housed is mounted on the FOUP mounting stage 30. The transfer arm 33 then removes the blanket wafer Wb housed in the FOUP 29 via the load port 34 and transfers the removed blanket wafer Wb into the bevel polymer removing apparatus 32 (step S302).
  • Then, when the blanket wafer Wb is mounted on the stage 34 a of the bevel polymer removing apparatus 32, the laser light irradiating unit 38 irradiates laser light L toward a resist film measurement portion R1 of the resist film R which is positioned a distance L1, specifically, 2 mm or more, preferably, 2 mm inside an end of the lower side of the blanket wafer Wb, that is, the resist film R as shown in FIG. 2, and the ozone gas supply unit 39 supplies ozone gas O toward the resist film measurement portion R1 (step S303). The resist in the resist film measurement portion R1 is heated to vaporize by the irradiation of the laser light L and is chemically dissolved and removed by the supplied ozone gas O. At the same time, the rotatively driving mechanism 36 rotates the stage 34 a, that is, the blanket wafer Wb.
  • The transfer arm 33 then transfers the blanket wafer Wb of which resist in the resist film measurement portion R1 has been partially removed from the bevel polymer removing apparatus 32 and houses the blanket wafer Wb in the FOUP 29 via the load port 34 (step S304).
  • The blanket wafer Wb is then removed from the FOUP 29 and transferred into the film thickness measurement unit such that the surface on which the resist film R is formed is on the upper side to measure the film thickness of the resist film measurement portion R1 (step S305).
  • Then, the removal amount of the resist in the resist film measurement portion R1 is calculated using the measured value of the film thickness of the resist film R measured in the step S301 and the measured value of the film thickness of the resist film measurement portion R1 measured in the step S305 (step S306).
  • Then, based on the removal amount of the resist in the resist film measurement portion R1 calculated in the step S306, specifically, the value of the removal amount of the resist and a predetermined value set in advance by an operator are compared with each other to evaluate the performance of the bevel polymer removing apparatus 32, specifically, the removing capability of the bevel polymer removing mechanism 37 (step S307), and the present process is brought to an end.
  • According to the checking process in FIG. 3, the blanket wafer Wb on which the resist film R is formed is used, and the performance of the bevel polymer removing apparatus 32 is evaluated based on the removal amount of the resist in the resist film measurement portion R1. The resist film R can be formed with a uniform thickness on a wafer by a coating process or the like, and also, the thickness of the resist film R can be set to a desired value. That is, the thickness of the resist film measurement portion R1 before the removal of a part thereof is a reliable value, and hence the calculated removal amount of the resist in the resist film measurement portion R is also a reliable value. Thus, the state of the bevel polymer removing apparatus 32 can be quantitatively checked. Moreover, a plurality of blanket wafers Wb on which resist films R with the same thickness are formed can be easily prepared, and hence the state of the bevel polymer removing apparatus 32 can be periodically checked. As a result, the state of the bevel polymer removing apparatus 32 can be accurately checked.
  • Further, according to the checking process in FIG. 3, the value of the removal amount of the resist in the resist film measurement portion R1 and the predetermined value set in advance by the operator are compared with each other to evaluate the performance of the bevel polymer removing apparatus 32. Thus, the performance of the bevel polymer removing apparatus 32 can be accurately evaluated.
  • In general, the above described resist film R is unstable in shape at the end of the wafer. For this reason, in the above described checking process in FIG. 3, the resist in the resist film measurement portion R1 that is positioned a distance L1, specifically, 2 mm or more, preferably, 2 mm inside the end of the wafer where the resist film R is stable in shape. As a result, the reliability of the value of the removal amount of the resist in the resist film measurement portion R1 can be increased.
  • Moreover, in general, the above described resist film R deteriorates when high-power laser light is irradiated on it. On the other hand, in order to remove the polymer P attached to the bevel portion of the wafer described above, it is necessary to irradiate high-power laser light to the polymer P. Accordingly, in the above described checking process in FIG. 3, the power of the laser light L irradiated to remove the resist in the resist film measurement portion R1 is set to be lower than the power of the laser light irradiated to remove the polymer P attached to the bevel portion of the wafer W. This can prevent the measurement of the film thickness of the resist film measuring portion R1 from becoming impossible due to deterioration of the resist in the resist film measurement portion R1.
  • Further, according to the checking process in FIG. 3, although the removing capability of the bevel polymer removing mechanism 37 as a whole is evaluated by irradiating the laser light L and supplying the ozone gas in removing the resist in the resist film measurement portion R1, the laser irradiating unit 38 and the ozone gas supply unit 39 may be individually evaluated. Specifically, two blanket wafers Wb are prepared, only the laser light L is irradiated in removing the resist in the resist film measurement portion R1 of the first blanket wafer Wb, and the laser light L is irradiated and the ozone gas O is supplied in removing the resist in the resist film measurement portion R1 of the second blanket wafer Wb. That is, the removing capability of the laser irradiating unit 38 is evaluated using the value of the removal amount of the resist in the resist film measurement portion R1 of the first blanket wafer Wb, and the removing capability of the ozone gas supply unit 39 is evaluated using a value obtained by subtracting the value of the removal amount of the resist in the resist film measurement portion R1 of the first blanket wafer Wb from the value of the removal amount of the resist in the resist film measurement portion R1 of the second blanket wafer Wb.
  • Although in the above described checking process in FIG. 3, the blanket wafer Wb on the surface of which the resist film R is formed is used, a film that is formed on the surface of a wafer is not limited to being the resist film R, but any organic film may be used insofar as it can be uniformly coated on the surface of the wafer.
  • Next, a description will be given of a substrate processing system to which a method of checking a substrate edge processing apparatus according to a second embodiment of the present invention is applied.
  • The substrate processing system according to the present embodiment differs from the first embodiment only in that it is provided with a wafer reversing unit and a film thickness measurement unit. Features of the construction and operation that are the same as in the first embodiment will thus not be described, only features that are different from those of the first embodiment being described below.
  • FIG. 4 is a plan view schematically showing the construction of the substrate processing system to which the method of checking the substrate edge processing apparatus according to the present embodiment is applied.
  • Referring to FIG. 4, a wafer reversing unit 55 that reverses a blanket wafer Wb is connected to the loader module 18 of the substrate processing system 54, and a film thickness measurement unit 56 that measures the state of the surface of the blanket wafer Wb is connected to the wafer reversing unit 55.
  • The film thickness measurement unit 56 is a monitor of an optical system and has a mounting stage 57 on which the loaded blanket wafer Wb is mounted, and an optical sensor 58 that orients the blanket wafer Wb mounted on the mounting stage 57 to measure the film thickness of the resist film R of the blanket wafer Wb.
  • Next, a description will be given of the method of checking the substrate edge processing apparatus according to the present embodiment.
  • The method of checking the substrate edge processing apparatus according to the present embodiment is only different from the first embodiment in that the measurement of the film thickness of the resist film R of the blanket wafer Wb is performed inside the substrate processing system. In the following, thus explanations of common constructions and functions will be omitted.
  • FIG. 5 is a flow chart showing a checking process carried out as the method of checking the substrate edge processing apparatus according to the present embodiment.
  • Referring to FIG. 5, first, a blanket wafer Wb with a resist film R is prepared, and the blanket wafer Wb is housed in the FOUP 29 such that the surface on which the resist film R is formed is on the lower side. The FOUP 29 in which the blanket wafer Wb is housed is mounted on the FOUP mounting stage 30. The transfer arm 33 then removes the blanket wafer Wb housed in the FOUP 29 via the load port 34, and transfers the removed blanket wafer Wb into the wafer reversing unit 55. The wafer reversing unit 55 reverses the blanket wafer Wb such that the surface on which the resist film R is formed on the upper side and transfers the same into the film thickness measurement unit 56 (step S501).
  • Then, the film thickness measurement unit 56 measures the film thickness of the resist film R of the blanket wafer Wb (step S502).
  • Then, the wafer reversing unit 55 transfers the blanket wafer Wb from the film thickness measurement unit 56 and reverses the blanket wafer Wb such that the surface on which the resist film R is formed on the lower side (step S503).
  • Then, the transfer arm 33 removes the blanket wafer Wb from the wafer reversing unit 55, and transfers the removed blanket wafer Wb into the bevel polymer removing apparatus 32 (step S504).
  • Then, the bevel polymer removing apparatus 32 removes the resist in the resist film measurement portion R1 of on the lower side of the blanket wafer Wb (step S303).
  • Then, the transfer arm 33 transfers the blanket wafer Wb of which resist in the resist film measurement portion R1 has been partially removed from the bevel polymer removing apparatus 32 and transfers the blanket wafer Wb into the wafer reversing unit 55 (step S505).
  • Then, the wafer reversing unit 55 reverses the blanket wafer Wb and transfers the same the blanket wafer Wb into the film thickness measurement unit 56 as in the step S501 (step S506).
  • Then, the film thickness measurement unit 56 measures the film thickness of the resist film measurement portion R1 of the blanket wafer Wb (step S507).
  • Then, the removal amount of the resist in the resist film measurement portion R1 is calculated using the measured value of the film thickness of the resist film R measured in the step S502 and the measured value of the film thickness of the resist film measurement portion R1 measured in the step S507 (step S508). Then, the performance of the bevel polymer removing apparatus 32, specifically, the removing capability of the bevel polymer removing mechanism 37 is evaluated based on the removal amount of the resist in the resist film measurement portion R1 calculated in the step S508, specifically, by comparing the value of the removal amount of the resist with a predetermined value set in advance by the operator (step S509), and the present process is brought to an end.
  • According to the checking process in FIG. 5, not only the same effects as the effects obtained in the first embodiment described above can be obtained, but also the film thicknesses of the resist film R and the resist film measurement portion R1 of the blanket wafer Wb are measured by the film thickness measurement unit 56 in the substrate processing system 54, and therefore, work burdens on the operator can be reduced as compared with the first embodiment described above.
  • Although in the above described embodiments, the substrates subjected to the etching processing are wafers for semiconductor devices, but the substrate are not limited to them and rather may instead be any of various glass substrates used in LCDs (Liquid Crystal Displays), FPDs (Flat Panel Displays), or the like.
  • Further, it is to be understood that the object of the present invention may also be accomplished by supplying a system or an apparatus with a storage medium in which a program code of software, which realizes the functions of the above described second embodiment is stored, and causing a computer (or a CPU, a MPU, or the like) of the system or the apparatus to read out and execute the program code stored in the storage medium.
  • In this case, the program code itself read from the storage medium realizes the functions of the above described second embodiment, and hence the program code and the storage medium on which the program code is stored constitute the present invention.
  • Examples of the storage medium for supplying the program code include a medium capable of storing the above program code, for example, a floppy (registered trademark) disk, a hard disk, a magnetic-optical disk, an optical disk such as a CD-ROM, a CD-R, a CD-RW, a DVD-ROM, a DVD-RAM, a DVD-RW, or a DVD+RW, a magnetic tape, a nonvolatile memory card, and a ROM. Alternatively, the program code may be downloaded via a network.
  • Further, it is to be understood that the functions of the above described second embodiment may be accomplished not only by executing a program code read out by a computer, but also by causing an OS (an operating system) or the like which operates on a CPU to perform a part or all of the actual operations based on instructions of the program code.
  • Further, it is to be understood that the functions of the above described second embodiment may be accomplished by writing a program code read out from the storage medium into a memory provided in an expansion board inserted into a computer or a memory provided in an expansion unit connected to the computer and then causing a CPU or the like provided in the expansion board or the expansion unit to perform a part or all of the actual operations based on instructions of the program code.

Claims (7)

1. A method of checking a substrate edge processing apparatus that carries out a predetermined process in which undesired substance attached to an edge of a substrate are removed, comprising:
a first measurement step of measuring a thickness of an organic film formed on a surface of the substrate;
a processing step of carrying out the predetermined process on a predetermined portion of the organic film using the substrate edge processing apparatus;
a second measurement step of measuring a film thickness of the predetermined portion on which the predetermined process has been carried out;
a calculating step of calculating a removal amount of the organic film in said processing step based on a result of the measurement in said first measurement step and a result of the measurement in said second measurement step; and
an evaluating step of evaluating performance of the substrate edge processing apparatus based on the calculated removal amount.
2. A method of checking a substrate edge processing apparatus as claimed in claim 1, wherein the organic film is a resist film.
3. A method of checking a substrate edge processing apparatus as claimed in claim 1, wherein the predetermined portion is positioned 2 mm or more inside an end of the substrate.
4. A method of checking a substrate edge processing apparatus as claimed in claim 1, wherein processing conditions in said processing step differ from processing conditions for removing the undesired substance attached to the edge of the substrate.
5. A method of checking a substrate edge processing apparatus as claimed in claim 1, wherein in said evaluating step, a value of the removal amount calculated in said calculating step is compared with a predetermined value set in advance.
6. A method of checking a substrate edge processing apparatus as claimed in claim 1, wherein in said processing step, laser light is irradiated toward the predetermined portion.
7. A method of checking a substrate edge processing apparatus as claimed in claim 6, wherein in said processing step, further, ozone gas is supplied toward the predetermined portion.
US12/353,365 2008-01-29 2009-01-14 Method of checking substrate edge processing apparatus Abandoned US20090188892A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/353,365 US20090188892A1 (en) 2008-01-29 2009-01-14 Method of checking substrate edge processing apparatus

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2008017910A JP2009182046A (en) 2008-01-29 2008-01-29 Method of checking substrate edge processing apparatus
JP2008-017910 2008-01-29
US4823808P 2008-04-28 2008-04-28
US12/353,365 US20090188892A1 (en) 2008-01-29 2009-01-14 Method of checking substrate edge processing apparatus

Publications (1)

Publication Number Publication Date
US20090188892A1 true US20090188892A1 (en) 2009-07-30

Family

ID=40898162

Family Applications (1)

Application Number Title Priority Date Filing Date
US12/353,365 Abandoned US20090188892A1 (en) 2008-01-29 2009-01-14 Method of checking substrate edge processing apparatus

Country Status (2)

Country Link
US (1) US20090188892A1 (en)
JP (1) JP2009182046A (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4999014A (en) * 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US6379980B1 (en) * 2000-07-26 2002-04-30 Advanced Micro Devices, Inc. Method and apparatus for monitoring material removal tool performance using endpoint time removal rate determination
US20070159630A1 (en) * 2004-11-15 2007-07-12 Jon Opsal Beam profile ellipsometer with rotating compensator
US20080073324A1 (en) * 2004-07-09 2008-03-27 Sekisui Chemical Co., Ltd. Method For Processing Outer Periphery Of Substrate And Apparatus Thereof
US20080216959A1 (en) * 2007-03-09 2008-09-11 Hiroyuki Kobayashi Plasma processing apparatus

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4999014A (en) * 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US6379980B1 (en) * 2000-07-26 2002-04-30 Advanced Micro Devices, Inc. Method and apparatus for monitoring material removal tool performance using endpoint time removal rate determination
US20080073324A1 (en) * 2004-07-09 2008-03-27 Sekisui Chemical Co., Ltd. Method For Processing Outer Periphery Of Substrate And Apparatus Thereof
US20070159630A1 (en) * 2004-11-15 2007-07-12 Jon Opsal Beam profile ellipsometer with rotating compensator
US20080216959A1 (en) * 2007-03-09 2008-09-11 Hiroyuki Kobayashi Plasma processing apparatus

Also Published As

Publication number Publication date
JP2009182046A (en) 2009-08-13

Similar Documents

Publication Publication Date Title
US20230282460A1 (en) Focus ring replacement method and plasma processing system
US11069548B2 (en) Substrate processing method and substrate processing system
US8454752B2 (en) Foreign substance removing apparatus, foreign substance removing method, and storage medium
JP4569956B2 (en) Substrate processing apparatus restoration processing method, substrate processing apparatus, and program
US8216382B2 (en) Foreign matter removal method and storage medium
KR100845990B1 (en) Substrate processing apparatus, history information recording method, history information recording program, and history information recording system
US7960187B2 (en) Recovery processing method to be adopted in substrate processing apparatus, substrate processing apparatus and program
US8190281B2 (en) Substrate processing apparatus, method for examining substrate processing conditions, and storage medium
US8140181B2 (en) Substrate transfer method, control program, and storage medium storing same
US20070010906A1 (en) Apparatus and system for monitoring a substrate processing, program for monitoring the processing and storage medium storing same
JP4961893B2 (en) Substrate transport apparatus and substrate transport method
JP2007242869A (en) Substrate processing system
WO2018147008A1 (en) Substrate processing method and substrate processing device
US8021513B2 (en) Substrate carrying apparatus and substrate carrying method
JP4789821B2 (en) Inspection method for substrate processing apparatus
JP2006128559A (en) Substrate processing system
TWI652479B (en) Substrate processing device and abnormality detection method for ozone gas concentration
US20110224818A1 (en) Substrate processing apparatus, method for modifying substrate processing conditions and storage medium
US20090188892A1 (en) Method of checking substrate edge processing apparatus
JP5398234B2 (en) Foreign object detection method, apparatus and storage medium
US20080090310A1 (en) Substrate processing apparatus and substrate processing termination detection method
JP6719629B2 (en) Plasma processing system and transfer method
WO2022158361A1 (en) Surface modification method and surface modification device
WO2023248927A1 (en) Substrate treatment device and substrate treatment method
TW202247250A (en) Surface reforming device and bonding strength determination method

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YAMAZAKI, KUMIKO;SAKURAGI, ISAMU;KITAMURA, AKINORI;REEL/FRAME:022107/0055

Effective date: 20081219

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION