US20090184355A1 - Integrated circuit arrangement with capacitor and fabrication method - Google Patents

Integrated circuit arrangement with capacitor and fabrication method Download PDF

Info

Publication number
US20090184355A1
US20090184355A1 US12/414,120 US41412009A US2009184355A1 US 20090184355 A1 US20090184355 A1 US 20090184355A1 US 41412009 A US41412009 A US 41412009A US 2009184355 A1 US2009184355 A1 US 2009184355A1
Authority
US
United States
Prior art keywords
region
electrode
insulating
layer
transistor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US12/414,120
Other versions
US8124475B2 (en
Inventor
Ralf Brederlow
Jessica Hartwich
Christian Pacha
Wolfgang Rosner
Thomas Schulz
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Priority to US12/414,120 priority Critical patent/US8124475B2/en
Publication of US20090184355A1 publication Critical patent/US20090184355A1/en
Application granted granted Critical
Publication of US8124475B2 publication Critical patent/US8124475B2/en
Adjusted expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/13Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body combined with thin-film or thick-film passive components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/056Making the transistor the transistor being a FinFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/34DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/36DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being a FinFET

Definitions

  • the invention relates to an integrated circuit arrangement, which contains an electrically insulating region and at least one capacitor.
  • the capacitor is formed from a sequence of regions which contains in the order specified:
  • the electrically insulating region comprises, for example, an electrically insulating material having a resistivity of greater than 10 12 ⁇ cm (ohm centimeters) at 20° C. room temperature, e.g. an oxide, in particular silicon dioxide.
  • the electrode region contains, by way of example, a metal having an electrical resistivity of less than 10 ⁇ 4 ⁇ cm at 20° C. room temperature.
  • the electrode regions contain polycrystalline silicon, for example, which is highly doped.
  • the dielectric region likewise comprises an electrically insulating material, e.g. an oxide, in particular silicon dioxide, which has a dielectric constant of about 3.9. However, dielectric materials having a significantly larger dielectric constant are also used in the dielectric region.
  • the intention is to enable the circuit arrangement to be fabricated in particular with a small number of process steps and in particular using a small number of lithographic masks.
  • the intention is to specify a simple fabrication method for an integrated circuit arrangement with a capacitor.
  • the insulating region is part of an insulating layer arranged in a plane.
  • the capacitor and at least one active component of the integrated circuit arrangement lie on the same side of the insulating layer.
  • the electrode region near the insulating region and the active region of the component are arranged in a plane which lies parallel to the plane in which the insulating layer is arranged.
  • the circuit arrangement according to the invention is constructed in a simple manner and can be fabricated in a simple manner because the electrode region near the insulating region and the active region are situated in one plane. Moreover, the electrode region near the insulating region and also the active region are insulated by the insulating region. Freely selectable potentials can thus be applied to both electrode regions of the capacitor.
  • the capacitor additionally has outstanding electronic properties:
  • the ratio between parasitic capacitances and resistances in relation to the useful capacitance is small, different differential capacitances being attributable to space charge zones.
  • the differential capacitance is the capacitance which is effective at the operating point
  • the capacitance is constant over a wide operating point range
  • the capacitance/area ratio that can be obtained is large, for example more than ten femtofarads per square micrometer or even greater than twenty femtofarads per square micrometer.
  • the electrode region near the insulating region and the active region are semiconductor regions which contain a semiconductor material, i.e. a material having an electrical resistivity of between 10 ⁇ 5 and 10 +12 ⁇ cm, in particular between 10 ⁇ 6 and 10 +10 ⁇ cm, e.g. germanium, silicon or gallium arsenide.
  • the resistivity of the electrode region of the capacitor which is near the insulating region is reduced by a doping in one configuration.
  • the electrode region near the insulating region and the active region are monocrystalline regions which are doped, if appropriate.
  • the electronic properties of active components in monocrystalline layers are particularly good.
  • the electrical resistance of a monocrystalline electrode of the capacitor can be reduced particularly well by doping.
  • the electrode region near the insulating region and also the active region have a thickness of less than one hundred nanometers or even less than fifty nanometers. In such thin semiconductor layers, active components having a very short channel length can be produced in a particularly simple manner.
  • the insulating layer adjoins a carrier substrate, as is the case with a so-called SOI substrate (Silicon On Insulator).
  • SOI substrate Silicon On Insulator
  • Substrates of this type can be fabricated in a simple manner.
  • the electronic circuits arranged on these substrates have particularly good electronic properties.
  • the dielectric region and the electrode region remote from the insulating region are arranged at at least two side areas of the electrode region near the insulating region.
  • This measure makes it possible to increase the capacitance of the capacitor in a simple manner. If the side areas are situated transversely with respect to the carrier substrate, then no or only a small additional chip area is required for increasing the capacitance.
  • a further measure for increasing the capacitance consists in the electrode regions containing a multiplicity of intermeshing webs. The web height is preferably larger than the web width.
  • the active component is a field-effect transistor:
  • This measure means that only three layer production processes are required for the fabrication of the capacitor and for fabricating the field-effect transistor.
  • the regions of the field-effect transistor and of the capacitor which lie in the same layer can be patterned jointly.
  • An additional mask for fabricating the capacitor is necessary only when the bottom electrode region of the capacitor is doped differently than the channel region of the field-effect transistor.
  • a further additional mask is necessary only when the materials and/or the insulating thicknesses of the control electrode insulating region and of the dielectric region of the capacitor differ. Even then, however, the number of masks required for fabricating the circuit arrangement is still small.
  • the field-effect transistor contains a web or a fin. Control electrodes are arranged at mutually opposite sides of the web. This results in a field-effect transistor having outstanding control properties, for example a so-called FinFET.
  • the connecting region which electrically conductively connects the control electrodes.
  • the connecting region is isolated from the channel region by an insulating region whose insulating thickness is larger than the insulating thickness of the control electrode insulating region.
  • control electrode adjoins a silicide region. This measure makes it easier to make contact with the control electrode. The contact resistance and the sheet resistance are additionally reduced.
  • terminal regions of the field-effect transistor adjoin the insulating layer.
  • the terminal regions likewise adjoin silicide regions.
  • Sufficient material for the silicide formation is present when the semiconductor layer, both before and after the silicide formation, has a larger thickness in the region of the terminal regions than in the region of the electrode near the insulating region.
  • spacers are arranged on both sides of the control electrodes, which spacers also contain a different material or comprise a different material than the electrode layer, in particular a material which is not suitable as a starting point for an epitaxial layer growth during an epitaxy method for producing a semiconductor epitaxial layer, for example silicon nitride.
  • the use of spacers means that side regions of the control electrode are covered, so that no epitaxy can proceed from there and short circuits are avoided.
  • a spacer is likewise arranged at at least one side of the electrode region remote from the insulation region.
  • the spacers have fulfilled the same task as the spacers arranged at the control electrode. If a spacer arranged at the gate and a spacer arranged at an electrode of the capacitor touch one another, then a masking arises which, by way of example, prevents a doping or else a siliciding in the masked region.
  • a terminal region of the field-effect transistor and the electrode region of the capacitor which is near the insulating region adjoin one another and thus form an electrically conductive connection.
  • DRAM Dynamic Random Access Memory
  • that side of the electrode region near the insulating region which adjoins one terminal region of the transistor is longer than a side of the electrode region near the insulating region which lies transversely with respect to said side, preferably being at least twice as long or at least five times as long.
  • the transistor has a transistor width which is a multiple of the minimum feature size, preferably more than three-fold or more than five-fold.
  • a side of the electrode region near the insulating region which lies transversely with respect to that side of the electrode region near the insulating region which adjoins the terminal region is longer than the side adjoining the terminal region, preferably at least twice as long or at least five times as long.
  • the transistor has a transistor width which is less than three times the minimum feature size, preferably less than twice the minimum feature size.
  • the circuit arrangement contains at least one processor containing a multiplicity of logical switching functions. If, in one configuration, the circuit arrangement additionally contains a multiplicity of DRAM memory units (Dynamic Random Access Memory) beside the processor, then a term that is also used is an embedded memory.
  • DRAM memory units Dynamic Random Access Memory
  • a term that is also used is an embedded memory.
  • the invention additionally relates, in a further aspect, to a method for fabricating an integrated circuit arrangement, in particular for fabricating the circuit arrangement according to the invention or one of its developments.
  • the following method steps are performed without any restriction by the order specified:
  • a substrate containing an insulating layer made of electrically insulating material and a semiconductor layer e.g. an SOI substrate
  • the method according to the invention is particularly suitable for fabricating a so-called FinFET together with the capacitor.
  • FIGS. 1A to 16B show fabrication stages in the fabrication of an integrated DRAM memory cell.
  • FIG. 17 shows a plan view of the memory cell
  • FIG. 18 shows a plan view of a DRAM memory cell with three transistors.
  • FIGS. 1A to 16B show fabrication stages in the fabrication of an integrated memory cell, FIGS. 1A to 16A relating to a section along a sectional plane 1 , which lies longitudinally with respect to a channel of a field-effect transistor, in particular longitudinally with respect to the current flow direction in the channel.
  • FIGS. 1B to 16B in each case relate to the section along a sectional plane II, which lies transversely with respect to the channel.
  • the fabrication of the memory cell begins proceeding from an SOI substrate 10 , which contains a carrier substrate 12 made of monocrystalline silicon, a so-called buried insulating layer 14 made of silicon dioxide, for example, and a thin semiconductor layer 16 made of monocrystalline silicon.
  • the thickness of the carrier substrate 12 is five hundred and fifty micrometers
  • the thickness of the insulating layer 14 is one hundred nanometers
  • the thickness of the semiconductor layer 16 is fifty nanometers.
  • FIG. 1A there are as yet no differences along the sectional plane I and II, respectively, see FIG. 1B .
  • a silicon nitride layer 18 is subsequently deposited into the SOI substrate 10 , for example with the aid of a CVD method (Chemical Vapor Deposition).
  • the silicon nitride layer 18 has a thickness of fifty nanometers.
  • a silicon dioxide layer is then deposited over the whole area of the silicon nitride layer 18 , e.g. a TEOS layer 20 (tetraethyl orthosilicate) with the aid of a TEOS method.
  • the TEOS layer 20 has a thickness of seventy-five nanometers. Identical conditions are still present along the sectional planes I and II, see FIG. 2B .
  • the double layer comprising the silicon nitride layer 18 and the TEOS layer 20 is replaced by a single layer. This results in a process simplification.
  • a lithography method is subsequently carried out.
  • a photoresist 22 is applied over the whole area, exposed in accordance with a predetermined layout and developed.
  • the TEOS layer 20 , the nitride layer 18 and the semiconductor layer 16 are patterned, for example by means of a dry etching method. This results in a layer stack 30 or mesa which tapers, in the region of the sectional plane II, to form a web region, see FIG. 3B , and then widens again.
  • the geometry for the field-effect transistor to be fabricated and the geometry for the capacitor can be prescribed and thus optimized independently of one another.
  • the photoresist 22 is subsequently removed.
  • an electron beam lithography method or another suitable method is carried out.
  • a further photolithography method is subsequently performed, in which an additional mask is necessary for fabricating the capacitor.
  • a photoresist layer 32 is applied, exposed using the mask, developed and patterned.
  • the TEOS layer 20 and the silicon nitride layer 18 are removed above a bottom electrode region 34 in the semiconductor layer 16 .
  • the stack 30 is divided into a transistor part 30 a and into a capacitor part 30 b.
  • the doping density amounts to 10 20 doping atoms per cubic centimeter.
  • the doping density preferably lies in the range of between 10 19 and 10 21 doping atoms per cubic centimeter.
  • the later channel region of the transistor in particular the side areas of this channel region, are protected by the photoresist layer 32 , so that zones which might effect a doping do not penetrate into these regions.
  • the photoresist layer 32 is subsequently removed.
  • a thin oxide layer is subsequently produced at all the uncovered sides of the semiconductor layer 16 and, in particular, also at the uncovered sides of the bottom electrode region 34 , which oxide layer forms the gate oxide 42 and 44 in the region of the transistor and a dielectric 46 in the region of the capacitor.
  • the oxide layer grows thermally.
  • the oxide layer has a thickness of two nanometers in the region of the undoped silicon.
  • a dielectric made of a different material and/or a dielectric having a different thickness than in the region provided for the transistor is produced in the region of the capacitor.
  • in-situ or subsequently doped polycrystalline silicon is then deposited, a polysilicon layer 50 being produced.
  • the polysilicon layer 50 has, by way of example, a thickness of one hundred nanometers and a dopant concentration of 10 21 doping atoms per cubic centimeter.
  • the heavy doping of the n conduction type is once again represented by the symbol n ++ .
  • Phosphorus atoms for example, are used as doping atoms.
  • a further TEOS layer 52 which is thicker than the TEOS layer 20 , is subsequently deposited onto the polysilicon layer 50 .
  • the thickness of the TEOS layer 52 amounts to one hundred nanometers.
  • the TEOS layer 52 has a dual function. As will be explained further below, the TEOS layer 52 firstly serves as a hard mask for the patterning of the control electrode (gate) of the transistor. Afterward, the TEOS layer 52 serves as an implantation mask which prevents repeated doping of the gate electrode. In this way, it is possible for gate electrode and source/drain regions to be doped differently. The gate electrode work function can thus be chosen freely.
  • a further lithography method is subsequently carried out for patterning a gate electrode 54 .
  • a photoresist layer (not illustrated in the figures) is once again applied, exposed and developed.
  • the TEOS layer 52 and the polysilicon layer 50 are patterned, for example etched. This results in the gate electrode 54 in the region of the transistor and a covering electrode 56 in the region of the capacitor.
  • the gate electrode 54 is covered by a TEOS layer region 52 a.
  • the covering electrode 56 is covered by a TEOS layer region 52 b.
  • a significant degree of overetching is effected during the etching of the polysilicon layer 50 in order to remove all the parasitic polysilicon spacers at the sidewalls of the layer stack 30 a.
  • the sidewalls are covered only by the thin oxide layer after the etching.
  • a thin silicon nitride layer 60 is subsequently deposited over the whole area, for example with the aid of a CVD method.
  • the silicon nitride layer 60 has a thickness of fifty nanometers in the exemplary embodiment.
  • the silicon nitride layer 60 is subsequently etched back in an anisotropic etching process to form spacers 60 a at the sidewalls of the transistor part 30 a, spacers 60 b, 60 c at the sidewalls of the gate electrode 54 and of the TEOS layer region 52 a and also to form a spacer 60 d at the sidewalls of the covering electrode 56 and of the TEOS region 52 b.
  • the thin TEOS layer 20 is then etched without using a lithography method, i.e. in a self-aligning manner, for example by means of an RIE method (reactive ion etching).
  • a TEOS layer region 20 a is produced below the spacers 60 b, 60 c and below the gate electrode 54 .
  • a TEOS layer region 20 b is produced below the spacer 60 d.
  • the TEOS layer regions 52 a and 52 b are also thinned, for example to twenty-five nanometers. This produces thinned TEOS layer regions 52 c above the gate electrode 54 and 52 d above the covering electrode 56 .
  • the silicon nitride layer 18 is uncovered in regions which are not covered by the TEOS layer region 20 a.
  • the spacers 60 a to 60 d are not attacked by the etching of the TEOS layer 52 , so that they project somewhat beyond the thinned TEOS layer regions 52 c and 52 d.
  • the nitride layer 18 is subsequently patterned in a self-aligning manner, uncovered regions of said silicon nitride layer 18 being removed.
  • a nitride layer region 18 a remains below the TEOS layer region 20 a .
  • a nitride layer region 18 b remains below the TEOS layer region 20 b.
  • Etching is effected for example by means of an RIE method (reactive ion etching).
  • the spacers 60 a to 60 d are also shortened in the process.
  • the layer thicknesses and etchings are dimensioned such that the gate electrode 54 is still surrounded at the sides by the spacers 60 b and 60 c after the etching of the silicon nitride layer 18 .
  • the gate electrode 54 is furthermore masked by a sufficiently thick TEOS layer, for example a TEOS layer 52 c having a thickness of twenty-five nanometers.
  • the source/drain regions are uncovered after the etching of the silicon nitride layer 18 .
  • the spacers 60 b and 60 c now terminate with the upper surface of the TEOS region 52 c.
  • the spacer 60 d terminates with the upper surface of the TEOS layer region 52 d.
  • a selective epitaxy method is subsequently carried out.
  • a monocrystalline epitaxial layer grows only on the uncovered source/drain regions of the semiconductor layer 16 .
  • Epitaxial regions 62 and 64 are produced on monocrystalline silicon.
  • the epitaxial regions 62 and 64 extend approximately up to half the height of the TEOS layer regions 20 a and 20 b .
  • the epitaxial regions 62 and 64 are also referred to as “elevated” source/drain regions.
  • the thickness of the epitaxial layer for the epitaxial regions 62 and 64 primarily depends on the thickness of the semiconductor layer 16 and the siliciding explained below.
  • the siliciding consumes silicon that is present, with the result that a correspondingly large amount of silicon is provided for the reaction. This measure prevents a “tearing away” of the channel terminals in the region of the drain/source region.
  • an ion implantation e.g. n ++ , i.e. heavily n-doped, is carried out in order to fabricate the highly doped source/drain regions 70 and 72 , see implantation arrows 80 .
  • a mask is necessary here merely for separating regions with complementary transistors in a CMOS process (complementary metal oxide semiconductor).
  • the epitaxial regions 62 , 64 and the underlying regions of the semiconductor layer 16 are n ++ doped in low-impedance fashion as a result of the implantation.
  • a connection is produced between the source/drain region 72 and the bottom electrode region 34 of the capacitor.
  • a channel region 72 lying between the source/drain regions 70 and 72 in the semiconductor layer 16 remains undoped.
  • the TEOS layer regions 52 c and 52 d serve as an implantation mask during the implantation.
  • the dopings of the gate electrode 54 and of the covering electrode 56 are therefore not changed during the implantation.
  • the remnants of the TEOS layer 52 are etched away after the HDD implantation (high density drain).
  • a salicide method self-aligned silicide
  • a nickel layer is deposited over the whole area.
  • nickel silicide forms on the epitaxial regions 62 , 64 , on the gate electrode 54 and on the covering electrode 56 , see suicide regions 90 to 96 .
  • a passivation layer 100 is subsequently applied, for example made of silicon dioxide. Contact holes are etched into the passivation layer 100 and filled with tungsten, for example, thus producing connecting sections 102 , 104 , 106 , 108 and 110 which lead in this order to the silicide region 90 , 94 , 96 and 92 , respectively. In another exemplary embodiment, only one connecting section is provided instead of the two connecting sections 108 and 110 leading to the silicide region 92 .
  • the connecting sections 102 to 110 are subsequently also connected to interconnects of a metallization layer or a plurality of metallization layers. A conventional CMOS process, also referred to as “back end”, is performed in this case.
  • FIG. 17 shows a plan view of the memory cell 120 , which contains a FinFET 122 and a capacitor 124 .
  • the capacitor 124 is shown reduced in size in relation to the transistor 122 in all of FIGS. 1A to 17 .
  • the effective area of the capacitor 124 results as follows:
  • A is the effective area
  • B is the width of the capacitor
  • L is the length of the capacitor
  • H is the height of the bottom electrode region 34 as depicted in FIG. 16A .
  • a preferred area of application for such an embedded DRAM capacitance is the replacement of medium-sized SRAM memory units by a fast embedded DRAM, for example in the second and third access levels of a microprocessor memory hierarchy, i.e. in the second and third level cache.
  • a fast embedded DRAM for example in the second and third access levels of a microprocessor memory hierarchy, i.e. in the second and third level cache.
  • hitherto an SRAM memory cell has had an area of 134 F 2 , where F is the minimum feature size.
  • a dielectric having a dielectric constant Fr equal to twenty-five is used, by way of example, e.g. tantalum pentoxide, then it is possible to realize a typical embedded DRAM capacitance CMEM of twenty femtofarads per memory cell in accordance with the following calculations.
  • the oxide capacitance amounts to:
  • AMEM CMEM/COX ⁇ 0.18 ⁇ m 2 .
  • a minimum feature size F equal to fifty nanometers, this corresponds to 72 F 2 for the capacitance.
  • a total area of the FinFET-capacitance arrangement of 68 F 2 results, the FinFET 122 being embodied with a gate contact.
  • the area of the embedded DRAM memory cell is thus less than the SRAM cell size of 134 F 2 .
  • a capacitance is integrated into the FET plane, that is to say into the so-called top silicon on an SOI substrate.
  • a FinFET is used, which has better control properties on account of the two control channels at the sidewalls.
  • the fabrication of the SOI capacitance requires only one additional process step if the particularly high-quality gate dielectric of the transistor is utilized as the dielectric of the capacitor.
  • tfox equal to 1.8 nanometers denotes the electrically effective oxide thickness and ⁇ 0 denotes the permittivity of free space.
  • the electrically effective oxide thickness decreases by about 0.4 nanometer on account of the gate depletion that is no longer present, as a result of which the capacitance per area increases to:
  • the capacitances according to the invention are also used as so-called bypass capacitances for attenuating so-called spikes and for attenuating crosstalk in the voltage supply of the integrated circuit arrangement. They are also highly suitable as analog capacitances, in particular in oscillators or analog-to-digital converters.
  • the capacitances are also used for so-called mixed-signal circuits, i.e. for circuits having analog capacitances and e.g. storage capacitances in memory cells.
  • a separate high-K DRAM dielectric where ⁇ r is greater than one hundred is used instead of the gate oxide.
  • a dielectric containing barium strontium titanate (BST) or epitaxial barium strontium titanate BST
  • the area requirement thus decreases to approximately 22 F 2 .
  • a second additional mask is used to define the region for the high-K dielectric on the SOI stacks.
  • LDD doping lightly doped drain
  • an LDD doping is also carried out in addition to the HDD doping.
  • a transistor and the capacitor are arranged spatially further away from one another and respectively connected to dedicated connecting sections.
  • the connecting section 104 is not necessary.
  • the spacers 60 c and 60 d can then touch one another so that they serve as a mask during the doping of the terminal region 70 and during the selective siliciding.
  • a terminal region then forms below the spacers 60 c and 60 d through outdiffusion of doping atoms from the bottom electrode region 34 .
  • FIG. 18 shows a circuit diagram of a DRAM memory cell 200 (Dynamic Random Access Memory) having three transistors M 1 to M 2 and also having a capacitor Cs, which have been fabricated by means of the method steps explained with reference to FIGS. 1A to 16A .
  • the transistor 122 illustrated in FIG. 17 is the transistor M 1 in a first case.
  • the capacitor 124 is then the capacitor Cs.
  • an electrically conductive connection leads from an additional pad adjoining the bottom electrode region 34 in the semiconductor layer 16 or from the connection section 104 to the gate of the transistor M 2 .
  • the layout in a second case is chosen such that the transistor 122 corresponds to the transistor M 2 , the capacitor 124 again corresponding to the capacitor Cs.
  • the covering electrode 56 is electrically conductively connected to one terminal region of the transistor M 1 and to the gate of the transistor M 2 .
  • the circuit of the memory cell 200 contains a subcircuit for writing and a subcircuit for reading, the charge of the capacitor Cs not being altered during the reading process, with the result that it is also not necessary to refresh this charge after a reading operation.
  • the subcircuit for writing contains the writing transistor M 1 and the capacitor Cs.
  • the gate terminal of the transistor M 1 is connected to a write word line WWL.
  • the source terminal of the transistor M 1 is connected to a write bit line BL 1 .
  • the drain terminal of the transistor M 1 leads to a storage node X, which is formed by the bottom electrode 34 of the capacitor 124 .
  • the covering electrode 56 of the capacitor Cs is at a ground potential VSS.
  • the drain terminal of the transistor M 1 leads to a storage node X formed by the covering electrode 56 of the capacitor 124 .
  • the bottom electrode 34 of the capacitor Cs is at a ground potential VSS.
  • the subcircuit for reading contains the transistors M 2 and M 3 .
  • the gate terminal of the transistor M 3 is connected to a read word line RWL.
  • the drain terminal of the transistor M 3 is connected to a read bit line BL 2 , which is charged to an operating potential VDD, for example, before the beginning of the reading operation.
  • the source terminal of the transistor M 3 is connected to one drain terminal of the transistor M 2 .
  • the gate terminal of the transistor M 2 is connected to the storage node X.
  • the source terminal of the transistor M 2 is at the ground potential VSS.
  • the transistor M 2 performs the task of an amplifier, so that reliable reading is still possible even in the event of charge losses on the storage node X. If there is a positive charge on the storage node X, then the transistor M 2 is in the switched-on state and the precharged read bit line BL 2 is discharged during the reading operation.
  • Cs is the capacitance of the capacitor Cs and CGS is the gate-source capacitance of the transistor M 2 .
  • the capacitances per area of the storage capacitor Cs and of the transistor M 2 are e.g. of the same magnitude if the gate oxide and the capacitor dielectric are produced in the same dielectric layer and the layer has the same layer thickness at all points.
  • the area requirement of the memory cell 200 is determined by the requirements made of the effective storage capacitance Ceff. Given low leakage currents and a high transistor gain, which results in a high read current, it is possible to reduce the size of the storage capacitor Cs.
  • the area required for the capacitor Cs and the electrical properties thereof are principal criteria for the economic fabrication of a memory unit having a multiplicity of memory cells 200 .
  • a memory unit having a multiplicity of memory cells 200 is also suitable for replacing an SRAM in a processor memory hierarchy.
  • a multi-FinFET transistor instead of the FinFET transistor, use is made of a multi-FinFET transistor containing, instead of just one web, a multiplicity of webs arranged parallel to one another between its drain terminal region and its source terminal region.

Abstract

An integrated circuit arrangement contains an insulating region, which is part of a planar insulating layer, and a capacitor which contains: near and far electrode regions near and remote from the insulating region and a dielectric region. The capacitor and an active component are on the same side of the insulating layer, and the near electrode region and an active region of the component are planar and parallel to the insulating layer. The near electrode region is monocrystalline and contains multiple webs.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation application of U.S. Ser. No. 11/862,640 filed Sep. 27, 2007, which is a divisional of U.S. Ser. No. 10/529,990 filed on Mar. 31, 2005 which was a national stage application of international application number PCT/DE03/003355, filed on Oct. 10, 2003, which claims the benefit of priority to German Patent Application 102 48 722.7, filed on Oct. 18, 2002. This application hereby claims priority to and incorporates by reference each of the above identified applications.
  • BACKGROUND
  • The invention relates to an integrated circuit arrangement, which contains an electrically insulating region and at least one capacitor. The capacitor is formed from a sequence of regions which contains in the order specified:
  • an electrode region near the insulating region,
  • a dielectric region, and
  • an electrode region remote from the insulating region.
  • The electrically insulating region comprises, for example, an electrically insulating material having a resistivity of greater than 1012 Ωcm (ohm centimeters) at 20° C. room temperature, e.g. an oxide, in particular silicon dioxide. The electrode region contains, by way of example, a metal having an electrical resistivity of less than 10−4 Ωcm at 20° C. room temperature. As an alternative, the electrode regions contain polycrystalline silicon, for example, which is highly doped. The dielectric region likewise comprises an electrically insulating material, e.g. an oxide, in particular silicon dioxide, which has a dielectric constant of about 3.9. However, dielectric materials having a significantly larger dielectric constant are also used in the dielectric region.
  • SUMMARY
  • It is an object of the invention to specify a simple-to-fabricate integrated circuit arrangement with a capacitor. The intention is to enable the circuit arrangement to be fabricated in particular with a small number of process steps and in particular using a small number of lithographic masks. Moreover, the intention is to specify a simple fabrication method for an integrated circuit arrangement with a capacitor.
  • The object relating to the circuit arrangement is achieved by means of an integrated circuit arrangement having the features specified in patent claim 1. Developments are specified in the subclaims.
  • In the circuit arrangement according to the invention, the insulating region is part of an insulating layer arranged in a plane. The capacitor and at least one active component of the integrated circuit arrangement, preferably all the active components of the integrated circuit arrangement, lie on the same side of the insulating layer. Moreover, the electrode region near the insulating region and the active region of the component are arranged in a plane which lies parallel to the plane in which the insulating layer is arranged.
  • The circuit arrangement according to the invention is constructed in a simple manner and can be fabricated in a simple manner because the electrode region near the insulating region and the active region are situated in one plane. Moreover, the electrode region near the insulating region and also the active region are insulated by the insulating region. Freely selectable potentials can thus be applied to both electrode regions of the capacitor.
  • The capacitor additionally has outstanding electronic properties:
  • the ratio between parasitic capacitances and resistances in relation to the useful capacitance is small, different differential capacitances being attributable to space charge zones. In the case of analog capacitances, the differential capacitance is the capacitance which is effective at the operating point,
  • the leakage currents are small,
  • the differential nonlinearity of the capacitance is small,
  • the capacitance is constant over a wide operating point range,
  • the capacitance/area ratio that can be obtained is large, for example more than ten femtofarads per square micrometer or even greater than twenty femtofarads per square micrometer.
  • Moreover, no further layer or further layer sequence is necessary between the active components and the capacitor. This makes it possible to reduce the number of required layers and to increase the planarity of the integrated circuit arrangement.
  • In one development, the electrode region near the insulating region and the active region are semiconductor regions which contain a semiconductor material, i.e. a material having an electrical resistivity of between 10−5 and 10+12 Ωcm, in particular between 10−6 and 10+10 Ωcm, e.g. germanium, silicon or gallium arsenide. The resistivity of the electrode region of the capacitor which is near the insulating region is reduced by a doping in one configuration.
  • In one development of the circuit arrangement, the electrode region near the insulating region and the active region are monocrystalline regions which are doped, if appropriate. The electronic properties of active components in monocrystalline layers are particularly good. Moreover, the electrical resistance of a monocrystalline electrode of the capacitor can be reduced particularly well by doping. In one configuration, the electrode region near the insulating region and also the active region have a thickness of less than one hundred nanometers or even less than fifty nanometers. In such thin semiconductor layers, active components having a very short channel length can be produced in a particularly simple manner.
  • In a next development, the insulating layer adjoins a carrier substrate, as is the case with a so-called SOI substrate (Silicon On Insulator). Substrates of this type can be fabricated in a simple manner. Moreover, the electronic circuits arranged on these substrates have particularly good electronic properties.
  • In a next development, the dielectric region and the electrode region remote from the insulating region are arranged at at least two side areas of the electrode region near the insulating region. This measure makes it possible to increase the capacitance of the capacitor in a simple manner. If the side areas are situated transversely with respect to the carrier substrate, then no or only a small additional chip area is required for increasing the capacitance. A further measure for increasing the capacitance consists in the electrode regions containing a multiplicity of intermeshing webs. The web height is preferably larger than the web width.
  • In another development, the active component is a field-effect transistor:
      • the channel region of the field-effect transistor is the active region. If the channel region is undoped, then particularly good electronic properties result in particular given very short channel lengths of ten nanometers, for example.
      • the control electrode of the field-effect transistor is part of a patterned electrode layer in which the electrode region of the capacitor which is remote from the insulating region is also arranged. The control electrode and the electrode region remote from the insulating region comprise the same material. The thickness of these regions and the dopant concentration thereof also correspond.
      • in one configuration, a control electrode insulation region of the field-effect transistor comprises the same material as the dielectric region of the capacitor. The thickness of these regions also corresponds.
  • This measure means that only three layer production processes are required for the fabrication of the capacitor and for fabricating the field-effect transistor. The regions of the field-effect transistor and of the capacitor which lie in the same layer can be patterned jointly. An additional mask for fabricating the capacitor is necessary only when the bottom electrode region of the capacitor is doped differently than the channel region of the field-effect transistor. A further additional mask is necessary only when the materials and/or the insulating thicknesses of the control electrode insulating region and of the dielectric region of the capacitor differ. Even then, however, the number of masks required for fabricating the circuit arrangement is still small.
  • In a next development, the field-effect transistor contains a web or a fin. Control electrodes are arranged at mutually opposite sides of the web. This results in a field-effect transistor having outstanding control properties, for example a so-called FinFET.
  • In one development, there is a connecting region which electrically conductively connects the control electrodes. In one configuration, the connecting region is isolated from the channel region by an insulating region whose insulating thickness is larger than the insulating thickness of the control electrode insulating region. These measures make it possible to avoid edge effects during the control of the transistor.
  • In another configuration, the control electrode adjoins a silicide region. This measure makes it easier to make contact with the control electrode. The contact resistance and the sheet resistance are additionally reduced.
  • In a next development of the circuit arrangement according to the invention, terminal regions of the field-effect transistor adjoin the insulating layer. In one configuration, the terminal regions likewise adjoin silicide regions. Sufficient material for the silicide formation is present when the semiconductor layer, both before and after the silicide formation, has a larger thickness in the region of the terminal regions than in the region of the electrode near the insulating region.
  • In a next development, spacers are arranged on both sides of the control electrodes, which spacers also contain a different material or comprise a different material than the electrode layer, in particular a material which is not suitable as a starting point for an epitaxial layer growth during an epitaxy method for producing a semiconductor epitaxial layer, for example silicon nitride. The use of spacers means that side regions of the control electrode are covered, so that no epitaxy can proceed from there and short circuits are avoided.
  • In one configuration, a spacer is likewise arranged at at least one side of the electrode region remote from the insulation region. The spacers have fulfilled the same task as the spacers arranged at the control electrode. If a spacer arranged at the gate and a spacer arranged at an electrode of the capacitor touch one another, then a masking arises which, by way of example, prevents a doping or else a siliciding in the masked region.
  • In a next development, a terminal region of the field-effect transistor and the electrode region of the capacitor which is near the insulating region adjoin one another and thus form an electrically conductive connection. This results in a simply constructed memory cell of a DRAM (Dynamic Random Access Memory), without necessitating additional measures for making contact with the electrode near the insulating region.
  • In one development, that side of the electrode region near the insulating region which adjoins one terminal region of the transistor is longer than a side of the electrode region near the insulating region which lies transversely with respect to said side, preferably being at least twice as long or at least five times as long. In this case, the transistor has a transistor width which is a multiple of the minimum feature size, preferably more than three-fold or more than five-fold. These measures result in a particularly low-impedance connection between the transistor and the capacitor. This leads to the improvement of the electronic properties particularly in so-called analog capacitances in analog circuits. Examples of such analog circuits are analog-to-digital converters. Another example of an analog capacitance is a so-called bypass capacitance which can be used to smooth voltage spikes on an operating voltage line or a signal line.
  • In an alternative development, by contrast, a side of the electrode region near the insulating region which lies transversely with respect to that side of the electrode region near the insulating region which adjoins the terminal region is longer than the side adjoining the terminal region, preferably at least twice as long or at least five times as long. In this case, the transistor has a transistor width which is less than three times the minimum feature size, preferably less than twice the minimum feature size. What is achieved by this measure particularly in the case of memory cells is that the nonreactive resistance of the bottom electrode of the capacitor is increased and a fast discharge of the storage capacitance is thus counteracted.
  • In another development, the circuit arrangement contains at least one processor containing a multiplicity of logical switching functions. If, in one configuration, the circuit arrangement additionally contains a multiplicity of DRAM memory units (Dynamic Random Access Memory) beside the processor, then a term that is also used is an embedded memory. In order to fabricate this circuit arrangement, in addition to the process steps and masks that are necessary anyway for fabricating the logic, only a small number of additional process steps and additional masks are required for fabricating the capacitor or the transistors that are electrically conductively connected thereto.
  • The invention additionally relates, in a further aspect, to a method for fabricating an integrated circuit arrangement, in particular for fabricating the circuit arrangement according to the invention or one of its developments. In the method according to the invention, the following method steps are performed without any restriction by the order specified:
  • provision of a substrate containing an insulating layer made of electrically insulating material and a semiconductor layer, e.g. an SOI substrate,
  • patterning of the semiconductor layer in order to form at least one electrode region for a capacitor and in order to form at least one active region of a transistor,
  • after the patterning of the semiconductor layer production of a dielectric layer,
  • after the production of the dielectric layer production of an electrode layer, and
  • formation of an electrode of the capacitor which is remote from the insulating region and of a control electrode of the transistor in the electrode layer.
  • The method according to the invention is particularly suitable for fabricating a so-called FinFET together with the capacitor. The abovementioned technical effects of the circuit arrangement according to the invention and of its developments also apply to the method according to the invention and the developments thereof.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A to 16B show fabrication stages in the fabrication of an integrated DRAM memory cell.
  • FIG. 17 shows a plan view of the memory cell, and
  • FIG. 18 shows a plan view of a DRAM memory cell with three transistors.
  • DETAILED DESCRIPTION
  • FIGS. 1A to 16B show fabrication stages in the fabrication of an integrated memory cell, FIGS. 1A to 16A relating to a section along a sectional plane 1, which lies longitudinally with respect to a channel of a field-effect transistor, in particular longitudinally with respect to the current flow direction in the channel. FIGS. 1B to 16B in each case relate to the section along a sectional plane II, which lies transversely with respect to the channel.
  • The fabrication of the memory cell begins proceeding from an SOI substrate 10, which contains a carrier substrate 12 made of monocrystalline silicon, a so-called buried insulating layer 14 made of silicon dioxide, for example, and a thin semiconductor layer 16 made of monocrystalline silicon. In the exemplary embodiment, the thickness of the carrier substrate 12 is five hundred and fifty micrometers, the thickness of the insulating layer 14 is one hundred nanometers and the thickness of the semiconductor layer 16 is fifty nanometers. In the fabrication stage illustrated in FIG. 1A, there are as yet no differences along the sectional plane I and II, respectively, see FIG. 1B.
  • As illustrated in FIGS. 2A and 2B, a silicon nitride layer 18 is subsequently deposited into the SOI substrate 10, for example with the aid of a CVD method (Chemical Vapor Deposition). In the exemplary embodiment, the silicon nitride layer 18 has a thickness of fifty nanometers. A silicon dioxide layer is then deposited over the whole area of the silicon nitride layer 18, e.g. a TEOS layer 20 (tetraethyl orthosilicate) with the aid of a TEOS method. In the exemplary embodiment, the TEOS layer 20 has a thickness of seventy-five nanometers. Identical conditions are still present along the sectional planes I and II, see FIG. 2B.
  • In another exemplary embodiment, the double layer comprising the silicon nitride layer 18 and the TEOS layer 20 is replaced by a single layer. This results in a process simplification.
  • As illustrated in FIGS. 3A and 3B, a lithography method is subsequently carried out. To that end, a photoresist 22 is applied over the whole area, exposed in accordance with a predetermined layout and developed. Afterward, the TEOS layer 20, the nitride layer 18 and the semiconductor layer 16 are patterned, for example by means of a dry etching method. This results in a layer stack 30 or mesa which tapers, in the region of the sectional plane II, to form a web region, see FIG. 3B, and then widens again. The geometry for the field-effect transistor to be fabricated and the geometry for the capacitor can be prescribed and thus optimized independently of one another.
  • The photoresist 22 is subsequently removed. As an alternative to a photolithographic method, in another exemplary embodiment, an electron beam lithography method or another suitable method is carried out.
  • As illustrated in FIGS. 4A and 4B, a further photolithography method is subsequently performed, in which an additional mask is necessary for fabricating the capacitor. A photoresist layer 32 is applied, exposed using the mask, developed and patterned. During the patterning, the TEOS layer 20 and the silicon nitride layer 18 are removed above a bottom electrode region 34 in the semiconductor layer 16. As a result, the stack 30 is divided into a transistor part 30 a and into a capacitor part 30 b.
  • Afterward, an ion implantation is carried out using the patterned photoresist layer 32, the bottom electrode region 34 being heavily n-doped, represented by n++ and by implantation arrows 40 in FIG. 4A. The semiconductor layer 16 is not doped in the region provided for the transistor. The bottom electrode region 34 acquires low impedance as a result of the additional implantation. By way of example, the doping density amounts to 1020 doping atoms per cubic centimeter. The doping density preferably lies in the range of between 1019 and 1021 doping atoms per cubic centimeter. As the doping density increases, the dielectric grows more rapidly than on undoped or only medium-heavily doped regions. However, as the doping density increases, the space charge zones that form become smaller, so that parasitic effects likewise become smaller.
  • The later channel region of the transistor, in particular the side areas of this channel region, are protected by the photoresist layer 32, so that zones which might effect a doping do not penetrate into these regions.
  • As illustrated in FIGS. 5A and 5B, the photoresist layer 32 is subsequently removed. A thin oxide layer is subsequently produced at all the uncovered sides of the semiconductor layer 16 and, in particular, also at the uncovered sides of the bottom electrode region 34, which oxide layer forms the gate oxide 42 and 44 in the region of the transistor and a dielectric 46 in the region of the capacitor. By way of example, the oxide layer grows thermally. In the exemplary embodiment, the oxide layer has a thickness of two nanometers in the region of the undoped silicon.
  • In an alternative exemplary embodiment, using a further lithography method, a dielectric made of a different material and/or a dielectric having a different thickness than in the region provided for the transistor is produced in the region of the capacitor.
  • As illustrated in FIGS. 6A and 6B, in-situ or subsequently doped polycrystalline silicon is then deposited, a polysilicon layer 50 being produced. The polysilicon layer 50 has, by way of example, a thickness of one hundred nanometers and a dopant concentration of 1021 doping atoms per cubic centimeter. The heavy doping of the n conduction type is once again represented by the symbol n++. Phosphorus atoms, for example, are used as doping atoms.
  • As shown in FIGS. 7A and 7B, a further TEOS layer 52, which is thicker than the TEOS layer 20, is subsequently deposited onto the polysilicon layer 50. In the exemplary embodiment, the thickness of the TEOS layer 52 amounts to one hundred nanometers.
  • The TEOS layer 52 has a dual function. As will be explained further below, the TEOS layer 52 firstly serves as a hard mask for the patterning of the control electrode (gate) of the transistor. Afterward, the TEOS layer 52 serves as an implantation mask which prevents repeated doping of the gate electrode. In this way, it is possible for gate electrode and source/drain regions to be doped differently. The gate electrode work function can thus be chosen freely.
  • As shown in FIGS. 8A and 8B, a further lithography method is subsequently carried out for patterning a gate electrode 54. To that end, a photoresist layer (not illustrated in the figures) is once again applied, exposed and developed. Afterward, the TEOS layer 52 and the polysilicon layer 50 are patterned, for example etched. This results in the gate electrode 54 in the region of the transistor and a covering electrode 56 in the region of the capacitor. The gate electrode 54 is covered by a TEOS layer region 52 a. The covering electrode 56 is covered by a TEOS layer region 52 b. The etching stops on the TEOS layer 20. A significant degree of overetching is effected during the etching of the polysilicon layer 50 in order to remove all the parasitic polysilicon spacers at the sidewalls of the layer stack 30 a. The sidewalls are covered only by the thin oxide layer after the etching.
  • As shown in FIGS. 9A and 9B, a thin silicon nitride layer 60 is subsequently deposited over the whole area, for example with the aid of a CVD method. The silicon nitride layer 60 has a thickness of fifty nanometers in the exemplary embodiment.
  • As illustrated in FIGS. 10A and 10B, the silicon nitride layer 60 is subsequently etched back in an anisotropic etching process to form spacers 60 a at the sidewalls of the transistor part 30 a, spacers 60 b, 60 c at the sidewalls of the gate electrode 54 and of the TEOS layer region 52 a and also to form a spacer 60 d at the sidewalls of the covering electrode 56 and of the TEOS region 52 b.
  • As illustrated in FIGS. 11A and 11B, the thin TEOS layer 20 is then etched without using a lithography method, i.e. in a self-aligning manner, for example by means of an RIE method (reactive ion etching). A TEOS layer region 20 a is produced below the spacers 60 b, 60 c and below the gate electrode 54. A TEOS layer region 20 b is produced below the spacer 60 d. During the etching, the TEOS layer regions 52 a and 52 b are also thinned, for example to twenty-five nanometers. This produces thinned TEOS layer regions 52 c above the gate electrode 54 and 52 d above the covering electrode 56. As a result of the etching, moreover, the silicon nitride layer 18 is uncovered in regions which are not covered by the TEOS layer region 20 a. The spacers 60 a to 60 d are not attacked by the etching of the TEOS layer 52, so that they project somewhat beyond the thinned TEOS layer regions 52 c and 52 d.
  • As shown in FIGS. 12A and 12B, the nitride layer 18 is subsequently patterned in a self-aligning manner, uncovered regions of said silicon nitride layer 18 being removed. A nitride layer region 18 a remains below the TEOS layer region 20 a. A nitride layer region 18 b remains below the TEOS layer region 20 b. Etching is effected for example by means of an RIE method (reactive ion etching). The spacers 60 a to 60 d are also shortened in the process. The layer thicknesses and etchings are dimensioned such that the gate electrode 54 is still surrounded at the sides by the spacers 60 b and 60 c after the etching of the silicon nitride layer 18. From above, the gate electrode 54 is furthermore masked by a sufficiently thick TEOS layer, for example a TEOS layer 52 c having a thickness of twenty-five nanometers. The source/drain regions are uncovered after the etching of the silicon nitride layer 18.
  • The spacers 60 b and 60 c now terminate with the upper surface of the TEOS region 52 c. The spacer 60 d terminates with the upper surface of the TEOS layer region 52 d.
  • As illustrated in FIGS. 13A and 13B, a selective epitaxy method is subsequently carried out. A monocrystalline epitaxial layer grows only on the uncovered source/drain regions of the semiconductor layer 16. Epitaxial regions 62 and 64 are produced on monocrystalline silicon. The epitaxial regions 62 and 64 extend approximately up to half the height of the TEOS layer regions 20 a and 20 b. The epitaxial regions 62 and 64 are also referred to as “elevated” source/drain regions. The thickness of the epitaxial layer for the epitaxial regions 62 and 64 primarily depends on the thickness of the semiconductor layer 16 and the siliciding explained below. The siliciding consumes silicon that is present, with the result that a correspondingly large amount of silicon is provided for the reaction. This measure prevents a “tearing away” of the channel terminals in the region of the drain/source region.
  • As shown in FIGS. 14A and 14B, after the epitaxy method, an ion implantation, e.g. n++, i.e. heavily n-doped, is carried out in order to fabricate the highly doped source/ drain regions 70 and 72, see implantation arrows 80. A mask is necessary here merely for separating regions with complementary transistors in a CMOS process (complementary metal oxide semiconductor). The epitaxial regions 62, 64 and the underlying regions of the semiconductor layer 16 are n++ doped in low-impedance fashion as a result of the implantation. Moreover, in this case, a connection is produced between the source/drain region 72 and the bottom electrode region 34 of the capacitor. A channel region 72 lying between the source/ drain regions 70 and 72 in the semiconductor layer 16 remains undoped.
  • The TEOS layer regions 52 c and 52 d serve as an implantation mask during the implantation. The dopings of the gate electrode 54 and of the covering electrode 56 are therefore not changed during the implantation.
  • As illustrated in FIGS. 15A and 15B, the remnants of the TEOS layer 52, i.e. in particular the TEOS layer regions 52 c and 52 d, are etched away after the HDD implantation (high density drain). A salicide method (self-aligned silicide) is subsequently carried out. To that end, by way of example, a nickel layer is deposited over the whole area. At temperatures of 500° C., for example, nickel silicide forms on the epitaxial regions 62, 64, on the gate electrode 54 and on the covering electrode 56, see suicide regions 90 to 96. Instead of nickel, it is also possible to use a different metal with a melting point of more than 1200 degrees Celsius, in particular a refractory metal, in order e.g. to fabricate titanium silicide or cobalt silicide.
  • As illustrated in FIGS. 16A and 16B, a passivation layer 100 is subsequently applied, for example made of silicon dioxide. Contact holes are etched into the passivation layer 100 and filled with tungsten, for example, thus producing connecting sections 102, 104, 106, 108 and 110 which lead in this order to the silicide region 90, 94, 96 and 92, respectively. In another exemplary embodiment, only one connecting section is provided instead of the two connecting sections 108 and 110 leading to the silicide region 92. The connecting sections 102 to 110 are subsequently also connected to interconnects of a metallization layer or a plurality of metallization layers. A conventional CMOS process, also referred to as “back end”, is performed in this case.
  • FIG. 17 shows a plan view of the memory cell 120, which contains a FinFET 122 and a capacitor 124. The capacitor 124 is shown reduced in size in relation to the transistor 122 in all of FIGS. 1A to 17.
  • The effective area of the capacitor 124 results as follows:

  • A=L·B+H·(2·L+B),
  • where A is the effective area, B is the width of the capacitor, L is the length of the capacitor, and H is the height of the bottom electrode region 34 as depicted in FIG. 16A.
  • A preferred area of application for such an embedded DRAM capacitance is the replacement of medium-sized SRAM memory units by a fast embedded DRAM, for example in the second and third access levels of a microprocessor memory hierarchy, i.e. in the second and third level cache. By way of example, hitherto an SRAM memory cell has had an area of 134 F2, where F is the minimum feature size. If a dielectric having a dielectric constant Fr equal to twenty-five is used, by way of example, e.g. tantalum pentoxide, then it is possible to realize a typical embedded DRAM capacitance CMEM of twenty femtofarads per memory cell in accordance with the following calculations. The oxide capacitance amounts to:

  • COX=ε0/tphys=110 fF/μm2,
  • where tphys is the oxide thickness, amounting to two nanometers in the exemplary embodiment. This results in a required area AMEM of the storage capacitance of:

  • AMEM=CMEM/COX−0.18 μm2.
  • For a minimum feature size F equal to fifty nanometers, this corresponds to 72 F2 for the capacitance. This area can be produced for example with a parallelepipedal bottom electrode region 34 having a base area of L·B=8 F·6 F. where the height H is equal to 1 F. This corresponds to an area reduction by thirty-three percent relative to a planar SOI process. This area gain increases for higher heights H. Including the access transistor, a total area of the FinFET-capacitance arrangement of 68 F2 results, the FinFET 122 being embodied with a gate contact. The area of the embedded DRAM memory cell is thus less than the SRAM cell size of 134 F2.
  • In the case of the invention, a capacitance is integrated into the FET plane, that is to say into the so-called top silicon on an SOI substrate. In contrast to SOI-CMOS technologies with planar, fully depleted SOI transistors, however, a FinFET is used, which has better control properties on account of the two control channels at the sidewalls. The fabrication of the SOI capacitance requires only one additional process step if the particularly high-quality gate dielectric of the transistor is utilized as the dielectric of the capacitor.
  • Given an effective oxide thickness of one nanometer, a correction of 0.8 nanometer for the gate and top silicon depletion and on account of the quantum mechanical effects, there results a capacitance per area of:

  • COX=3.9ε0/tfox=19 fF/μm2,
  • where tfox equal to 1.8 nanometers denotes the electrically effective oxide thickness and ε0 denotes the permittivity of free space. Given the use of a metal gate, the electrically effective oxide thickness decreases by about 0.4 nanometer on account of the gate depletion that is no longer present, as a result of which the capacitance per area increases to:

  • COX=3.9 ε0/tfox=24 fF/μm2.
  • The capacitances according to the invention are also used as so-called bypass capacitances for attenuating so-called spikes and for attenuating crosstalk in the voltage supply of the integrated circuit arrangement. They are also highly suitable as analog capacitances, in particular in oscillators or analog-to-digital converters. The capacitances are also used for so-called mixed-signal circuits, i.e. for circuits having analog capacitances and e.g. storage capacitances in memory cells.
  • In other exemplary embodiments, a separate high-K DRAM dielectric where εr is greater than one hundred is used instead of the gate oxide. For example a dielectric containing barium strontium titanate (BST) or epitaxial barium strontium titanate. The area requirement thus decreases to approximately 22 F2. A second additional mask is used to define the region for the high-K dielectric on the SOI stacks.
  • Further advantages that are afforded over previous technological concepts are a planar transition between pure logic blocks and embedded DRAM blocks. Furthermore, deep vias and contacts are avoided.
  • The low leakage current in FinFET transistors and also the lower parasitic capacitances, which increase the proportion of the useful capacitance in the total capacitance, additionally lead to a further reduced embedded DRAM capacitance of CMEM equal to ten femtofarads.
  • No LDD doping (lightly doped drain) was carried out in the exemplary embodiment explained with reference to FIGS. 1A to 17. In another exemplary embodiment, an LDD doping is also carried out in addition to the HDD doping.
  • In a further exemplary embodiment, a transistor and the capacitor are arranged spatially further away from one another and respectively connected to dedicated connecting sections.
  • Particularly in the case of DRAM memory cells (dynamic random access memory) with only one transistor, the connecting section 104 is not necessary. The spacers 60 c and 60 d can then touch one another so that they serve as a mask during the doping of the terminal region 70 and during the selective siliciding. A terminal region then forms below the spacers 60 c and 60 d through outdiffusion of doping atoms from the bottom electrode region 34.
  • FIG. 18 shows a circuit diagram of a DRAM memory cell 200 (Dynamic Random Access Memory) having three transistors M1 to M2 and also having a capacitor Cs, which have been fabricated by means of the method steps explained with reference to FIGS. 1A to 16A. By way of example, the transistor 122 illustrated in FIG. 17 is the transistor M1 in a first case. The capacitor 124 is then the capacitor Cs. In the first case, an electrically conductive connection leads from an additional pad adjoining the bottom electrode region 34 in the semiconductor layer 16 or from the connection section 104 to the gate of the transistor M2.
  • As an alternative, the layout in a second case is chosen such that the transistor 122 corresponds to the transistor M2, the capacitor 124 again corresponding to the capacitor Cs. In the second case, the covering electrode 56 is electrically conductively connected to one terminal region of the transistor M1 and to the gate of the transistor M2.
  • The circuit of the memory cell 200 contains a subcircuit for writing and a subcircuit for reading, the charge of the capacitor Cs not being altered during the reading process, with the result that it is also not necessary to refresh this charge after a reading operation.
  • The subcircuit for writing contains the writing transistor M1 and the capacitor Cs. The gate terminal of the transistor M1 is connected to a write word line WWL. The source terminal of the transistor M1 is connected to a write bit line BL1. In the case of a circuit arrangement having particularly good electrical properties in accordance with the first case mentioned above, the drain terminal of the transistor M1 leads to a storage node X, which is formed by the bottom electrode 34 of the capacitor 124. The covering electrode 56 of the capacitor Cs is at a ground potential VSS. In the alternative in accordance with the second case, the drain terminal of the transistor M1 leads to a storage node X formed by the covering electrode 56 of the capacitor 124. The bottom electrode 34 of the capacitor Cs is at a ground potential VSS.
  • The subcircuit for reading contains the transistors M2 and M3. The gate terminal of the transistor M3 is connected to a read word line RWL. The drain terminal of the transistor M3 is connected to a read bit line BL2, which is charged to an operating potential VDD, for example, before the beginning of the reading operation. The source terminal of the transistor M3 is connected to one drain terminal of the transistor M2. The gate terminal of the transistor M2 is connected to the storage node X. The source terminal of the transistor M2 is at the ground potential VSS.
  • The transistor M2 performs the task of an amplifier, so that reliable reading is still possible even in the event of charge losses on the storage node X. If there is a positive charge on the storage node X, then the transistor M2 is in the switched-on state and the precharged read bit line BL2 is discharged during the reading operation.
  • Since the gate-source capacitance of the transistor M2 is connected in parallel with the capacitor Cs, the effective storage capacitance Ceff increases:

  • Ceff=Cs+CGS (M2),
  • where Cs is the capacitance of the capacitor Cs and CGS is the gate-source capacitance of the transistor M2. On account of the fabrication method, the capacitances per area of the storage capacitor Cs and of the transistor M2 are e.g. of the same magnitude if the gate oxide and the capacitor dielectric are produced in the same dielectric layer and the layer has the same layer thickness at all points.
  • The area requirement of the memory cell 200 is determined by the requirements made of the effective storage capacitance Ceff. Given low leakage currents and a high transistor gain, which results in a high read current, it is possible to reduce the size of the storage capacitor Cs. The area required for the capacitor Cs and the electrical properties thereof are principal criteria for the economic fabrication of a memory unit having a multiplicity of memory cells 200. A memory unit having a multiplicity of memory cells 200 is also suitable for replacing an SRAM in a processor memory hierarchy.
  • In another exemplary embodiment, instead of the FinFET transistor, use is made of a multi-FinFET transistor containing, instead of just one web, a multiplicity of webs arranged parallel to one another between its drain terminal region and its source terminal region.
  • As a person skilled in the art will readily appreciate, the above description is meant as an illustration of implementation of the principles this invention. This description is not intended to limit the scope or application of this invention in that the invention is susceptible to modification, variation and change, without departing from the spirit of this invention, as defined in the following claims.

Claims (18)

1. An integrated circuit arrangement having an electrically insulating region, and
having at least one sequence of regions which forms a capacitor and which contains, in the order specified:
an electrode region near the insulating region,
a dielectric region, and
an electrode region remote from the insulating region, the insulating region being part of an insulating layer arranged in a plane,
the capacitor and at least one active component of the integrated circuit arrangement being arranged on the same side of the insulating layer, and the electrode region near the insulating region and an active region of the component being arranged in a plane which lies parallel to the plane in which the insulating layer is arranged,
wherein the electrode region near the insulating region is a monocrystalline region containing a multiplicity of webs.
2. The circuit arrangement as claimed in claim 1, wherein at least one of:
the electrode region near the insulating region is a monocrystalline region,
at least one of the electrode region near the insulating region or the active region has a thickness of less than one hundred nanometers,
the active region is a monocrystalline region,
the insulating layer adjoins, at one side, a carrier substrate,
the insulating layer adjoins the electrode region near the insulating region at an opposing side,
boundary areas lie completely in two mutually parallel planes,
the insulating layer comprises an electrically insulating material, or
the active component is a transistor.
3. The circuit arrangement as claimed in claim 1, wherein at least one of:
the dielectric region comprises silicon dioxide,
the dielectric region comprises a material having a dielectric constant of greater than four,
the electrode region remote from the insulating region comprises silicon,
the electrode region remote from the insulating region comprises a metal,
the electrode region remote from the insulating region contains a low-impedance material, or
the electrode region remote from the insulating region adjoins a region containing a metal-semiconductor compound.
4. The circuit arrangement as claimed in claim 1, wherein at least one of:
the dielectric region and the electrode region remote from the insulating region are arranged at at least two side areas of the electrode region near the insulating region, or
the electrode region near the insulating region contains a multiplicity of webs whose web height is larger than a web width.
5. The circuit arrangement as claimed in claim 1, wherein the at least one field-effect transistor further contains at least one of:
a control electrode that contains material of the same dopant concentration as the electrode region remote from the insulating region,
a control electrode insulation region that contains at least one of the same material or a material having the same thickness as that of the dielectric region, or
a control electrode insulation region that contains at least one of a different material or a material having a different thickness than the dielectric region.
6. The circuit arrangement as claimed in claim 5, wherein at least one of:
at least one control electrode adjoins a region containing metal-semiconductor compounds, or
the connecting region at least one of: comprises the same material or has the same doping level as the electrode region remote from the insulating region.
7. The circuit arrangement as claimed in claim 5, wherein at least one of:
one terminal region or both terminal regions of the field-effect transistor adjoin the insulating layer,
at least one terminal region of the field-effect transistor adjoins a region containing a metal-semiconductor compound, or
the terminal regions of the field-effect transistor have a larger thickness than the active region.
8. The circuit arrangement as claimed in claim 5, wherein at least one of:
spacers are arranged on both sides of the control electrodes, which comprise a different material than the electrode layer,
a spacer is arranged at at least one side of the electrode region remote from the insulating region, which comprises a different material than the electrode layer, or
a spacer arranged at a control electrode and a spacer arranged at the electrode region remote from the insulating region touch one another.
9. The circuit arrangement as claimed in claim 5, wherein at least one of:
a terminal region of the field-effect transistor and the electrode region of the capacitor which is near the insulating region adjoin one another and have an electrically conductive connection at a boundary,
the terminal region of the field-effect transistor which adjoins the electrode region does not adjoin a region containing a metal-semiconductor compound, or
another terminal region of the field-effect transistor adjoins a region containing a metal-semiconductor compound.
10. The circuit arrangement as claimed in claim 9, wherein a side of the electrode region near the insulating region which adjoins the terminal region is longer than a side of the electrode region near the insulating region which lies transversely with respect to the side which adjoins the terminal region, the transistor has a transistor width which is a multiple of a minimum feature size, or
the side of the electrode region near the insulating region which lies transversely with respect to that side of the electrode region near the insulating region which adjoins the terminal region is longer than the side adjoining the terminal region, the transistor has a transistor width which is less than three times the minimum feature size.
11. The circuit arrangement as claimed in claim 1, wherein at least one of:
the circuit arrangement contains at least one processor,
the capacitor and the active component form a memory cell, or
the memory cell contains either a capacitor and only one transistor or a capacitor and more than one transistor.
12. A method for fabricating an integrated circuit arrangement with a capacitor, in which the following method steps are performed without any restriction by the order specified:
providing a substrate containing an insulating layer made of electrically insulating material and a semiconductor layer, the insulating layer containing an insulating region,
patterning the semiconductor layer in order to form at least one electrode region for a capacitor and in order to form at least one active region for a transistor,
after the patterning of the semiconductor layer, producing at least one dielectric layer,
after the production of the dielectric layer, producing an electrode layer,
forming an electrode of the capacitor which is remote from the insulating region in the electrode layer,
forming a control electrode of the transistor taking place at the same time as the formation of the electrode region remote from the insulating region, and
an electrode region near the insulating region containing a multiplicity of webs.
13. The method as claimed in claim 12, further comprising:
applying at least one insulating layer to the semiconductor layer prior to patterning,
doping the electrode near the insulating region, or
producing the dielectric layer at the same time as a dielectric layer at the active region of the transistor.
14. The method as claimed in claim 12, further comprising at least one of:
producing an auxiliary layer after the production of the electrode layer, or
patterning at least one of an electrode region remote from the insulating region or a control electrode of the transistor using the auxiliary layer as a hard mask.
15. The method as claimed in claim 12, further comprising at least one of:
applying a further auxiliary layer after the patterning of a control electrode of the translator, or
anisotropically etching the further auxiliary layer.
16. The method as claimed in claim 12, further comprising at least one of:
repeatedly patterning the insulating layer, a thickness of an auxiliary layer being reduced, or
anisotropic etching a further auxiliary layer after the patterning of the insulating layer.
17. The method as claimed in claim 12, further comprising at least one of:
carrying out a selective epitaxy on uncovered regions made of semiconductor material after at least one of the formation of an electrode region remote form the insulating region or the patterning of a control electrode of the transistor, or
doping terminal regions of the translator after at least one of the formation of the electrode region remote from the insulating region or after the patterning of the control electrode.
18. The method as claimed in claim 12, further comprising at least one of:
removing an auxiliary layer, after at least one of the patterning of the insulating layer or after the carrying out of selective epitaxy, or
selectively forming a metal-semiconductor compound, on at least one of the electrode layer or on uncovered semiconductor regions.
US12/414,120 2002-10-18 2009-03-30 Integrated circuit arrangement with capacitor and fabrication method Expired - Fee Related US8124475B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/414,120 US8124475B2 (en) 2002-10-18 2009-03-30 Integrated circuit arrangement with capacitor and fabrication method

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
DE10248722A DE10248722A1 (en) 2002-10-18 2002-10-18 Integrated circuit arrangement with capacitor and manufacturing process
DE10248722.7 2002-10-18
US10/529,990 US7291877B2 (en) 2002-10-18 2003-10-10 Integrated circuit arrangement with capacitor
PCT/DE2003/003355 WO2004038770A2 (en) 2002-10-18 2003-10-10 Integrated circuit arrangement comprising a capacitor, and production method
US11/862,640 US7820505B2 (en) 2002-10-18 2007-09-27 Integrated circuit arrangement with capacitor and fabrication method
US12/414,120 US8124475B2 (en) 2002-10-18 2009-03-30 Integrated circuit arrangement with capacitor and fabrication method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/862,640 Continuation US7820505B2 (en) 2002-10-18 2007-09-27 Integrated circuit arrangement with capacitor and fabrication method

Publications (2)

Publication Number Publication Date
US20090184355A1 true US20090184355A1 (en) 2009-07-23
US8124475B2 US8124475B2 (en) 2012-02-28

Family

ID=32087019

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/529,990 Expired - Lifetime US7291877B2 (en) 2002-10-18 2003-10-10 Integrated circuit arrangement with capacitor
US11/862,640 Expired - Fee Related US7820505B2 (en) 2002-10-18 2007-09-27 Integrated circuit arrangement with capacitor and fabrication method
US12/414,120 Expired - Fee Related US8124475B2 (en) 2002-10-18 2009-03-30 Integrated circuit arrangement with capacitor and fabrication method

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US10/529,990 Expired - Lifetime US7291877B2 (en) 2002-10-18 2003-10-10 Integrated circuit arrangement with capacitor
US11/862,640 Expired - Fee Related US7820505B2 (en) 2002-10-18 2007-09-27 Integrated circuit arrangement with capacitor and fabrication method

Country Status (7)

Country Link
US (3) US7291877B2 (en)
EP (2) EP2169715B1 (en)
JP (1) JP4598531B2 (en)
CN (2) CN100468621C (en)
DE (1) DE10248722A1 (en)
TW (1) TWI255038B (en)
WO (1) WO2004038770A2 (en)

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090321835A1 (en) * 2008-06-30 2009-12-31 Frank Wirbeleit Three-dimensional transistor with double channel configuration
US8742483B2 (en) 2006-05-17 2014-06-03 Micron Technology, Inc. DRAM arrays
US8791506B2 (en) 2006-08-28 2014-07-29 Micron Technology, Inc. Semiconductor devices, assemblies and constructions
WO2015175522A1 (en) * 2014-05-12 2015-11-19 Capacitor Sciences Incorporated Capacitor and method of production thereof
US9263455B2 (en) 2013-07-23 2016-02-16 Micron Technology, Inc. Methods of forming an array of conductive lines and methods of forming an array of recessed access gate lines
US9852846B2 (en) 2015-02-26 2017-12-26 Capacitor Sciences Incorporated Self-healing capacitor and methods of production thereof
US9899150B2 (en) 2014-05-12 2018-02-20 Capacitor Sciences Incorporated Energy storage device and method of production thereof
US9916931B2 (en) 2014-11-04 2018-03-13 Capacitor Science Incorporated Energy storage devices and methods of production thereof
US9932358B2 (en) 2015-05-21 2018-04-03 Capacitor Science Incorporated Energy storage molecular material, crystal dielectric layer and capacitor
US9941051B2 (en) 2015-06-26 2018-04-10 Capactor Sciences Incorporated Coiled capacitor
US9978517B2 (en) 2016-04-04 2018-05-22 Capacitor Sciences Incorporated Electro-polarizable compound and capacitor
US10026553B2 (en) 2015-10-21 2018-07-17 Capacitor Sciences Incorporated Organic compound, crystal dielectric layer and capacitor
US10153087B2 (en) 2016-04-04 2018-12-11 Capacitor Sciences Incorporated Electro-polarizable compound and capacitor
US10305295B2 (en) 2016-02-12 2019-05-28 Capacitor Sciences Incorporated Energy storage cell, capacitive energy storage module, and capacitive energy storage system
US10319523B2 (en) 2014-05-12 2019-06-11 Capacitor Sciences Incorporated Yanli dielectric materials and capacitor thereof
US10340082B2 (en) 2015-05-12 2019-07-02 Capacitor Sciences Incorporated Capacitor and method of production thereof
US10347423B2 (en) 2014-05-12 2019-07-09 Capacitor Sciences Incorporated Solid multilayer structure as semiproduct for meta-capacitor
US10395841B2 (en) 2016-12-02 2019-08-27 Capacitor Sciences Incorporated Multilayered electrode and film energy storage device
US10566138B2 (en) 2016-04-04 2020-02-18 Capacitor Sciences Incorporated Hein electro-polarizable compound and capacitor thereof
US10636575B2 (en) 2016-02-12 2020-04-28 Capacitor Sciences Incorporated Furuta and para-Furuta polymer formulations and capacitors
US10872733B2 (en) 2016-04-04 2020-12-22 Capacitor Sciences Incorporated YanLi material and dielectric and capacitor thereof

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10220923B4 (en) * 2002-05-10 2006-10-26 Infineon Technologies Ag Method for producing a non-volatile flash semiconductor memory
DE10248722A1 (en) * 2002-10-18 2004-05-06 Infineon Technologies Ag Integrated circuit arrangement with capacitor and manufacturing process
US7335934B2 (en) * 2003-07-22 2008-02-26 Innovative Silicon S.A. Integrated circuit device, and method of fabricating same
US6970372B1 (en) * 2004-06-29 2005-11-29 International Business Machines Corporation Dual gated finfet gain cell
US7253493B2 (en) 2004-08-24 2007-08-07 Micron Technology, Inc. High density access transistor having increased channel width and methods of fabricating such devices
US8259286B2 (en) * 2004-12-21 2012-09-04 Carnegie Mellon University Lithography and associated methods, devices, and systems
EP1693898B1 (en) * 2005-02-21 2008-04-16 Interuniversitair Microelektronica Centrum ( Imec) Floating-body-cell memory device and a method for the manufacturing thereof
US7459743B2 (en) * 2005-08-24 2008-12-02 International Business Machines Corporation Dual port gain cell with side and top gated read transistor
JP4496179B2 (en) * 2006-03-13 2010-07-07 株式会社東芝 Semiconductor memory device and manufacturing method thereof
US7573108B2 (en) 2006-05-12 2009-08-11 Micron Technology, Inc Non-planar transistor and techniques for fabricating the same
WO2007133775A2 (en) * 2006-05-15 2007-11-22 Carnegie Mellon University Integrated circuit, device, system, and method of fabrication
JP2008172103A (en) * 2007-01-12 2008-07-24 Toshiba Corp Semiconductor device and manufacturing method thereof
US7696040B2 (en) * 2007-05-30 2010-04-13 International Business Machines Corporation Method for fabrication of fin memory structure
US20090108313A1 (en) * 2007-10-24 2009-04-30 Doyle Brian S Reducing short channel effects in transistors
US8866254B2 (en) 2008-02-19 2014-10-21 Micron Technology, Inc. Devices including fin transistors robust to gate shorts and methods of making the same
US7742324B2 (en) 2008-02-19 2010-06-22 Micron Technology, Inc. Systems and devices including local data lines and methods of using, making, and operating the same
US9190494B2 (en) 2008-02-19 2015-11-17 Micron Technology, Inc. Systems and devices including fin field-effect transistors each having U-shaped semiconductor fin
US7915659B2 (en) 2008-03-06 2011-03-29 Micron Technology, Inc. Devices with cavity-defined gates and methods of making the same
US8546876B2 (en) 2008-03-20 2013-10-01 Micron Technology, Inc. Systems and devices including multi-transistor cells and methods of using, making, and operating the same
US7898857B2 (en) 2008-03-20 2011-03-01 Micron Technology, Inc. Memory structure having volatile and non-volatile memory portions
US7808042B2 (en) 2008-03-20 2010-10-05 Micron Technology, Inc. Systems and devices including multi-gate transistors and methods of using, making, and operating the same
US7969776B2 (en) 2008-04-03 2011-06-28 Micron Technology, Inc. Data cells with drivers and methods of making and operating the same
US8546916B2 (en) * 2008-05-27 2013-10-01 Infineon Technologies Ag Capacitors and methods of manufacture thereof
US8076229B2 (en) 2008-05-30 2011-12-13 Micron Technology, Inc. Methods of forming data cells and connections to data cells
KR101046060B1 (en) * 2008-07-29 2011-07-01 주식회사 동부하이텍 Image sensor manufacturing method
US8148776B2 (en) 2008-09-15 2012-04-03 Micron Technology, Inc. Transistor with a passive gate
JP2010199161A (en) * 2009-02-23 2010-09-09 Renesas Electronics Corp Semiconductor integrated circuit device and method of manufacturing the same
WO2011062058A1 (en) * 2009-11-20 2011-05-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
WO2011129233A1 (en) * 2010-04-16 2011-10-20 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US8294511B2 (en) 2010-11-19 2012-10-23 Micron Technology, Inc. Vertically stacked fin transistors and methods of fabricating and operating the same
US8659066B2 (en) 2012-01-06 2014-02-25 International Business Machines Corporation Integrated circuit with a thin body field effect transistor and capacitor
US8703553B2 (en) 2012-05-15 2014-04-22 International Business Machines Corporation MOS capacitors with a finFET process
US9064725B2 (en) * 2012-12-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with embedded MOS varactor and method of making same
US8815661B1 (en) 2013-02-15 2014-08-26 International Business Machines Corporation MIM capacitor in FinFET structure
US9455250B1 (en) 2015-06-30 2016-09-27 International Business Machines Corporation Distributed decoupling capacitor
US10418364B2 (en) * 2016-08-31 2019-09-17 Globalfoundries Inc. Semiconductor device structure with self-aligned capacitor device
US10804262B2 (en) 2019-02-22 2020-10-13 International Business Machines Corporation Cointegration of FET devices with decoupling capacitor
US11152371B2 (en) * 2019-08-13 2021-10-19 Micron Technology, Inc. Apparatus comprising monocrystalline semiconductor materials and monocrystalline metal silicide materials, and related methods, electronic devices, and electronic systems

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6160269A (en) * 1994-06-14 2000-12-12 Semiconductor Energy Laboratory Co., Ltd. Thin film semiconductor integrated circuit
US6207986B1 (en) * 1998-08-26 2001-03-27 Hitachi, Ltd. Semiconductor integrated circuit device
US6207985B1 (en) * 1998-02-02 2001-03-27 Texas Instruments Incorporated DRAM memory cell and array having pass transistors with surrounding gate
US20010014047A1 (en) * 1993-12-03 2001-08-16 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device including an SOI substrate
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US20020125536A1 (en) * 1997-04-04 2002-09-12 Nippon Steel Corporation Semiconductor device and a method of manufacturing the same
US20030001191A1 (en) * 1997-07-29 2003-01-02 Micron Technology, Inc. Dynamic electrically alterable programmable read only memory device
US20030052336A1 (en) * 1999-04-15 2003-03-20 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and electronic equipment
US7414288B2 (en) * 1996-06-04 2008-08-19 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having display device
US7820505B2 (en) * 2002-10-18 2010-10-26 Infineon Technologies, Ag Integrated circuit arrangement with capacitor and fabrication method

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57176757A (en) * 1981-04-22 1982-10-30 Nec Corp Semiconductor device
JPH0638485B2 (en) * 1983-06-01 1994-05-18 株式会社日立製作所 Semiconductor memory
JPS61113271A (en) * 1984-11-08 1986-05-31 Matsushita Electronics Corp Semiconductor memory device
JPS62259466A (en) * 1986-05-02 1987-11-11 Sony Corp Storage device
JPH03173175A (en) * 1989-12-01 1991-07-26 Hitachi Ltd Semiconductor device
JPH05343681A (en) 1992-06-11 1993-12-24 Kawasaki Steel Corp Semiconductor device
JP3227917B2 (en) * 1993-07-26 2001-11-12 ソニー株式会社 Memory cell for amplification type DRAM and method of manufacturing the same
JPH0832040A (en) * 1994-07-14 1996-02-02 Nec Corp Semiconductor device
JP3802942B2 (en) * 1994-09-01 2006-08-02 株式会社ルネサステクノロジ Semiconductor device, semiconductor memory device, and method of manufacturing semiconductor memory device
JPH08125152A (en) 1994-10-28 1996-05-17 Canon Inc Semiconductor device, correlation operating unit empolying it, ad converter, da converter, and signal processing system
US5583359A (en) * 1995-03-03 1996-12-10 Northern Telecom Limited Capacitor structure for an integrated circuit
JPH1116344A (en) * 1997-06-25 1999-01-22 Toshiba Microelectron Corp 3-transistor dram memory device
JPH11340433A (en) * 1998-05-22 1999-12-10 Matsushita Electron Corp Semiconductor device and its manufacture
JP3164083B2 (en) * 1998-10-20 2001-05-08 日本電気株式会社 Semiconductor integrated circuit
JP2000188378A (en) * 1998-12-21 2000-07-04 Toshiba Corp Semiconductor device and manufacture thereof
JP4540146B2 (en) * 1998-12-24 2010-09-08 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US6386032B1 (en) * 1999-08-26 2002-05-14 Analog Devices Imi, Inc. Micro-machined accelerometer with improved transfer characteristics
JP4202563B2 (en) * 1999-11-18 2008-12-24 株式会社東芝 Semiconductor device
JP2002118255A (en) * 2000-07-31 2002-04-19 Toshiba Corp Semiconductor device and manufacturing method thereof
JP2002076297A (en) * 2000-08-28 2002-03-15 Mitsubishi Electric Corp Semiconductor device and its manufacturing method
US6385033B1 (en) * 2000-09-29 2002-05-07 Intel Corporation Fingered capacitor in an integrated circuit

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010014047A1 (en) * 1993-12-03 2001-08-16 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device including an SOI substrate
US6160269A (en) * 1994-06-14 2000-12-12 Semiconductor Energy Laboratory Co., Ltd. Thin film semiconductor integrated circuit
US7414288B2 (en) * 1996-06-04 2008-08-19 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having display device
US20020125536A1 (en) * 1997-04-04 2002-09-12 Nippon Steel Corporation Semiconductor device and a method of manufacturing the same
US20030001191A1 (en) * 1997-07-29 2003-01-02 Micron Technology, Inc. Dynamic electrically alterable programmable read only memory device
US6207985B1 (en) * 1998-02-02 2001-03-27 Texas Instruments Incorporated DRAM memory cell and array having pass transistors with surrounding gate
US6207986B1 (en) * 1998-08-26 2001-03-27 Hitachi, Ltd. Semiconductor integrated circuit device
US20030052336A1 (en) * 1999-04-15 2003-03-20 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and electronic equipment
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US7820505B2 (en) * 2002-10-18 2010-10-26 Infineon Technologies, Ag Integrated circuit arrangement with capacitor and fabrication method

Cited By (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8742483B2 (en) 2006-05-17 2014-06-03 Micron Technology, Inc. DRAM arrays
US8921909B2 (en) 2006-05-17 2014-12-30 Micron Technology, Inc. Semiconductor constructions, DRAM arrays, and methods of forming semiconductor constructions
US8791506B2 (en) 2006-08-28 2014-07-29 Micron Technology, Inc. Semiconductor devices, assemblies and constructions
US20090321835A1 (en) * 2008-06-30 2009-12-31 Frank Wirbeleit Three-dimensional transistor with double channel configuration
US8164145B2 (en) * 2008-06-30 2012-04-24 GlobalFoundries, Inc. Three-dimensional transistor with double channel configuration
US10163908B2 (en) 2013-07-23 2018-12-25 Micron Technology, Inc. Array of conductive lines individually extending transversally across and elevationally over a mid-portion of individual active area regions
US9263455B2 (en) 2013-07-23 2016-02-16 Micron Technology, Inc. Methods of forming an array of conductive lines and methods of forming an array of recessed access gate lines
US9589727B2 (en) 2014-05-12 2017-03-07 Capacitor Sciences Incorporated Capacitor and method of production thereof
US10319523B2 (en) 2014-05-12 2019-06-11 Capacitor Sciences Incorporated Yanli dielectric materials and capacitor thereof
US9899150B2 (en) 2014-05-12 2018-02-20 Capacitor Sciences Incorporated Energy storage device and method of production thereof
US10685782B2 (en) 2014-05-12 2020-06-16 Capacitor Sciences Incorporated Capacitor and method of production thereof
US10347424B2 (en) 2014-05-12 2019-07-09 Capacitor Sciences Incorporated Energy storage device and method of production thereof
US10347423B2 (en) 2014-05-12 2019-07-09 Capacitor Sciences Incorporated Solid multilayer structure as semiproduct for meta-capacitor
CN106463618A (en) * 2014-05-12 2017-02-22 柯帕瑟特科学有限责任公司 Capacitor and method of production thereof
WO2015175522A1 (en) * 2014-05-12 2015-11-19 Capacitor Sciences Incorporated Capacitor and method of production thereof
US9916931B2 (en) 2014-11-04 2018-03-13 Capacitor Science Incorporated Energy storage devices and methods of production thereof
US9852846B2 (en) 2015-02-26 2017-12-26 Capacitor Sciences Incorporated Self-healing capacitor and methods of production thereof
US10340082B2 (en) 2015-05-12 2019-07-02 Capacitor Sciences Incorporated Capacitor and method of production thereof
US9932358B2 (en) 2015-05-21 2018-04-03 Capacitor Science Incorporated Energy storage molecular material, crystal dielectric layer and capacitor
US10597407B2 (en) 2015-05-21 2020-03-24 Capacitor Sciences Incorporated Energy storage molecular material, crystal dielectric layer and capacitor
US10854386B2 (en) 2015-06-26 2020-12-01 Capacitor Sciences Incorporated Coiled capacitor
US9941051B2 (en) 2015-06-26 2018-04-10 Capactor Sciences Incorporated Coiled capacitor
US10672561B2 (en) 2015-06-26 2020-06-02 Capacitor Sciences Incorporated Coiled capacitor
US10026553B2 (en) 2015-10-21 2018-07-17 Capacitor Sciences Incorporated Organic compound, crystal dielectric layer and capacitor
US10305295B2 (en) 2016-02-12 2019-05-28 Capacitor Sciences Incorporated Energy storage cell, capacitive energy storage module, and capacitive energy storage system
US10636575B2 (en) 2016-02-12 2020-04-28 Capacitor Sciences Incorporated Furuta and para-Furuta polymer formulations and capacitors
US10153087B2 (en) 2016-04-04 2018-12-11 Capacitor Sciences Incorporated Electro-polarizable compound and capacitor
US10566138B2 (en) 2016-04-04 2020-02-18 Capacitor Sciences Incorporated Hein electro-polarizable compound and capacitor thereof
US10672560B2 (en) 2016-04-04 2020-06-02 Capacitor Sciences Incorporated Electro-polarizable compound and capacitor
US10707019B2 (en) 2016-04-04 2020-07-07 Capacitor Science Incorporated Electro-polarizable compound and capacitor
US9978517B2 (en) 2016-04-04 2018-05-22 Capacitor Sciences Incorporated Electro-polarizable compound and capacitor
US10872733B2 (en) 2016-04-04 2020-12-22 Capacitor Sciences Incorporated YanLi material and dielectric and capacitor thereof
US10395841B2 (en) 2016-12-02 2019-08-27 Capacitor Sciences Incorporated Multilayered electrode and film energy storage device

Also Published As

Publication number Publication date
CN100468621C (en) 2009-03-11
TWI255038B (en) 2006-05-11
DE10248722A1 (en) 2004-05-06
WO2004038770A3 (en) 2004-09-23
EP2169715A3 (en) 2013-07-10
CN101286517B (en) 2011-04-27
JP4598531B2 (en) 2010-12-15
US20060003526A1 (en) 2006-01-05
US20080038888A1 (en) 2008-02-14
US7820505B2 (en) 2010-10-26
EP2169715A2 (en) 2010-03-31
WO2004038770A2 (en) 2004-05-06
CN101286517A (en) 2008-10-15
US8124475B2 (en) 2012-02-28
CN1706027A (en) 2005-12-07
TW200411908A (en) 2004-07-01
EP1552546A2 (en) 2005-07-13
EP2169715B1 (en) 2015-04-22
JP2006503440A (en) 2006-01-26
US7291877B2 (en) 2007-11-06

Similar Documents

Publication Publication Date Title
US8124475B2 (en) Integrated circuit arrangement with capacitor and fabrication method
US7682896B2 (en) Trench metal-insulator-metal (MIM) capacitors integrated with middle-of-line metal contacts, and method of fabricating same
US6423591B2 (en) Semiconductor device and method for fabricating the same
US5547893A (en) method for fabricating an embedded vertical bipolar transistor and a memory cell
US5403763A (en) Method of manufacturing a vertical channel FET
US6426253B1 (en) Method of forming a vertically oriented device in an integrated circuit
US6989560B2 (en) Semiconductor device and method of fabricating the same
US6008513A (en) Dynamic random access memory (DRAM) cells with minimum active cell areas using sidewall-space bit lines
US6087214A (en) Arrangement and method for DRAM cell using shallow trench isolation
USRE42004E1 (en) Method for fabricating a semiconductor storage device having an increased dielectric film area
US6703306B2 (en) Methods of fabricating integrated circuit memories including titanium nitride bit lines
EP0948043A2 (en) Method with improved controllability of a buried layer
US7173302B2 (en) Integrated circuit arrangement having capacitors and having planar transistors and fabrication method
US5387533A (en) Method of making dynamic random access memory
CN116723696A (en) Circuit structure
US6335247B1 (en) Integrated circuit vertical trench device and method of forming thereof
US5587340A (en) Method of forming a semiconductor device in a substrate depression
US7332390B2 (en) Semiconductor memory device and fabrication thereof
US20050133843A1 (en) Semiconductor device and method of manufacturing a semiconductor device
JPS62193275A (en) Three-dimensional one transistor cell device and manufactureof the same
KR100408423B1 (en) Semiconductor memory device for reducing parasitic capacitance and fabrication method thereof
KR20000001035A (en) Static random access memory device having increased drain offset length and fabrication method thereof
KR19980057034A (en) Semiconductor memory device and manufacturing method thereof

Legal Events

Date Code Title Description
ZAAA Notice of allowance and fees due

Free format text: ORIGINAL CODE: NOA

ZAAB Notice of allowance mailed

Free format text: ORIGINAL CODE: MN/=.

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362