US20080163135A1 - Method and apparatus for optimizing thermal management system performance using full-chip thermal analysis of semiconductor chip designs - Google Patents

Method and apparatus for optimizing thermal management system performance using full-chip thermal analysis of semiconductor chip designs Download PDF

Info

Publication number
US20080163135A1
US20080163135A1 US12/046,240 US4624008A US2008163135A1 US 20080163135 A1 US20080163135 A1 US 20080163135A1 US 4624008 A US4624008 A US 4624008A US 2008163135 A1 US2008163135 A1 US 2008163135A1
Authority
US
United States
Prior art keywords
chip
semiconductor chip
full
thermal management
thermal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/046,240
Inventor
Rajit Chandra
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/979,957 external-priority patent/US7194711B2/en
Application filed by Individual filed Critical Individual
Priority to US12/046,240 priority Critical patent/US20080163135A1/en
Publication of US20080163135A1 publication Critical patent/US20080163135A1/en
Priority to US12/193,752 priority patent/US20090077508A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K7/00Constructional details common to different types of electric apparatus
    • H05K7/20Modifications to facilitate cooling, ventilating, or heating
    • H05K7/20009Modifications to facilitate cooling, ventilating, or heating using a gaseous coolant in electronic enclosures
    • H05K7/20209Thermal management, e.g. fan control
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/06Multi-objective optimisation, e.g. Pareto optimisation using simulated annealing [SA], ant colony algorithms or genetic algorithms [GA]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/08Thermal analysis or thermal optimisation

Definitions

  • the present invention generally relates to computing devices, and more particularly relates to systems for regulating the temperatures of computing devices.
  • Semiconductor chips typically comprise the bulk of the components in an electronic system. These semiconductor chips are also often the hottest part of the electronic system, and failure of the system can often be traced back to thermal overload on the chips. Thermal management of semiconductor chips is therefore a critical parameter of electronic design, as it influences the design of the cooling system for a computing device or system incorporating the semiconductor chip.
  • FIG. 1 is a schematic diagram illustrating an exemplary semiconductor chip 100 .
  • the semiconductor chip 100 comprises one or more semiconductor devices 102 a - 102 n (hereinafter collectively referred to as “semiconductor devices 102 ”), such as transistors, resistors, capacitors, diodes and the like deposited upon a substrate 104 and coupled via a plurality of wires or interconnects 106 a - 106 n (hereinafter collectively referred to as “interconnects 106 ”).
  • semiconductor devices 102 and interconnects 106 share power, thereby distributing a thermal gradient over the chip 100 that may range from 100 to 180 degrees Celsius in various regions of the chip 100 .
  • thermal management systems designed manage internal temperatures and/or thermal gradients in the chip (and/or system incorporating the chip) in operation (e.g., by dissipating heat from the chip or warming specific locations on the chip) are inefficiently designed.
  • typical thermal management systems are designed with little or no knowledge of actual chip temperatures and gradients at all. This often leads to chip and/or system failure due to overheating or waste as excess cooling resources are directed to regions in which they are not needed.
  • a method and apparatus for optimizing thermal management system performance using full-chip thermal analysis of semiconductor chip designs is provided.
  • One embodiment of a novel method for optimizing the thermal management of an electronic system incorporating at least one semiconductor chip includes receiving full-chip temperature data for the semiconductor chip(s) and configuring the thermal management system for managing heat in the electronic system in accordance with the full-chip temperature data.
  • FIG. 1 is a schematic diagram illustrating an exemplary semiconductor chip
  • FIG. 2 is a schematic diagram illustrating one implementation of a thermal analysis tool according to the present invention
  • FIG. 3 is a flow diagram illustrating one embodiment of a method for performing three-dimensional thermal analysis of a semiconductor chip design according to the present invention
  • FIG. 4 is a graph illustrating the change in value of transistor resistance for an exemplary negative channel metal oxide semiconductor as a function of the output transition voltage
  • FIG. 5 is a flow diagram illustrating one embodiment of a method for optimizing the design of a thermal management system based on knowledge of full-chip thermal gradients
  • FIG. 6 is a flow diagram illustrating one embodiment of a method 600 for processing semiconductor chip design and temperature data to produce parameters for an optimal thermal management configuration
  • FIG. 7 is a high level block diagram of the present thermal management optimization method that is implemented using a general purpose computing device.
  • Embodiments of the invention generally provide a method and apparatus for optimizing the design of a thermal management system in an electronic system (e.g., a computing device such as a desk top computer, a laptop computer, a tablet computer, a personal digital assistant, a cellular telephone, a gaming console or the like) using full-chip temperature data for semiconductor chips incorporated in the electronic system.
  • an electronic system e.g., a computing device such as a desk top computer, a laptop computer, a tablet computer, a personal digital assistant, a cellular telephone, a gaming console or the like
  • One embodiment of the inventive method analyzes a full, three-dimensional solution of temperature values within a chip design, including power dissipation values distributed over semiconductor devices (e.g., transistors, resistors, capacitors, diodes and the like) and wire interconnects. This provides more a more accurate view of the thermal conditions within the electronic device, thereby enabling a thermal management system designer to configure the thermal management system to manage heat in the electronic system in
  • semiconductor chip refers to any type of semiconductor chip, which might employ analog and/or digital design techniques and which might be fabricated in a variety of fabrication methodologies including, but not limited to, complementary metal-oxide semiconductor (CMOS), bipolar complementary metal-oxide semiconductor (BiCMOS), and gallium arsenide (GaAs) methodologies.
  • CMOS complementary metal-oxide semiconductor
  • BiCMOS bipolar complementary metal-oxide semiconductor
  • GaAs gallium arsenide
  • semiconductor device refers to a potential active heat dissipating device in a semiconductor chip, including, but not limited to, transistors, resistors, capacitors, diodes and inductors.
  • wire refers to any of various means of distributing electrical signals (which may be analog or digital, static or dynamic, logic signals or power/ground signals) from one place to another. “Interconnects” may be on a semiconductor chip itself, used in the packaging of the semiconductor chip, deployed between the semiconductor chip and the packaging, or used in a variety of other ways.
  • FIG. 2 is a schematic diagram illustrating one implementation of a thermal analysis tool 200 according to the present invention.
  • the thermal analysis tool 200 is adapted to receive a plurality of inputs 202 a - 202 g (hereinafter collectively referred to as “inputs 202 ”) and process these inputs 202 to produce a full-chip (e.g., three-dimensional) thermal model 204 of a proposed semiconductor chip design.
  • inputs 202 a plurality of inputs 202 a - 202 g
  • full-chip e.g., three-dimensional
  • the plurality of inputs 202 includes industry standard design data 202 a - 202 f (e.g., pertaining to the actual chip design or layout under consideration) and library data 202 g (e.g., pertaining to the semiconductor devices and interconnects incorporated in the design).
  • industry standard design data 202 a - 202 f e.g., pertaining to the actual chip design or layout under consideration
  • library data 202 g e.g., pertaining to the semiconductor devices and interconnects incorporated in the design.
  • the industry standard design data includes one or more of the following types of data: electrical component extraction data and extracted parasitic data (e.g., embodied in standard parasitic extraction files, or SPEFs, 202 a ), design representations including layout data (e.g., embodied in Library Exchange Format/Design Exchange Format, or LEF/DEF files 202 b , Graphical Design Format II, or GDSII, files 202 c and/or text files 202 d ), manufacturer-specific techfiles 202 e describing layer information and package models, user-generated power tables 202 f including design data (e.g., including a switching factor, E(sw)).
  • layout data e.g., embodied in Library Exchange Format/Design Exchange Format, or LEF/DEF files 202 b , Graphical Design Format II, or GDSII, files 202 c and/or text files 202 d
  • manufacturer-specific techfiles 202 e describing layer information and package models
  • this industry standard design data 202 a - 202 f is stored in a design database such as an open access database or a proprietary database.
  • the library data 202 g is embodied in a library that is distributed by a semiconductor part manufacturer or a library vendor. In another embodiment, the library incorporating the library data 202 g can be built in-house by a user.
  • the library data 202 g includes transistor and diode models that are used to characterize the transistor resistances (R dv ) of the driver circuits, e.g., such as models available through Berkeley short-channel Insulated Gate Field Effect Transistor (IGFET) model (BSIM) models used by circuit simulators including Simulation Program with Integrated Circuit Emphasis (SPICE), HSPICE, commercially available from Synopsys, Inc. of Mountain View, Calif. and Heterogeneous Simulation Interoperability Mechanism (HSIM, commercially available from Nassda Corporation of Santa Clara, Calif.), all developed at the University of California at Berkeley.
  • IGFET Insulated Gate Field Effect Transistor
  • HSIM Heterogeneous Simulation Interoperability Mechanism
  • the plurality of inputs 202 are provided to the thermal analysis tool 200 , which processes the data in order to produce a full-chip thermal model 204 of a proposed semiconductor chip design.
  • the full-chip thermal model is a three-dimensional thermal model.
  • embodiments of the present invention rely on library data representing the electrical properties of a semiconductor chip design (e.g., the resistance and capacitance at various points) and the manners in which these properties may vary with respect to each other and with respect to other phenomena (e.g., temperature or fabrication variations).
  • these electrical properties may be specified or calculated in any number of ways, including, but not limited to, table-driven lookups, formulas based on physical dimensions, and the like.
  • FIG. 3 is a flow diagram illustrating one embodiment of a method 300 for performing full-chip thermal analysis of a semiconductor chip design according to the present invention.
  • the method 300 may be implemented, for example, in the thermal analysis tool 200 illustrated in FIG. 2 .
  • the method 300 relies on the computation of power dissipated by various semiconductor devices of the semiconductor chip design. As will be apparent from the following discussion, this power computation may be performed in any number of ways, including, but not limited to, table-driven lookups, computations based on electrical properties, circuit simulations, and the like.
  • power dissipation computations could be based on any number of other electrical properties or parameters, including, but not limited to, capacitance, inductance and the like. Moreover, the computations could be static or dynamic.
  • the method 300 is initialized at step 302 and proceeds to step 304 , where the method 300 determines the collection of semiconductor devices (e.g., transistor, resistors, capacitors, diodes inductors and the like) and their resistances. In one embodiment, the method 300 determines this information by reading one or more of the chip layout data (e.g., in GDS II, DEF and/or text format), layer and package model data (e.g., from one or more techfiles), and initial power and power versus temperature data for the semiconductor devices (e.g., from the library data). In one embodiment, initial power values and power values as a function of temperature may be recorded within a common power table for acceptable operating ranges for the driver circuits within the chip design.
  • the driver circuits may be at semiconductor device level or at cell level, where cell level circuits represent an abstraction of interconnected semiconductor devices making up a known function.
  • step 306 the method 300 uses the information collected in step 304 to calculate the time average resistance values for every semiconductor device in every driver circuit of the chip design, as well as for every diode junction.
  • These time-average resistance values relate to changes in semiconductor device dimensions (e.g., such as using higher power transistors in place of lower power transistors in a chip design).
  • the time average resistance value, R average for a semiconductor device is calculated as:
  • R average ⁇ 0 t r ⁇ R ⁇ ⁇ v ⁇ ( t ) ⁇ ⁇ t t r ( EQN . ⁇ 1 )
  • t r is the output transition time of the driver circuit under consideration, e.g., as specified by the library data.
  • FIG. 4 is a graph illustrating the change in value of transistor resistance, R dv for an exemplary negative channel metal oxide semiconductor (nMOS) as a function of the output transition voltage, V driver — out .
  • nMOS negative channel metal oxide semiconductor
  • step 308 the method 300 calculates the power dissipated by the semiconductor devices and interconnects at a given temperature for the design under consideration.
  • step 308 e.g., where a steady-state analysis of the chip design is being performed, the interdependence of temperature and average power is captured through pre-characterized parameters of the semiconductor devices and interconnects.
  • the power dissipated by a semiconductor device in this exemplary case, a transistor
  • P transistor is calculated as:
  • V d is the power supply voltage supplied to the transistor. This voltage, V d , is less than the actual power supply voltage, V dd , as the current drawn by the transistors and flowing through the interconnects that connect the transistors to a power supply causes a voltage drop.
  • the power supply voltage to the transistor V d could be divided by the maximum or minimum resistance value, R max or R min , in order to calculate the power dissipated in the transistor.
  • a decision as to whether to use an average, minimum or maximum resistance value to calculate P transistor is based at least in part on whether additional conditions, such as the operation of the circuit, are to be evaluated.
  • equations for calculating the power dissipation of transistors have been provided herein by way of example, those skilled in the art will appreciate that various methods of calculating power dissipation for other semiconductor devices, such as resistors, capacitors and diodes, are known in the art. For example, equations for calculating the power dissipation of a resistor are discussed in the Proceedings of the Fourth International Symposium on Quality Electronic Design (ISQED 2003), 24-26 Mar. 2003, San Jose, Calif.
  • the power dissipated by the interconnects e.g., power and signal lines
  • P interconnect is calculated as:
  • P interconnect P ⁇ P transistor (EQN. 3)
  • P is the average electrical power dissipated per clock cycle by a digital circuit (e.g., the chip design under consideration; for the full chip, the total P is the sum of the power dissipated by each circuit in the chip) and is available from the library data 202 g .
  • power is typically dissipated as Joule heating, where the dissipated power P dissipated may be calculated as:
  • I p is the current through the power lines and R power is the resistance of the power bus.
  • the value of I p may be calculated by commercially available tools, such as Voltage Storm, available from Cadence Design Systems, Inc. of San Jose, Calif.
  • the power drawn by a switching transistor may be calculated as:
  • C load is the output capacitance as seen by the circuit
  • E(sw) is the switching activity as defined by the average number of output transitions per clock period
  • fclk is the clock frequency.
  • the switching factor or activity, E(sw) is used for evaluating the power table for the initial state of the design.
  • C load may be calculated by parasitic extraction tools, and values for fclk and V dd are typically specified for a given design.
  • half of the power, P is stored in the capacitance and the other half is dissipated in the transistors and interconnects (e.g., the power and signal lines).
  • a transient analysis is performed, wherein the interdependence of temperature and average power in the semiconductor devices and interconnects is based on instantaneous values of power.
  • power dissipated values are calculated by dynamically simulating the circuit embodied in the chip design under consideration.
  • the circuit may be simulated using any commercially available circuit simulator, such as HSPICE or HSIM, discussed above, or SPECTRE, commercially available from Cadence Design Systems.
  • the circuit is simulated by solving for values of electrical attributes (e.g., current and voltages) at various points in time.
  • the thermal analysis system e.g., thermal analysis tool 200 of FIG. 2
  • the sufficiency of a temperature change for these purposes is determined by a predefined threshold.
  • the method 300 distributes the power consumed in each of the interconnects.
  • power is distributed based on the resistance of the wires used in the interconnects, which is defined by the type, thickness and height of the wires used in the interconnects.
  • the resistance, R interconnect of an interconnect segment is calculated as:
  • R interconnected ⁇ ⁇ ⁇ L wt ( EQN . ⁇ 6 )
  • L is the length of the interconnect segment
  • w is the width of the segment
  • t is the thickness of the segment
  • is a resistivity constant dependent upon the type of wire used.
  • the resistivity constant, ⁇ may be found in tables included in any number of integrated circuits textbooks, including Rabaey et al., Digital Integrated Circuits, Second Edition, Prentice Hall Electronic and VLSI Series, 2002.
  • the method 300 uses the power dissipation and distribution information calculated in steps 306 - 310 to model a full-chip (e.g., three-dimensional) temperature gradient over the chip design under consideration.
  • a full-chip temperature gradient is modeled by adaptively partitioning the volumes of steep temperature gradients over the chip design.
  • partitioning is done in three dimensions; however, in other embodiments, partitioning may be done in one or two dimensions as well (for example, vertical partitioning may be explicitly considered in how the temperature is modeled).
  • “steep” temperature gradients are those portions of the overall temperature gradient that are steep relative to other regions of the overall temperature gradient.
  • techfile data e.g., pertaining to the dimensions and properties of the chip design layers
  • power density data is typically contained within the power table provided for a particular state of operation of a chip design. The temperatures in each partition are then determined and annotated accordingly in the three-dimensional model.
  • step 314 the method 300 determines whether the currently computed temperature for the chip design falls within a previously specified range. If the method 300 concludes that the currently computed temperature does not fall within this range, the method 300 proceeds to step 318 and modifies the chip design (e.g., by changing the resistances of the semiconductor devices and interconnects, resizing the semiconductor devices and interconnect wires, etc.). The method 300 then returns to step 308 and proceeds as discussed above.
  • step 316 if the method 300 determines that the currently computed temperature does fall within the specified range, the method 300 proceeds to step 316 and terminates.
  • steps of the method 300 may be repeated in an iterative manner until a steady state value is reached, within a specified tolerance.
  • iteration of these steps may depend on the particular implementation of the method 300 .
  • iteration could include convergence to an absolute value, convergence to a relative value, or the passing of a fixed number or iterations or a fixed amount of time.
  • the method 300 employs industry standard design, package and heat sink data in order to produce a more complete and more accurate profile of the temperature gradient created by a semiconductor chip design.
  • the method 300 employs industry standard design, package and heat sink data in order to produce a more complete and more accurate profile of the temperature gradient created by a semiconductor chip design.
  • Chip designers may use the full-chip data produced by the method 300 to design more robust semiconductor chips for particular applications. For example, if the full-chip temperature gradient produced by one iteration of the method 300 does not illustrate acceptable results for a semiconductor chip design, a chip designer may go back and modify the chip design (e.g., by changing the resistances of the semiconductor devices and interconnects, resizing the semiconductor devices and interconnect wires, etc.) in an attempt to achieve more desirable results. The method 300 may then be applied to the modified design to assess the resultant temperature gradient.
  • the method 300 illustrates a series of steps, the present invention is not limited to the particular sequence illustrated, and thus FIG. 3 should be considered only as one exemplary embodiment of the present invention.
  • the full-chip temperature data produced by the method 300 may be implemented to optimize the design of a thermal management system for managing heat in a semiconductor chip and/or an electronic system or computing device incorporating the semiconductor chip. That is, the full-chip temperature data may guide a thermal management system or electronic system designer in more precisely identifying those areas of the chip and/or electronic system that require the most cooling or warming, or the least cooling or warming, thereby enabling efficient design and use of thermal management resources.
  • Design of the thermal management system may additionally include the design of an interface between the thermal management system and the semiconductor chip or package whose thermal characteristics are to be regulated by the thermal management system.
  • the thermal management system may comprise a cooling system (e.g., a mechanical or fluid cooling system) for dissipating heat from specific areas of the semiconductor chip and/or a heating system for warming specific areas of the semiconductor chip (e.g., in order to locally minimize thermal gradients).
  • a cooling system e.g., a mechanical or fluid cooling system
  • the thermal management system may comprise a plurality of components distributed over each semiconductor chip and/or other components of the electronic system (e.g., each semiconductor chip may have its own “mini” thermal management system that is part of the thermal management system for the overall electronic system).
  • the full-chip temperature data may aid in the optimization of substantially any thermal management system, including external thermal management systems and internal or on-chip thermal management systems.
  • FIG. 5 is a flow diagram illustrating one embodiment of a method 500 for optimizing the design of a thermal management system based on knowledge of full-chip thermal gradients.
  • the method 500 is initialized at step 502 and proceeds to step 504 , where the method 500 receives full-chip temperature data for at least one semiconductor chip incorporated within the electronic system to be managed by the thermal management system.
  • the system may be a single semiconductor chip itself, or a stack or arrangement of multiple semiconductor chips.
  • This full-chip temperature data may be received, for example, from a thermal analysis tool such as the thermal analysis tool 200 .
  • system thermal parameters include at least one of: electrical and/or thermal constraints of the electronic system, external chip conditions (e.g., conditions within the electronic system but external to the semiconductor chips incorporated therein), electronic system usage conditions, thermal management system type (e.g., mechanical, fluid-based, etc.), thermal management system materials, air or fluid flow direction and/or orientation for the elements of the thermal management system and semiconductor chip package materials.
  • external chip conditions e.g., conditions within the electronic system but external to the semiconductor chips incorporated therein
  • thermal management system type e.g., mechanical, fluid-based, etc.
  • thermal management system materials e.g., air or fluid flow direction and/or orientation for the elements of the thermal management system and semiconductor chip package materials.
  • the method 500 determines the optimal thermal management configuration for the system in accordance with the full-chip temperature data and the system thermal parameters. That is, the method 500 determines, based on a plurality of inputs including the actual temperature gradients within the semiconductor chip(s) and the thermal requirements of the electronic system, the degree of thermal management required in each area of the electronic system (e.g., the type, location and direction of elements of the thermal management system).
  • a method for processing this plurality of inputs to determine the optimal thermal management configuration is described in greater detail in FIG. 6 .
  • the plurality of inputs specifically includes at least one of: thermal characteristics of the cooling and/or heating components of the thermal management system (e.g., thermal conductivity, heat transfer coefficient, thermal resistances of junction-to-air, junction-to-case, case-to-spreader, etc.), physical dimensions of the elements of the thermal management system, constraints of the thermal management system (e.g., switch on and off latency, zone of effectiveness, cost, mechanical characteristics, electrical power consumed, environmental factors such as noise, etc.).
  • thermal characteristics of the cooling and/or heating components of the thermal management system e.g., thermal conductivity, heat transfer coefficient, thermal resistances of junction-to-air, junction-to-case, case-to-spreader, etc.
  • constraints of the thermal management system e.g., switch on and off latency, zone of effectiveness, cost, mechanical characteristics, electrical power consumed, environmental factors such as noise, etc.
  • thermal characteristics of the thermal management system enable the method 500 to assess the temperature values in the semiconductor chip design(s) using boundary conditions presented by the thermal management system. Chip temperatures and their influence on the electrical characteristics of the semiconductor chip design are computed using these boundary conditions and then applied to optimize the configuration of the thermal management system.
  • the optimal thermal management configuration may involve directing thermal management resources toward local “hot spots” on a semiconductor chip, e.g., by repositioning elements a cooling system (e.g., to redirect air or fluid flow), by adjusting the design of components of the thermal management system (e.g., a heat sink) or by adjusting the orientation of the semiconductor chip package to account for a direction of cooling (e.g., air or fluid flow).
  • a cooling system e.g., to redirect air or fluid flow
  • the design of components of the thermal management system e.g., a heat sink
  • orientation of the semiconductor chip package e.g., air or fluid flow
  • the optimal thermal management configuration specifies a plurality of parameters, including at least one of: coordinates or positions of the thermal management system components with respect to a reference point in the semiconductor chip design(s) (e.g., Cartesian or spherical coordinates), orientation of the thermal management system components with respect to the semiconductor chip(s) whose full-chip temperature data is received in step 504 , and state-dependent conditions for the thermal management system.
  • a reference point in the semiconductor chip design(s) e.g., Cartesian or spherical coordinates
  • orientation of the thermal management system components with respect to the semiconductor chip(s) whose full-chip temperature data is received in step 504 e.g., state-dependent conditions for the thermal management system.
  • the operating state of the thermal management system includes mechanisms that influence the heat transfer between the semiconductor chip(s) and the surrounding environment, such as fan turn on and turn off, fan speed, electrostatic airflow rate, heating components, injection of coolants, evaporation rate control and ionization density.
  • the positions of the thermal management system are designed and implemented to alleviate the worst-case temperature-dependent electrical failure conditions.
  • step 510 the method 500 calculates the full-chip temperature data for the semiconductor chip design(so incorporated in the electronic system (e.g., in accordance with the method 300 ), accounting for the optimal thermal management configuration as determined in step 508 .
  • step 512 the method 500 determines whether the electronic system requirements (which include individual semiconductor chip requirements) are met, according to the newly calculated full-chip temperature data. Thus, the method 500 determines whether the optimal thermal management configuration determined in step 508 will sufficiently manage the temperatures and thermal gradients of the electronic system as required. If the system requirements are met, the method 500 terminates in step 514 . Alternatively, if the system requirements are not met, the method 500 returns to step 508 are proceeds as described above in order to adjust the thermal management configuration for optimal performance within the constraints of the system.
  • the method 500 thereby enables more efficient design of thermal management systems for electronic systems by accounting for the full-chip thermal gradients of the semiconductor chips incorporated therein. Based on this information, thermal management resources can be allocated to the various areas of the electronic system and/or semiconductor chip(s) in the most efficient manner. This provides better use of thermal management resources than existing thermal management systems, which tend to manage the temperatures and thermal gradients the electronic system in a more general manner (e.g., based on the absolute temperature value, with little or no regard to actual local variations in temperature). Thus, electronic system failures and waste of thermal management system resources can be significantly reduced.
  • the full-chip temperature data (e.g., as computed by the method 300 ) may be implemented in designing the heat transfer properties of a semiconductor chip package, thereby also efficiently reducing the thermal gradients over the semiconductor chip.
  • the method 500 in conjunction with the full-chip temperature data, may guide the arrangement of the multiple semiconductor chips that offers the best thermal management of the electrical or thermal constraints on the electronic system design.
  • semiconductor package design as well as cooling system design may be enhanced by application of the method 300 and/or the method 500 .
  • FIG. 6 is a flow diagram illustrating one embodiment of a method 600 for processing semiconductor chip design and temperature data to produce parameters for an optimal thermal management configuration.
  • the method 600 may be implemented, for example, in accordance with steps 508 - 512 of the method 500 .
  • the method 600 is initialized at step 602 and proceeds to step 604 , where the method 600 receives the thermal inputs described above (e.g., full-chip temperature data, thermal characteristics of the cooling and/or heating components of the thermal management system, physical dimensions of the elements of the thermal management system and constraints of the thermal management system) and the initial conditions for the electronic system.
  • the initial conditions for the electronic system include at least one of: starting temperatures for the semiconductor chips incorporated therein, ambient temperatures in which the electronic system is intended to work, the position and efficiency of thermal management systems incorporated therein (e.g., the thermal resistances of the heat sinks, fans, etc.).
  • the method 600 sorts the temperature gradients within the semiconductor chip(s) incorporated in the electronic system design.
  • the temperature gradients are sorted in three dimensions. In one embodiment, the temperature gradients are sorted according to the magnitudes of the gradients (e.g., from smallest gradient to largest gradient).
  • the method 600 selects a weighting parameter in three dimensions (e.g., x, y and z) based on the initial chip boundary conditions.
  • the weighting parameter is a specific parameter that aids in ranking those areas of the semiconductor chip that can most efficiently dissipate heat.
  • the weighting parameter is a thermal property of a given location on the semiconductor chip, such as at least one of: composite conductivity in the heat transfer direction, thermal conductance in the heat transfer direction, thermal resistance from a first location to a second location (e.g., from junction to ambient) and heat transfer coefficient.
  • the selection of the weighting parameter may vary as a function of the semiconductor chip's layout and/or material properties.
  • the method 600 selects a set of trial parameters with weighting functions for the boundary conditions along the semiconductor chip/package interface.
  • the set of trial parameters includes at least one of: thermal resistance, heat transfer coefficients between the semiconductor chip package and the thermal management system, and internal conductivity factors of the semiconductor chips (e.g., to optimize the paths that will lead to lower temperature gradients).
  • the set of trial parameters is chosen such that the thermal resistance is at a minimum in the location or region of the maximum on-chip temperature. This implies that the direction of the heat flow is taken into account in the choice of location for the minimum thermal resistance, and that the magnitude of the temperature is used to determine the value of the thermal resistance achievable using the thermal management system.
  • step 612 the method 600 solves the on-chip temperatures in accordance with the selected trial parameters.
  • step 612 may be performed in accordance with the method 300 described above.
  • step 614 the method 600 determines for each semiconductor chip incorporated in the electronic system, whether the minimum temperature differentials (e.g., as defined by design constraints on the semiconductor chip) are achieved in three dimensions, based on the on-chip temperatures calculated in step 612 .
  • the minimum temperature differentials e.g., as defined by design constraints on the semiconductor chip
  • step 614 If the method 600 determines in step 614 that the minimum temperature differentials are not achieved in three directions for all semiconductor chips, the method 600 returns to step 606 and proceeds as described above in order to select a new set of trial parameters for those chips.
  • step 614 determines in step 614 that the minimum temperature differentials are achieved in three directions for all semiconductor chips
  • the method 600 proceeds to step 616 and determines for each semiconductor chip incorporated in the electronic system, whether predefined design constraints on the on-chip thermal gradients are met.
  • step 616 determines in step 616 that the thermal gradients are not within the design constraints for all semiconductor chips. If the method 600 determines in step 616 that the thermal gradients are not within the design constraints for all semiconductor chips, the method 600 proceeds to step 618 and assumes that a heating component of the thermal management system is at least partially responsible for the oversized thermal gradient(s). The method 600 then returns to step 606 and proceeds as described above in order to select a new set of trial parameters for those chips.
  • the method 600 determines in step 616 that the thermal gradients are within the design constraints for all semiconductor chips, the method 600 proceeds to step 620 and translates the selected trial parameters into a corresponding thermal management system configuration (e.g., including coordinates or positions of the thermal management system components with respect to a reference point in the semiconductor chip design, orientation of the thermal management system components with respect to the semiconductor chip whose full-chip temperature data is received in step 504 , and state-dependent conditions for the thermal management system, as described above with respect to the method 500 ).
  • a corresponding thermal management system configuration e.g., including coordinates or positions of the thermal management system components with respect to a reference point in the semiconductor chip design, orientation of the thermal management system components with respect to the semiconductor chip whose full-chip temperature data is received in step 504 , and state-dependent conditions for the thermal management system, as described above with respect to the method 500 ).
  • the method 600 then terminates in step 622 .
  • FIG. 7 is a high level block diagram of the present thermal management optimization method that is implemented using a general purpose computing device 700 .
  • a general purpose computing device 700 comprises a processor 702 , a memory 704 , a thermal management optimization module 705 and various input/output (I/O) devices 706 such as a display, a keyboard, a mouse, a modem, a network connection and the like.
  • I/O devices 706 such as a display, a keyboard, a mouse, a modem, a network connection and the like.
  • at least one I/O device is a storage device (e.g., a disk drive, an optical disk drive, a floppy disk drive).
  • the thermal management optimization module 705 can be implemented as a physical device or subsystem that is coupled to a processor through a communication channel.
  • the thermal management optimization module 705 can be represented by one or more software applications (or even a combination of software and hardware, e.g., using Application Specific Integrated Circuits (ASIC)), where the software is loaded from a storage medium (e.g., I/O devices 706 ) and operated by the processor 702 in the memory 704 of the general purpose computing device 700 . Additionally, the software may run in a distributed or partitioned fashion on two or more computing devices similar to the general purpose computing device 700 .
  • ASIC Application Specific Integrated Circuits
  • the thermal management optimization module 705 for optimizing the design of a thermal management system for an electronic system described herein with reference to the preceding figures can be stored on a computer readable medium or carrier (e.g., RAM, magnetic or optical drive or diskette, and the like).
  • a computer readable medium or carrier e.g., RAM, magnetic or optical drive or diskette, and the like.
  • Embodiments of the invention represents a significant advancement in the field of thermal management system design.
  • Embodiments of the invention analyzes a full, three-dimensional solution of temperature values within a design of a semiconductor chip to be incorporated within an electronic system to be cooled, including power dissipation values distributed over semiconductor devices (e.g., transistors, resistors, capacitors, diodes and the like) and wire interconnects.
  • semiconductor devices e.g., transistors, resistors, capacitors, diodes and the like
  • wire interconnects e.g., wire interconnects.
  • thermal management system e.g., rather than designing a generic thermal management system or a thermal management system based on assumed chip temperatures, more efficient use of thermal management resources and more effective thermal management of an electronic system can be achieved.

Abstract

A method and apparatus for optimizing cooling system performance using full-chip thermal analysis of semiconductor chip designs is provided. One embodiment of a novel method for optimizing the cooling of an electronic system incorporating at least one semiconductor chip includes receiving full-chip temperature data for the semiconductor chip(s) and configuring the cooling system for dissipating heat from the electronic system in accordance with the full-chip temperature data.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of U.S. patent application Ser. No. 11/198,467, filed Aug. 5, 2005, which in turn is a continuation-in-part of U.S. patent application Ser. No. 10/979,957, filed Nov. 3, 2004. In addition, application Ser. No. 11/198,467 claims the benefit of U.S. Provisional Patent Application Ser. No. 60/599,278, filed Aug. 5, 2004. All of these applications are herein incorporated by reference in their entireties.
  • FIELD OF THE INVENTION
  • The present invention generally relates to computing devices, and more particularly relates to systems for regulating the temperatures of computing devices.
  • BACKGROUND OF THE INVENTION
  • Semiconductor chips typically comprise the bulk of the components in an electronic system. These semiconductor chips are also often the hottest part of the electronic system, and failure of the system can often be traced back to thermal overload on the chips. Thermal management of semiconductor chips is therefore a critical parameter of electronic design, as it influences the design of the cooling system for a computing device or system incorporating the semiconductor chip.
  • FIG. 1 is a schematic diagram illustrating an exemplary semiconductor chip 100. As illustrated, the semiconductor chip 100 comprises one or more semiconductor devices 102 a-102 n (hereinafter collectively referred to as “semiconductor devices 102”), such as transistors, resistors, capacitors, diodes and the like deposited upon a substrate 104 and coupled via a plurality of wires or interconnects 106 a-106 n (hereinafter collectively referred to as “interconnects 106”). These semiconductor devices 102 and interconnects 106 share power, thereby distributing a thermal gradient over the chip 100 that may range from 100 to 180 degrees Celsius in various regions of the chip 100.
  • Many methods currently exist for performing thermal analysis of semiconductor chips designs, e.g., to ensure that a chip constructed in accordance with a given design will not overheat and trigger a failure when deployed within an intended system. Such conventional methods, however, typically fail to provide a complete or an entirely accurate picture of the chip's operating thermal gradient. For example, typical thermal analysis models attempt to solve the temperature on the chip substrate, but do not solve the temperature in a full three dimensions, e.g., using industry standards design, package and heat sink data. Moreover, most typical methods do not account for the sharing of power among semiconductor devices and interconnects, which distributes the heat field within the chip, as discussed above. As a result, thermal management systems designed manage internal temperatures and/or thermal gradients in the chip (and/or system incorporating the chip) in operation (e.g., by dissipating heat from the chip or warming specific locations on the chip) are inefficiently designed. In fact, typical thermal management systems are designed with little or no knowledge of actual chip temperatures and gradients at all. This often leads to chip and/or system failure due to overheating or waste as excess cooling resources are directed to regions in which they are not needed.
  • Therefore, there is a need in the art for a method and apparatus for optimizing thermal management system performance using full-chip thermal analysis of semiconductor chip designs.
  • SUMMARY OF THE INVENTION
  • A method and apparatus for optimizing thermal management system performance using full-chip thermal analysis of semiconductor chip designs is provided. One embodiment of a novel method for optimizing the thermal management of an electronic system incorporating at least one semiconductor chip includes receiving full-chip temperature data for the semiconductor chip(s) and configuring the thermal management system for managing heat in the electronic system in accordance with the full-chip temperature data.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited embodiments of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 is a schematic diagram illustrating an exemplary semiconductor chip;
  • FIG. 2 is a schematic diagram illustrating one implementation of a thermal analysis tool according to the present invention;
  • FIG. 3 is a flow diagram illustrating one embodiment of a method for performing three-dimensional thermal analysis of a semiconductor chip design according to the present invention;
  • FIG. 4 is a graph illustrating the change in value of transistor resistance for an exemplary negative channel metal oxide semiconductor as a function of the output transition voltage;
  • FIG. 5 is a flow diagram illustrating one embodiment of a method for optimizing the design of a thermal management system based on knowledge of full-chip thermal gradients;
  • FIG. 6 is a flow diagram illustrating one embodiment of a method 600 for processing semiconductor chip design and temperature data to produce parameters for an optimal thermal management configuration; and
  • FIG. 7 is a high level block diagram of the present thermal management optimization method that is implemented using a general purpose computing device.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures.
  • DETAILED DESCRIPTION
  • Embodiments of the invention generally provide a method and apparatus for optimizing the design of a thermal management system in an electronic system (e.g., a computing device such as a desk top computer, a laptop computer, a tablet computer, a personal digital assistant, a cellular telephone, a gaming console or the like) using full-chip temperature data for semiconductor chips incorporated in the electronic system. One embodiment of the inventive method analyzes a full, three-dimensional solution of temperature values within a chip design, including power dissipation values distributed over semiconductor devices (e.g., transistors, resistors, capacitors, diodes and the like) and wire interconnects. This provides more a more accurate view of the thermal conditions within the electronic device, thereby enabling a thermal management system designer to configure the thermal management system to manage heat in the electronic system in the most efficient manner.
  • As used herein, the term “semiconductor chip” refers to any type of semiconductor chip, which might employ analog and/or digital design techniques and which might be fabricated in a variety of fabrication methodologies including, but not limited to, complementary metal-oxide semiconductor (CMOS), bipolar complementary metal-oxide semiconductor (BiCMOS), and gallium arsenide (GaAs) methodologies. Furthermore, as used herein, the term “semiconductor device” refers to a potential active heat dissipating device in a semiconductor chip, including, but not limited to, transistors, resistors, capacitors, diodes and inductors. The terms “wire”, “interconnect” or “wire interconnect” as used herein refer to any of various means of distributing electrical signals (which may be analog or digital, static or dynamic, logic signals or power/ground signals) from one place to another. “Interconnects” may be on a semiconductor chip itself, used in the packaging of the semiconductor chip, deployed between the semiconductor chip and the packaging, or used in a variety of other ways.
  • FIG. 2 is a schematic diagram illustrating one implementation of a thermal analysis tool 200 according to the present invention. As illustrated, the thermal analysis tool 200 is adapted to receive a plurality of inputs 202 a-202 g (hereinafter collectively referred to as “inputs 202”) and process these inputs 202 to produce a full-chip (e.g., three-dimensional) thermal model 204 of a proposed semiconductor chip design.
  • In one embodiment, the plurality of inputs 202 includes industry standard design data 202 a-202 f (e.g., pertaining to the actual chip design or layout under consideration) and library data 202 g (e.g., pertaining to the semiconductor devices and interconnects incorporated in the design). In one embodiment, the industry standard design data includes one or more of the following types of data: electrical component extraction data and extracted parasitic data (e.g., embodied in standard parasitic extraction files, or SPEFs, 202 a), design representations including layout data (e.g., embodied in Library Exchange Format/Design Exchange Format, or LEF/DEF files 202 b, Graphical Design Format II, or GDSII, files 202 c and/or text files 202 d), manufacturer-specific techfiles 202 e describing layer information and package models, user-generated power tables 202 f including design data (e.g., including a switching factor, E(sw)). In one embodiment, this industry standard design data 202 a-202 f is stored in a design database such as an open access database or a proprietary database. In one embodiment, the library data 202 g is embodied in a library that is distributed by a semiconductor part manufacturer or a library vendor. In another embodiment, the library incorporating the library data 202 g can be built in-house by a user.
  • In one embodiment, the library data 202 g includes transistor and diode models that are used to characterize the transistor resistances (Rdv) of the driver circuits, e.g., such as models available through Berkeley short-channel Insulated Gate Field Effect Transistor (IGFET) model (BSIM) models used by circuit simulators including Simulation Program with Integrated Circuit Emphasis (SPICE), HSPICE, commercially available from Synopsys, Inc. of Mountain View, Calif. and Heterogeneous Simulation Interoperability Mechanism (HSIM, commercially available from Nassda Corporation of Santa Clara, Calif.), all developed at the University of California at Berkeley.
  • As mentioned above, the plurality of inputs 202 are provided to the thermal analysis tool 200, which processes the data in order to produce a full-chip thermal model 204 of a proposed semiconductor chip design. In one embodiment, the full-chip thermal model is a three-dimensional thermal model.
  • Thus, as described above, embodiments of the present invention rely on library data representing the electrical properties of a semiconductor chip design (e.g., the resistance and capacitance at various points) and the manners in which these properties may vary with respect to each other and with respect to other phenomena (e.g., temperature or fabrication variations). Those skilled in the art will appreciate that these electrical properties may be specified or calculated in any number of ways, including, but not limited to, table-driven lookups, formulas based on physical dimensions, and the like.
  • FIG. 3 is a flow diagram illustrating one embodiment of a method 300 for performing full-chip thermal analysis of a semiconductor chip design according to the present invention. The method 300 may be implemented, for example, in the thermal analysis tool 200 illustrated in FIG. 2. In one embodiment, the method 300 relies on the computation of power dissipated by various semiconductor devices of the semiconductor chip design. As will be apparent from the following discussion, this power computation may be performed in any number of ways, including, but not limited to, table-driven lookups, computations based on electrical properties, circuit simulations, and the like. Moreover, those skilled in the art will appreciate that although the following description discusses the effects of resistance on power dissipation, power dissipation computations could be based on any number of other electrical properties or parameters, including, but not limited to, capacitance, inductance and the like. Moreover, the computations could be static or dynamic.
  • The method 300 is initialized at step 302 and proceeds to step 304, where the method 300 determines the collection of semiconductor devices (e.g., transistor, resistors, capacitors, diodes inductors and the like) and their resistances. In one embodiment, the method 300 determines this information by reading one or more of the chip layout data (e.g., in GDS II, DEF and/or text format), layer and package model data (e.g., from one or more techfiles), and initial power and power versus temperature data for the semiconductor devices (e.g., from the library data). In one embodiment, initial power values and power values as a function of temperature may be recorded within a common power table for acceptable operating ranges for the driver circuits within the chip design. The driver circuits may be at semiconductor device level or at cell level, where cell level circuits represent an abstraction of interconnected semiconductor devices making up a known function.
  • In step 306, the method 300 uses the information collected in step 304 to calculate the time average resistance values for every semiconductor device in every driver circuit of the chip design, as well as for every diode junction. These time-average resistance values relate to changes in semiconductor device dimensions (e.g., such as using higher power transistors in place of lower power transistors in a chip design). In one embodiment, the time average resistance value, Raverage for a semiconductor device is calculated as:
  • R average = 0 t r R v ( t ) t t r ( EQN . 1 )
  • where tr is the output transition time of the driver circuit under consideration, e.g., as specified by the library data.
  • FIG. 4 is a graph illustrating the change in value of transistor resistance, Rdv for an exemplary negative channel metal oxide semiconductor (nMOS) as a function of the output transition voltage, Vdriver out. As illustrated, the power dissipated by a transistor varies during switching. This is also true for the power dissipated in other semiconductor devices and in the interconnects coupled to the semiconductor devices on the chip.
  • Referring back to FIG. 3, in step 308, the method 300 calculates the power dissipated by the semiconductor devices and interconnects at a given temperature for the design under consideration. In one embodiment of step 308, e.g., where a steady-state analysis of the chip design is being performed, the interdependence of temperature and average power is captured through pre-characterized parameters of the semiconductor devices and interconnects. In one embodiment, the power dissipated by a semiconductor device (in this exemplary case, a transistor), P transistor, is calculated as:

  • P transistor=(V d)2 /R average  (EQN. 2)
  • where Vd is the power supply voltage supplied to the transistor. This voltage, Vd, is less than the actual power supply voltage, Vdd, as the current drawn by the transistors and flowing through the interconnects that connect the transistors to a power supply causes a voltage drop. In another embodiment, the power supply voltage to the transistor Vd could be divided by the maximum or minimum resistance value, Rmax or Rmin, in order to calculate the power dissipated in the transistor. In one embodiment, a decision as to whether to use an average, minimum or maximum resistance value to calculate Ptransistor is based at least in part on whether additional conditions, such as the operation of the circuit, are to be evaluated.
  • While equations for calculating the power dissipation of transistors have been provided herein by way of example, those skilled in the art will appreciate that various methods of calculating power dissipation for other semiconductor devices, such as resistors, capacitors and diodes, are known in the art. For example, equations for calculating the power dissipation of a resistor are discussed in the Proceedings of the Fourth International Symposium on Quality Electronic Design (ISQED 2003), 24-26 Mar. 2003, San Jose, Calif.
  • In one embodiment, the power dissipated by the interconnects (e.g., power and signal lines), P interconnect is calculated as:

  • P interconnect =P−P transistor  (EQN. 3)
  • where P is the average electrical power dissipated per clock cycle by a digital circuit (e.g., the chip design under consideration; for the full chip, the total P is the sum of the power dissipated by each circuit in the chip) and is available from the library data 202 g. In the power lines, power is typically dissipated as Joule heating, where the dissipated power P dissipated may be calculated as:

  • Pdissipated=Ip 2Rpower  (EQN. 4)
  • where Ip is the current through the power lines and Rpower is the resistance of the power bus. The value of Ip may be calculated by commercially available tools, such as Voltage Storm, available from Cadence Design Systems, Inc. of San Jose, Calif.
  • Typically, the power drawn by a switching transistor may be calculated as:

  • P=C load V dd E(sw)(fclk)  (EQN. 5)
  • where Cload is the output capacitance as seen by the circuit, E(sw) is the switching activity as defined by the average number of output transitions per clock period, and fclk is the clock frequency. The switching factor or activity, E(sw), is used for evaluating the power table for the initial state of the design. Cload may be calculated by parasitic extraction tools, and values for fclk and Vdd are typically specified for a given design. In general, half of the power, P, is stored in the capacitance and the other half is dissipated in the transistors and interconnects (e.g., the power and signal lines). Those skilled in the art will appreciate that since Raverage varies with the transition time of the circuits, and as the switching activity changes for different modes of operation, E(sw) will also change, thereby changing the value of P and the distribution of the amounts of power dissipated in the transistors (e.g., see Equation 2) and interconnects. This will, in turn, change the heat fields and corresponding temperatures within the chip.
  • In another embodiment of step 308, a transient analysis is performed, wherein the interdependence of temperature and average power in the semiconductor devices and interconnects is based on instantaneous values of power. In this case, power dissipated values are calculated by dynamically simulating the circuit embodied in the chip design under consideration. For example, the circuit may be simulated using any commercially available circuit simulator, such as HSPICE or HSIM, discussed above, or SPECTRE, commercially available from Cadence Design Systems. In one embodiment, the circuit is simulated by solving for values of electrical attributes (e.g., current and voltages) at various points in time. In the case of transient thermal analysis, the thermal analysis system (e.g., thermal analysis tool 200 of FIG. 2) drives the circuit simulator to calculate power at discrete points whenever there is a sufficient change in the temperature of the circuit. In one embodiment, the sufficiency of a temperature change for these purposes is determined by a predefined threshold.
  • In step 310, the method 300 distributes the power consumed in each of the interconnects. In one embodiment, power is distributed based on the resistance of the wires used in the interconnects, which is defined by the type, thickness and height of the wires used in the interconnects. In one embodiment, the resistance, Rinterconnect, of an interconnect segment is calculated as:
  • R interconnected = ρ L wt ( EQN . 6 )
  • where L is the length of the interconnect segment, w is the width of the segment, t is the thickness of the segment, and ρ is a resistivity constant dependent upon the type of wire used. The resistivity constant, ρ, may be found in tables included in any number of integrated circuits textbooks, including Rabaey et al., Digital Integrated Circuits, Second Edition, Prentice Hall Electronic and VLSI Series, 2002.
  • In step 312, the method 300 uses the power dissipation and distribution information calculated in steps 306-310 to model a full-chip (e.g., three-dimensional) temperature gradient over the chip design under consideration. In one embodiment, a full-chip temperature gradient is modeled by adaptively partitioning the volumes of steep temperature gradients over the chip design. In one embodiment, partitioning is done in three dimensions; however, in other embodiments, partitioning may be done in one or two dimensions as well (for example, vertical partitioning may be explicitly considered in how the temperature is modeled). In one embodiment, “steep” temperature gradients are those portions of the overall temperature gradient that are steep relative to other regions of the overall temperature gradient. In one embodiment, techfile data (e.g., pertaining to the dimensions and properties of the chip design layers) and power density data are used to partition the chip design. Power density data is typically contained within the power table provided for a particular state of operation of a chip design. The temperatures in each partition are then determined and annotated accordingly in the three-dimensional model.
  • In step 314, the method 300 determines whether the currently computed temperature for the chip design falls within a previously specified range. If the method 300 concludes that the currently computed temperature does not fall within this range, the method 300 proceeds to step 318 and modifies the chip design (e.g., by changing the resistances of the semiconductor devices and interconnects, resizing the semiconductor devices and interconnect wires, etc.). The method 300 then returns to step 308 and proceeds as discussed above.
  • Alternatively, if the method 300 determines that the currently computed temperature does fall within the specified range, the method 300 proceeds to step 316 and terminates. Thus, steps of the method 300 may be repeated in an iterative manner until a steady state value is reached, within a specified tolerance. In one embodiment, iteration of these steps may depend on the particular implementation of the method 300. In further embodiments, iteration could include convergence to an absolute value, convergence to a relative value, or the passing of a fixed number or iterations or a fixed amount of time.
  • Thus, the method 300 employs industry standard design, package and heat sink data in order to produce a more complete and more accurate profile of the temperature gradient created by a semiconductor chip design. By accounting for the distribution of power dissipated in the semiconductor devices and in the interconnects, rather than simply characterizing dissipated power as the power dissipated in the active semiconductor devices (which does not consider simultaneous changes in the electrothermal properties of the semiconductor devices and interconnects), more accurate, full-chip thermal profiling can be achieved.
  • Chip designers may use the full-chip data produced by the method 300 to design more robust semiconductor chips for particular applications. For example, if the full-chip temperature gradient produced by one iteration of the method 300 does not illustrate acceptable results for a semiconductor chip design, a chip designer may go back and modify the chip design (e.g., by changing the resistances of the semiconductor devices and interconnects, resizing the semiconductor devices and interconnect wires, etc.) in an attempt to achieve more desirable results. The method 300 may then be applied to the modified design to assess the resultant temperature gradient. Those skilled in the art will appreciate that while the method 300 illustrates a series of steps, the present invention is not limited to the particular sequence illustrated, and thus FIG. 3 should be considered only as one exemplary embodiment of the present invention.
  • In one embodiment, the full-chip temperature data produced by the method 300 may be implemented to optimize the design of a thermal management system for managing heat in a semiconductor chip and/or an electronic system or computing device incorporating the semiconductor chip. That is, the full-chip temperature data may guide a thermal management system or electronic system designer in more precisely identifying those areas of the chip and/or electronic system that require the most cooling or warming, or the least cooling or warming, thereby enabling efficient design and use of thermal management resources. Design of the thermal management system may additionally include the design of an interface between the thermal management system and the semiconductor chip or package whose thermal characteristics are to be regulated by the thermal management system. The thermal management system may comprise a cooling system (e.g., a mechanical or fluid cooling system) for dissipating heat from specific areas of the semiconductor chip and/or a heating system for warming specific areas of the semiconductor chip (e.g., in order to locally minimize thermal gradients). Furthermore, the thermal management system may comprise a plurality of components distributed over each semiconductor chip and/or other components of the electronic system (e.g., each semiconductor chip may have its own “mini” thermal management system that is part of the thermal management system for the overall electronic system). The full-chip temperature data may aid in the optimization of substantially any thermal management system, including external thermal management systems and internal or on-chip thermal management systems.
  • FIG. 5 is a flow diagram illustrating one embodiment of a method 500 for optimizing the design of a thermal management system based on knowledge of full-chip thermal gradients. The method 500 is initialized at step 502 and proceeds to step 504, where the method 500 receives full-chip temperature data for at least one semiconductor chip incorporated within the electronic system to be managed by the thermal management system. In one embodiment, the system may be a single semiconductor chip itself, or a stack or arrangement of multiple semiconductor chips. This full-chip temperature data may be received, for example, from a thermal analysis tool such as the thermal analysis tool 200.
  • In step 506, the method 500 receives thermal parameters for the electronic system to be managed by the thermal management system. In one embodiment, system thermal parameters include at least one of: electrical and/or thermal constraints of the electronic system, external chip conditions (e.g., conditions within the electronic system but external to the semiconductor chips incorporated therein), electronic system usage conditions, thermal management system type (e.g., mechanical, fluid-based, etc.), thermal management system materials, air or fluid flow direction and/or orientation for the elements of the thermal management system and semiconductor chip package materials.
  • In step 508, the method 500 determines the optimal thermal management configuration for the system in accordance with the full-chip temperature data and the system thermal parameters. That is, the method 500 determines, based on a plurality of inputs including the actual temperature gradients within the semiconductor chip(s) and the thermal requirements of the electronic system, the degree of thermal management required in each area of the electronic system (e.g., the type, location and direction of elements of the thermal management system). One embodiment of a method for processing this plurality of inputs to determine the optimal thermal management configuration is described in greater detail in FIG. 6.
  • In one embodiment, the plurality of inputs specifically includes at least one of: thermal characteristics of the cooling and/or heating components of the thermal management system (e.g., thermal conductivity, heat transfer coefficient, thermal resistances of junction-to-air, junction-to-case, case-to-spreader, etc.), physical dimensions of the elements of the thermal management system, constraints of the thermal management system (e.g., switch on and off latency, zone of effectiveness, cost, mechanical characteristics, electrical power consumed, environmental factors such as noise, etc.).
  • The effectiveness of each of these inputs in equalizing temperature and thermal gradient effects on the semiconductor chip(s) is assessed. In particular, thermal characteristics of the thermal management system enable the method 500 to assess the temperature values in the semiconductor chip design(s) using boundary conditions presented by the thermal management system. Chip temperatures and their influence on the electrical characteristics of the semiconductor chip design are computed using these boundary conditions and then applied to optimize the configuration of the thermal management system.
  • Because the temperature gradient may vary widely over a semiconductor chip in operation, some areas of a semiconductor chip may require a great deal of cooling or warming, while other areas may require very little cooling or warming. Based on this determination, thermal management resources may be allocated in the most efficient manner among components in the electronic system, as well as among components incorporated within the semiconductor chip(s). In one embodiment, the optimal thermal management configuration may involve directing thermal management resources toward local “hot spots” on a semiconductor chip, e.g., by repositioning elements a cooling system (e.g., to redirect air or fluid flow), by adjusting the design of components of the thermal management system (e.g., a heat sink) or by adjusting the orientation of the semiconductor chip package to account for a direction of cooling (e.g., air or fluid flow).
  • In one embodiment, the optimal thermal management configuration specifies a plurality of parameters, including at least one of: coordinates or positions of the thermal management system components with respect to a reference point in the semiconductor chip design(s) (e.g., Cartesian or spherical coordinates), orientation of the thermal management system components with respect to the semiconductor chip(s) whose full-chip temperature data is received in step 504, and state-dependent conditions for the thermal management system. For example, in the case where on-chip control of the thermal management system is enabled (e.g., such that the operating states of the thermal management system are controllable in response to thermal gradients associated with each operating state of the semiconductor chip design(s)), the internal state of the semiconductor chip (s) and the associated thermal gradient computations are used to set the operating state of the thermal management system. In one embodiment, the operating state of the thermal management system includes mechanisms that influence the heat transfer between the semiconductor chip(s) and the surrounding environment, such as fan turn on and turn off, fan speed, electrostatic airflow rate, heating components, injection of coolants, evaporation rate control and ionization density.
  • In the case where on-chip control of the thermal management system is not enabled, the positions of the thermal management system are designed and implemented to alleviate the worst-case temperature-dependent electrical failure conditions.
  • In step 510, the method 500 calculates the full-chip temperature data for the semiconductor chip design(so incorporated in the electronic system (e.g., in accordance with the method 300), accounting for the optimal thermal management configuration as determined in step 508.
  • In step 512, the method 500 determines whether the electronic system requirements (which include individual semiconductor chip requirements) are met, according to the newly calculated full-chip temperature data. Thus, the method 500 determines whether the optimal thermal management configuration determined in step 508 will sufficiently manage the temperatures and thermal gradients of the electronic system as required. If the system requirements are met, the method 500 terminates in step 514. Alternatively, if the system requirements are not met, the method 500 returns to step 508 are proceeds as described above in order to adjust the thermal management configuration for optimal performance within the constraints of the system.
  • The method 500 thereby enables more efficient design of thermal management systems for electronic systems by accounting for the full-chip thermal gradients of the semiconductor chips incorporated therein. Based on this information, thermal management resources can be allocated to the various areas of the electronic system and/or semiconductor chip(s) in the most efficient manner. This provides better use of thermal management resources than existing thermal management systems, which tend to manage the temperatures and thermal gradients the electronic system in a more general manner (e.g., based on the absolute temperature value, with little or no regard to actual local variations in temperature). Thus, electronic system failures and waste of thermal management system resources can be significantly reduced.
  • In addition, the full-chip temperature data (e.g., as computed by the method 300) may be implemented in designing the heat transfer properties of a semiconductor chip package, thereby also efficiently reducing the thermal gradients over the semiconductor chip. For example, for packages incorporating multiple semiconductor chips, the method 500, in conjunction with the full-chip temperature data, may guide the arrangement of the multiple semiconductor chips that offers the best thermal management of the electrical or thermal constraints on the electronic system design. Thus, semiconductor package design as well as cooling system design may be enhanced by application of the method 300 and/or the method 500.
  • FIG. 6 is a flow diagram illustrating one embodiment of a method 600 for processing semiconductor chip design and temperature data to produce parameters for an optimal thermal management configuration. The method 600 may be implemented, for example, in accordance with steps 508-512 of the method 500.
  • The method 600 is initialized at step 602 and proceeds to step 604, where the method 600 receives the thermal inputs described above (e.g., full-chip temperature data, thermal characteristics of the cooling and/or heating components of the thermal management system, physical dimensions of the elements of the thermal management system and constraints of the thermal management system) and the initial conditions for the electronic system. In one embodiment, the initial conditions for the electronic system include at least one of: starting temperatures for the semiconductor chips incorporated therein, ambient temperatures in which the electronic system is intended to work, the position and efficiency of thermal management systems incorporated therein (e.g., the thermal resistances of the heat sinks, fans, etc.).
  • In step 606, the method 600 sorts the temperature gradients within the semiconductor chip(s) incorporated in the electronic system design. The temperature gradients are sorted in three dimensions. In one embodiment, the temperature gradients are sorted according to the magnitudes of the gradients (e.g., from smallest gradient to largest gradient).
  • In step 608, the method 600 selects a weighting parameter in three dimensions (e.g., x, y and z) based on the initial chip boundary conditions. Essentially, the weighting parameter is a specific parameter that aids in ranking those areas of the semiconductor chip that can most efficiently dissipate heat. In one embodiment, the weighting parameter is a thermal property of a given location on the semiconductor chip, such as at least one of: composite conductivity in the heat transfer direction, thermal conductance in the heat transfer direction, thermal resistance from a first location to a second location (e.g., from junction to ambient) and heat transfer coefficient. The selection of the weighting parameter may vary as a function of the semiconductor chip's layout and/or material properties.
  • In step 610, the method 600 selects a set of trial parameters with weighting functions for the boundary conditions along the semiconductor chip/package interface. In one embodiment, the set of trial parameters includes at least one of: thermal resistance, heat transfer coefficients between the semiconductor chip package and the thermal management system, and internal conductivity factors of the semiconductor chips (e.g., to optimize the paths that will lead to lower temperature gradients). In one embodiment, the set of trial parameters is chosen such that the thermal resistance is at a minimum in the location or region of the maximum on-chip temperature. This implies that the direction of the heat flow is taken into account in the choice of location for the minimum thermal resistance, and that the magnitude of the temperature is used to determine the value of the thermal resistance achievable using the thermal management system.
  • In step 612, the method 600 solves the on-chip temperatures in accordance with the selected trial parameters. In one embodiment, step 612 may be performed in accordance with the method 300 described above.
  • In step 614, the method 600 determines for each semiconductor chip incorporated in the electronic system, whether the minimum temperature differentials (e.g., as defined by design constraints on the semiconductor chip) are achieved in three dimensions, based on the on-chip temperatures calculated in step 612.
  • If the method 600 determines in step 614 that the minimum temperature differentials are not achieved in three directions for all semiconductor chips, the method 600 returns to step 606 and proceeds as described above in order to select a new set of trial parameters for those chips.
  • Alternatively, if the method 600 determines in step 614 that the minimum temperature differentials are achieved in three directions for all semiconductor chips, the method 600 proceeds to step 616 and determines for each semiconductor chip incorporated in the electronic system, whether predefined design constraints on the on-chip thermal gradients are met.
  • If the method 600 determines in step 616 that the thermal gradients are not within the design constraints for all semiconductor chips, the method 600 proceeds to step 618 and assumes that a heating component of the thermal management system is at least partially responsible for the oversized thermal gradient(s). The method 600 then returns to step 606 and proceeds as described above in order to select a new set of trial parameters for those chips.
  • Alternatively, if the method 600 determines in step 616 that the thermal gradients are within the design constraints for all semiconductor chips, the method 600 proceeds to step 620 and translates the selected trial parameters into a corresponding thermal management system configuration (e.g., including coordinates or positions of the thermal management system components with respect to a reference point in the semiconductor chip design, orientation of the thermal management system components with respect to the semiconductor chip whose full-chip temperature data is received in step 504, and state-dependent conditions for the thermal management system, as described above with respect to the method 500).
  • The method 600 then terminates in step 622.
  • FIG. 7 is a high level block diagram of the present thermal management optimization method that is implemented using a general purpose computing device 700. In one embodiment, a general purpose computing device 700 comprises a processor 702, a memory 704, a thermal management optimization module 705 and various input/output (I/O) devices 706 such as a display, a keyboard, a mouse, a modem, a network connection and the like. In one embodiment, at least one I/O device is a storage device (e.g., a disk drive, an optical disk drive, a floppy disk drive). It should be understood that the thermal management optimization module 705 can be implemented as a physical device or subsystem that is coupled to a processor through a communication channel.
  • Alternatively, the thermal management optimization module 705 can be represented by one or more software applications (or even a combination of software and hardware, e.g., using Application Specific Integrated Circuits (ASIC)), where the software is loaded from a storage medium (e.g., I/O devices 706) and operated by the processor 702 in the memory 704 of the general purpose computing device 700. Additionally, the software may run in a distributed or partitioned fashion on two or more computing devices similar to the general purpose computing device 700. Thus, in one embodiment, the thermal management optimization module 705 for optimizing the design of a thermal management system for an electronic system described herein with reference to the preceding figures can be stored on a computer readable medium or carrier (e.g., RAM, magnetic or optical drive or diskette, and the like).
  • Thus, the present invention represents a significant advancement in the field of thermal management system design. Embodiments of the invention analyzes a full, three-dimensional solution of temperature values within a design of a semiconductor chip to be incorporated within an electronic system to be cooled, including power dissipation values distributed over semiconductor devices (e.g., transistors, resistors, capacitors, diodes and the like) and wire interconnects. This provides more a more accurate view of the thermal conditions within the electronic device, thereby enabling a thermal management system designer to configure the thermal management system to manage heat in the electronic system in the most efficient manner. Specifically, by accounting for actual or calculated semiconductor chip temperature data in the initial design of the thermal management system (e.g., rather than designing a generic thermal management system or a thermal management system based on assumed chip temperatures), more efficient use of thermal management resources and more effective thermal management of an electronic system can be achieved.
  • While the foregoing is directed to embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (25)

1. A method for optimizing thermal management of an electronic system, the method comprising:
receiving full-chip temperature data for a semiconductor chip incorporated in said electronic system;
configuring one or more thermal management resources of a thermal management system in accordance with said full-chip temperature data; and
wherein said full-chip temperature data comprises a model of a thermal gradient over said semiconductor chip in operation.
2. The method of claim 1, wherein said model comprises a three-dimensional thermal model of said semiconductor chip.
3. The method of claim 1, further comprising:
computing said full-chip temperature data.
4. The method of claim 3, wherein said computing is performed via a transient analysis.
5. The method of claim 3, wherein said computing is performed in accordance with a package of the semiconductor chip.
6. The method of claim 5, wherein said configuring comprises:
adjusting a design of said package.
7. The method of claim 6, wherein said adjusting provides additional cooling to at least a portion of said semiconductor chip.
8. The method of claim 5, wherein said computing is performed in further accordance with a heat sink coupled to said package.
9. The method of claim 8, wherein said configuring comprises:
adjusting a design of said heat sink.
10. The method of claim 1, wherein said configuring is performed in further accordance with full-chip temperature data for another semiconductor chip mounted in a package with said semiconductor chip.
11. The method of claim 10, further comprising:
computing said full-chip temperature data for said semiconductor chip in accordance with said package.
12. The method of claim 10, further comprising:
computing said full-chip temperature data for said semiconductor chip in accordance with said another semiconductor chip.
13. A computer readable medium containing an executable program for optimizing thermal management of an electronic system, where the program performs the steps of:
receiving full-chip temperature data for a semiconductor chip incorporated in said electronic system;
configuring one or more thermal management resources of a thermal management system in accordance with said full-chip temperature data; an
wherein said full-chip temperature data comprises a model of a thermal gradient over said semiconductor chip in operation.
14. The computer readable medium of claim 13, wherein said model comprises a three-dimensional thermal model of said semiconductor chip.
15. The computer readable medium of claim 13, further comprising:
computing said full-chip temperature data.
16. The computer readable medium of claim 15, wherein said computing is performed via a transient analysis.
17. The computer readable medium of claim 15, wherein said computing is performed in accordance with a package of the semiconductor chip.
18. The computer readable medium of claim 17, wherein said configuring comprises:
adjusting a design of said package.
19. The computer readable medium of claim 18, wherein said adjusting provides additional cooling to at least a portion of said semiconductor chip.
20. The computer readable medium of claim 17, wherein said computing is performed in further accordance with a heat sink coupled to said package.
21. The computer readable medium of claim 20, wherein said configuring comprises:
adjusting a design of said heat sink.
22. The computer readable medium of claim 13, wherein said configuring is performed in further accordance with full-chip temperature data for another semiconductor chip mounted in a package with said semiconductor chip.
23. The computer readable medium of claim 22, further comprising:
computing said full-chip temperature data for said semiconductor chip in accordance with said package.
24. The computer readable medium of claim 22, further comprising:
computing said full-chip temperature data for said semiconductor chip in accordance with said another semiconductor chip.
25. Apparatus for optimizing thermal management of an electronic system, the apparatus comprising:
means for receiving full-chip temperature data for a semiconductor chip incorporated in said electronic system;
means for configuring one or more thermal management resources of a thermal management system in accordance with said full-chip temperature data; and
means for wherein said full-chip temperature data comprises a model of a thermal gradient over said semiconductor chip in operation.
US12/046,240 2004-01-28 2008-03-11 Method and apparatus for optimizing thermal management system performance using full-chip thermal analysis of semiconductor chip designs Abandoned US20080163135A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US12/046,240 US20080163135A1 (en) 2004-08-05 2008-03-11 Method and apparatus for optimizing thermal management system performance using full-chip thermal analysis of semiconductor chip designs
US12/193,752 US20090077508A1 (en) 2004-01-28 2008-08-19 Accelerated life testing of semiconductor chips

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US59927804P 2004-08-05 2004-08-05
US10/979,957 US7194711B2 (en) 2004-01-28 2004-11-03 Method and apparatus for full-chip thermal analysis of semiconductor chip designs
US11/198,467 US7383520B2 (en) 2004-08-05 2005-08-05 Method and apparatus for optimizing thermal management system performance using full-chip thermal analysis of semiconductor chip designs
US12/046,240 US20080163135A1 (en) 2004-08-05 2008-03-11 Method and apparatus for optimizing thermal management system performance using full-chip thermal analysis of semiconductor chip designs

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
US11/198,467 Continuation US7383520B2 (en) 2004-01-28 2005-08-05 Method and apparatus for optimizing thermal management system performance using full-chip thermal analysis of semiconductor chip designs
US12/140,188 Continuation-In-Part US7823102B2 (en) 2004-01-28 2008-06-16 Thermally aware design modification

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/016,467 Continuation-In-Part US20080141192A1 (en) 2004-01-28 2008-01-18 Method and apparatus for using full-chip thermal analysis of semiconductor chip designs to compute thermal conductance

Publications (1)

Publication Number Publication Date
US20080163135A1 true US20080163135A1 (en) 2008-07-03

Family

ID=37728013

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/198,467 Active 2025-01-07 US7383520B2 (en) 2004-01-28 2005-08-05 Method and apparatus for optimizing thermal management system performance using full-chip thermal analysis of semiconductor chip designs
US12/046,240 Abandoned US20080163135A1 (en) 2004-01-28 2008-03-11 Method and apparatus for optimizing thermal management system performance using full-chip thermal analysis of semiconductor chip designs

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/198,467 Active 2025-01-07 US7383520B2 (en) 2004-01-28 2005-08-05 Method and apparatus for optimizing thermal management system performance using full-chip thermal analysis of semiconductor chip designs

Country Status (3)

Country Link
US (2) US7383520B2 (en)
EP (1) EP1920645A4 (en)
WO (1) WO2007019531A2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090307646A1 (en) * 2008-06-06 2009-12-10 Winter Bradley J Systems, devices, and methods for semiconductor device temperature management
US20130061196A1 (en) * 2011-09-07 2013-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Target-based dummy insertion for semiconductor devices
US8549462B2 (en) * 2011-08-23 2013-10-01 International Business Machines Corporation Thermal coupling determination and representation
US20150179529A1 (en) * 2013-12-19 2015-06-25 Taiwan Semiconductor Manufacturing Company Limited Thermal analysis for tiered semiconductor structure

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7472363B1 (en) 2004-01-28 2008-12-30 Gradient Design Automation Inc. Semiconductor chip design having thermal awareness across multiple sub-system domains
US20090077508A1 (en) * 2004-01-28 2009-03-19 Rubin Daniel I Accelerated life testing of semiconductor chips
WO2007070879A1 (en) * 2005-12-17 2007-06-21 Gradient Design Automation, Inc. Simulation of ic temperature distributions using an adaptive 3d grid
US7383520B2 (en) * 2004-08-05 2008-06-03 Gradient Design Automation Inc. Method and apparatus for optimizing thermal management system performance using full-chip thermal analysis of semiconductor chip designs
US20090224356A1 (en) * 2004-01-28 2009-09-10 Rajit Chandra Method and apparatus for thermally aware design improvement
US20090048801A1 (en) * 2004-01-28 2009-02-19 Rajit Chandra Method and apparatus for generating thermal test vectors
US8019580B1 (en) 2007-04-12 2011-09-13 Gradient Design Automation Inc. Transient thermal analysis
US8286111B2 (en) * 2004-03-11 2012-10-09 Gradient Design Automation Inc. Thermal simulation using adaptive 3D and hierarchical grid mechanisms
US8812169B2 (en) * 2005-10-31 2014-08-19 Hewlett-Packard Development Company, L.P. Heat sink verification
US20070244676A1 (en) * 2006-03-03 2007-10-18 Li Shang Adaptive analysis methods
US9323870B2 (en) 2012-05-01 2016-04-26 Advanced Micro Devices, Inc. Method and apparatus for improved integrated circuit temperature evaluation and IC design
JP6044215B2 (en) * 2012-09-13 2016-12-14 富士電機株式会社 Semiconductor device
US9495491B2 (en) * 2014-03-14 2016-11-15 Microsoft Technology Licensing, Llc Reliability aware thermal design
US9582621B2 (en) * 2015-06-24 2017-02-28 Globalfoundries Inc. Modeling localized temperature changes on an integrated circuit chip using thermal potential theory
TWI689724B (en) 2017-12-15 2020-04-01 財團法人工業技術研究院 Chip temperature computation method and chip temperature computation device
CN113128086A (en) * 2021-03-22 2021-07-16 广西电网有限责任公司电力科学研究院 Method and system for quickly estimating real-time hot spot temperature of transformer

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6389582B1 (en) * 1995-12-21 2002-05-14 John Valainis Thermal driven placement
US7039888B2 (en) * 2003-12-04 2006-05-02 Texas Instruments Incorporated Modeling process for integrated circuit film resistors
US7194711B2 (en) * 2004-01-28 2007-03-20 Gradient Design Automation Inc. Method and apparatus for full-chip thermal analysis of semiconductor chip designs
US7203920B2 (en) * 2004-01-28 2007-04-10 Gradient Design Automation Inc. Method and apparatus for retrofitting semiconductor chip performance analysis tools with full-chip thermal analysis capabilities
US7383520B2 (en) * 2004-08-05 2008-06-03 Gradient Design Automation Inc. Method and apparatus for optimizing thermal management system performance using full-chip thermal analysis of semiconductor chip designs

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4696578A (en) * 1986-06-19 1987-09-29 International Business Machines Corporation Single chip thermal tester
US6028348A (en) * 1993-11-30 2000-02-22 Texas Instruments Incorporated Low thermal impedance integrated circuit
US5654904A (en) * 1994-05-18 1997-08-05 Micron Technology, Inc. Control and 3-dimensional simulation model of temperature variations in a rapid thermal processing machine
US5751015A (en) * 1995-11-17 1998-05-12 Micron Technology, Inc. Semiconductor reliability test chip
US6247161B1 (en) * 1997-01-16 2001-06-12 Advanced Micro Devices, Inc. Dynamically configured on-chip communications paths based on statistical analysis
US6124635A (en) * 1997-03-21 2000-09-26 Honda Giken Kogyo Kabushiki Kaisha Functionally gradient integrated metal-ceramic member and semiconductor circuit substrate application thereof
DE60043993D1 (en) * 2000-01-04 2010-04-22 Fujitsu Ltd METHOD AND DEVICE FOR DESIGNING A PRINTED PCB
JP2001297955A (en) * 2000-04-14 2001-10-26 Toshiba Corp Simulation method, simulator, and recording medium recording simulation program
US7191112B2 (en) * 2000-04-28 2007-03-13 Cadence Design Systems, Inc. Multiple test bench optimizer
JP2001351919A (en) * 2000-06-05 2001-12-21 Nec Corp Wiring fault analysis method
US7171346B1 (en) * 2000-09-01 2007-01-30 Freescale Semiconductor, Inc. Mismatch modeling tool
US6505326B1 (en) * 2000-09-29 2003-01-07 General Electric Company Analyzing thermal characteristics of geometries
US6591399B1 (en) * 2000-12-28 2003-07-08 Nortel Networks Limited Technique for facilitating circuitry design
US6931369B1 (en) * 2001-05-01 2005-08-16 National Semiconductor Corporation Method to perform thermal simulation of an electronic circuit on a network
US6532570B1 (en) * 2001-09-07 2003-03-11 Sun Microsystems, Inc. Designing integrated circuits to reduce temperature induced electromigration effects
GB0126104D0 (en) * 2001-10-31 2002-01-02 Leuven K U Res & Dev Electronic circuit modeling sizing and optimisation
US6751781B2 (en) * 2002-01-18 2004-06-15 Advanced Semiconductor Engineering, Inc. Thermal data automatic service system
US6826733B2 (en) * 2002-05-30 2004-11-30 International Business Machines Corporation Parameter variation tolerant method for circuit design optimization
US6769102B2 (en) * 2002-07-19 2004-07-27 Hewlett-Packard Development Company Verifying proximity of ground metal to signal traces in an integrated circuit
JP4214775B2 (en) * 2002-12-19 2009-01-28 ソニー株式会社 Semiconductor device characteristic simulation method and semiconductor device characteristic simulator
US7263477B2 (en) * 2003-06-09 2007-08-28 Cadence Design Systems, Inc. Method and apparatus for modeling devices having different geometries
US7096450B2 (en) * 2003-06-28 2006-08-22 International Business Machines Corporation Enhancement of performance of a conductive wire in a multilayered substrate
US6993742B2 (en) * 2003-08-08 2006-01-31 Intel Corporation Thermal proximity effects in lithography
US7137080B2 (en) * 2003-08-22 2006-11-14 International Business Machines Corporation Method for determining and using leakage current sensitivities to optimize the design of an integrated circuit
JP3786657B2 (en) * 2003-12-18 2006-06-14 株式会社半導体理工学研究センター Simulation method and simulation apparatus
US7101816B2 (en) * 2003-12-29 2006-09-05 Tokyo Electron Limited Methods for adaptive real time control of a thermal processing system
US7191413B2 (en) * 2004-01-28 2007-03-13 Gradient Design Automation, Inc. Method and apparatus for thermal testing of semiconductor chip designs
US7401304B2 (en) * 2004-01-28 2008-07-15 Gradient Design Automation Inc. Method and apparatus for thermal modeling and analysis of semiconductor chip designs
US7025280B2 (en) * 2004-01-30 2006-04-11 Tokyo Electron Limited Adaptive real time control of a reticle/mask system
US7124380B2 (en) * 2004-03-18 2006-10-17 Hewlett-Packard Development Company, L.P. System and method for controlling analysis of multiple instantiations of circuits in hierarchical VLSI circuit designs

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6389582B1 (en) * 1995-12-21 2002-05-14 John Valainis Thermal driven placement
US7039888B2 (en) * 2003-12-04 2006-05-02 Texas Instruments Incorporated Modeling process for integrated circuit film resistors
US7194711B2 (en) * 2004-01-28 2007-03-20 Gradient Design Automation Inc. Method and apparatus for full-chip thermal analysis of semiconductor chip designs
US7203920B2 (en) * 2004-01-28 2007-04-10 Gradient Design Automation Inc. Method and apparatus for retrofitting semiconductor chip performance analysis tools with full-chip thermal analysis capabilities
US7383520B2 (en) * 2004-08-05 2008-06-03 Gradient Design Automation Inc. Method and apparatus for optimizing thermal management system performance using full-chip thermal analysis of semiconductor chip designs

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090307646A1 (en) * 2008-06-06 2009-12-10 Winter Bradley J Systems, devices, and methods for semiconductor device temperature management
US8549462B2 (en) * 2011-08-23 2013-10-01 International Business Machines Corporation Thermal coupling determination and representation
US20130061196A1 (en) * 2011-09-07 2013-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Target-based dummy insertion for semiconductor devices
US8527918B2 (en) * 2011-09-07 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Target-based thermal design using dummy insertion for semiconductor devices
US20150179529A1 (en) * 2013-12-19 2015-06-25 Taiwan Semiconductor Manufacturing Company Limited Thermal analysis for tiered semiconductor structure
US9659115B2 (en) * 2013-12-19 2017-05-23 Taiwan Semiconductor Manufacturing Company Limited Thermal analysis for tiered semiconductor structure

Also Published As

Publication number Publication date
WO2007019531A2 (en) 2007-02-15
WO2007019531A3 (en) 2009-04-30
EP1920645A2 (en) 2008-05-14
US7383520B2 (en) 2008-06-03
US20080066022A1 (en) 2008-03-13
EP1920645A4 (en) 2010-01-27

Similar Documents

Publication Publication Date Title
US7383520B2 (en) Method and apparatus for optimizing thermal management system performance using full-chip thermal analysis of semiconductor chip designs
US7194711B2 (en) Method and apparatus for full-chip thermal analysis of semiconductor chip designs
US7203920B2 (en) Method and apparatus for retrofitting semiconductor chip performance analysis tools with full-chip thermal analysis capabilities
US8082137B2 (en) Method and apparatus for thermal modeling and analysis of semiconductor chip designs
Zhao et al. Decoupling capacitance allocation and its application to power-supply noise-aware floorplanning
Ajami et al. Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects
US7353471B1 (en) Method and apparatus for using full-chip thermal analysis of semiconductor chip designs to compute thermal conductance
US7823102B2 (en) Thermally aware design modification
US7191413B2 (en) Method and apparatus for thermal testing of semiconductor chip designs
US8881088B2 (en) Thermal analysis based circuit design
US20090224356A1 (en) Method and apparatus for thermally aware design improvement
Bashirullah et al. Current-mode signaling in deep submicrometer global interconnects
Tsai et al. Temperature-aware placement for SOCs
Yu et al. Simultaneous power and thermal integrity driven via stapling in 3D ICs
Liu et al. Layout-driven post-placement techniques for temperature reduction and thermal gradient minimization
US7458052B1 (en) Method and apparatus for normalizing thermal gradients over semiconductor chip designs
Zhan et al. Electrothermal analysis and optimization techniques for nanoscale integrated circuits
Winther et al. Thermal aware floorplanning incorporating temperature dependent wire delay estimation
Krishnan et al. TABS: temperature-aware layout-driven behavioral synthesis
US20220004688A1 (en) Systems And Methods For Circuit Design Dependent Programmable Maximum Junction Temperatures
Walkey et al. A simplified model for the effect of interfinger metal on maximum temperature rise in a multifinger bipolar transistor
Chiueh A thermal management design for system-on-chip circuits and advanced computer systems
Murgan et al. Simultaneous Placement and Buffer Planning for Reduction of Power Consumption in Interconnects and Repeaters
Bartram et al. A new approach to optimize IGBT-heatspreader-combinations allows" real-time" simulation of IGBT-chip-temperature
Deng et al. Floorplanning for 2.5-D Integration

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION