US20070072376A1 - Strained-induced mobility enhancement nano-device structure and integrated process architecture for CMOS technologies - Google Patents

Strained-induced mobility enhancement nano-device structure and integrated process architecture for CMOS technologies Download PDF

Info

Publication number
US20070072376A1
US20070072376A1 US11/244,955 US24495505A US2007072376A1 US 20070072376 A1 US20070072376 A1 US 20070072376A1 US 24495505 A US24495505 A US 24495505A US 2007072376 A1 US2007072376 A1 US 2007072376A1
Authority
US
United States
Prior art keywords
region
pmos
drain region
gate structure
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/244,955
Inventor
John Chen
Simon Yang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to US11/321,767 priority Critical patent/US7709336B2/en
Assigned to SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION reassignment SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: YANG, SIMON, CHEN, JOHN
Publication of US20070072376A1 publication Critical patent/US20070072376A1/en
Priority to US13/413,122 priority patent/US20120164803A1/en
Priority to US13/716,533 priority patent/US9048300B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Definitions

  • the present invention is directed to integrated circuits and their processing for the manufacture of semiconductor devices. More particularly, the invention provides a method and structures for manufacturing MOS devices using strained silicon structures for advanced CMOS integrated circuit devices. But it would be recognized that the invention has a much broader range of applicability.
  • Integrated circuits have evolved from a handful of interconnected devices fabricated on a single chip of silicon to millions of devices.
  • Conventional integrated circuits provide performance and complexity far beyond what was originally imagined.
  • the size of the smallest device feature also known as the device “geometry”, has become smaller with each generation of integrated circuits.
  • the invention provides a method and structures for manufacturing MOS devices using strained silicon structures for CMOS advanced integrated circuit devices. But it would be recognized that the invention has a much broader range of applicability.
  • the present invention provides a method for forming a CMOS semiconductor integrated circuit devices.
  • the method includes providing a semiconductor substrate, e.g., silicon wafer, silicon on insulator.
  • the method includes forming a dielectric layer (e.g., gate oxide or nitride) overlying the semiconductor substrate and forming a gate layer (e.g., polysilicon, metal) overlying the dielectric layer.
  • the method includes patterning the gate layer to form a gate structure including edges (e.g., a plurality of sides or edges) and forming a dielectric layer or multi-layers overlying the gate structure to protect the gate structure including the edges.
  • the dielectric layer has a thickness of less than 100 nanometers.
  • the method includes etching a source region and a drain region adjacent to the gate structure using the dielectric layer as a protective layer and depositing silicon germanium material into the source region and the drain region to fill the etched source region and the etched drain region.
  • the method causes a channel region between the source region and the drain region to be strained in compressive mode from at least the silicon germanium material formed in the source region and the drain region.
  • the invention provides a CMOS semiconductor integrated circuit device.
  • the CMOS device includes an NMOS device comprising a gate region, a source region, and a drain region and an NMOS channel region formed between the source region and drain region.
  • a silicon carbide material is formed within the source region and formed within the drain region. The silicon carbide material causes the channel region to be in a tensile mode.
  • the CMOS device also has a PMOS device comprising a gate region, a source region, and a drain region.
  • the PMOS device has a PMOS channel region formed between the source region and the drain region.
  • a silicon germanium material is formed within the source region and formed with in the drain region. The silicon germanium material causes the channel region to be in a compressive mode.
  • the present invention provides a method for forming a CMOS integrated circuit device.
  • the method includes providing a semiconductor substrate, e.g., silicon wafer, silicon on insulator.
  • the method includes forming a gate layer overlying the semiconductor substrate and patterning the gate layer to form an NMOS gate structure including edges and a PMOS gate structure including edges.
  • the method includes forming a dielectric layer overlying the NMOS gate structure to protect the NMOS gate structure including the edges and overlying the PMOS gate structure to protect the PMOS gate structure including the edges.
  • the method simultaneously etches a first source region and a first drain region adjacent to the NMOS gate structure and etches a second source region and a second drain region adjacent to the PMOS gate structure using the dielectric layer as a protective layer.
  • the method deposits silicon germanium material into the first source region and the first drain region to cause a channel region between the first source region and the first drain region of the PMOS gate structure to be strained in a compressive mode.
  • the method also deposits silicon carbide material into the second source region and second drain region to cause the channel region between the second source region and the second drain region of the NMOS gate structure to be strained in a tensile mode.
  • the present invention provides a PMOS integrated circuit device.
  • the device has a semiconductor substrate comprising a surface region and an isolation region formed within the semiconductor substrate.
  • a gate dielectric layer is formed overlying the surface region of the semiconductor substrate.
  • a PMOS gate layer is formed overlying a portion of the surface region.
  • the PMOS gate layer includes a first edge and a second edge.
  • the device has a first lightly doped region formed within a vicinity of the first edge and a second lightly doped region formed within a vicinity of the second edge.
  • the device also has a first sidewall spacer formed on the first edge and on a portion of the first lightly doped region and a second sidewall spacer formed on the second edge and on a portion of the second lightly doped region.
  • a first etched region of semiconductor substrate is formed adjacent to the first sidewall spacer and a second etched region of semiconductor substrate is formed adjacent to the second sidewall spacer.
  • the device has a first silicon germanium material formed within the first etched region to form a first source/drain region and a second silicon germanium material formed within the second etched region to form a second source/drain region.
  • a PMOS channel region is formed between the first silicon germanium material and the second silicon germanium layer.
  • the first silicon germanium material comprises a first surface that has a height above the surface region and the second silicon germanium material comprises a second surface that has a height above the surface region.
  • the PMOS channel region exhibits a strained characteristic in compressive mode according to a specific embodiment.
  • the present technique provides an easy to use process that relies upon conventional technology.
  • the method provides higher device yields in dies per wafer.
  • the method provides a process that is compatible with conventional process technology without substantial modifications to conventional equipment and processes.
  • the invention provides for an improved process integration for design rules of 90 nanometers and less.
  • the invention provides for increased mobility of holes using a strained silicon structure for CMOS devices. Depending upon the embodiment, one or more of these benefits may be achieved.
  • FIG. 1 is a simplified cross-sectional view diagram of a CMOS device according to an embodiment of the present invention.
  • FIG. 2 is a simplified flow diagram illustrating a method for fabricating a CMOS device according to an embodiment of the present invention.
  • FIGS. 3 through 6 are simplified cross-sectional view diagrams illustrating a method for fabricating a CMOS device according to an embodiment of the present invention.
  • FIG. 7 is a simplified cross-sectional view diagram of an alternative CMOS device according to an alternative embodiment of the present invention.
  • FIGS. 8-13 are simplified cross-sectional view diagrams illustrating an alternative method for fabricating a CMOS device according to an alternative embodiment of the present invention.
  • FIGS. 14-19 are simplified cross-sectional view diagrams illustrating yet an alternative method for fabricating a CMOS device according to an embodiment of the present invention.
  • the invention provides a method and structures for manufacturing MOS devices using strained silicon structures for CMOS advanced integrated circuit devices. But it would be recognized that the invention has a much broader range of applicability.
  • FIG. 1 is a simplified cross-sectional view diagram of a CMOS device 100 according to an embodiment of the present invention.
  • the CMOS device includes an NMOS device 107 comprising a gate region 109 , a source region 111 , a drain region 113 and an NMOS channel region 115 formed between the source region and drain region.
  • the channel region has width of less than 90 microns in a preferred embodiment.
  • a silicon carbide material is formed within the source region 111 and is formed within the drain region 113 . That is, the silicon carbide material is epitaxially grown within etched regions of the source and drain regions to form a multilayered structure.
  • the silicon carbide material is preferably doped using an N type impurity. In a specific embodiment, the impurity is phosphorous and has a concentration ranging from about 1 ⁇ 10 19 to about 1 ⁇ 10 20 atoms/cm 3 .
  • the silicon carbide material causes the channel region to be in a tensile mode.
  • the silicon carbide material has a lattice contact that is less than the lattice constant for single crystal silicon.
  • the lattice constant is smaller for silicon carbide, it causes the NMOS channel region to be in a tensile mode.
  • the channel region is longer than for single crystal silicon by about 0.7-0.8 percent in a specific embodiment.
  • the NMOS device is formed in a P-type well region.
  • the CMOS device also has a PMOS device 105 comprising a gate region 121 , a source region 123 , and a drain region 125 .
  • the PMOS device has a PMOS channel region 127 formed between the source region and the drain region.
  • the channel region has width of less than 90 microns in a preferred embodiment.
  • the PMOS device is also formed in N-type well regions.
  • the N-type well region is preferably doped using an N type impurity.
  • N type impurity there can be other variations, modifications, and alternatives.
  • a silicon germanium material is formed within the source region and formed with in the drain region. That is, the silicon germanium material is epitaxially grown within etched regions of the source and drain regions to form a multilayered structure.
  • the silicon germanium material is preferably doped using a P type impurity.
  • the impurity is boron and has a concentration ranging from about 1 ⁇ 10 19 to about 1 ⁇ 10 20 atoms/cm 3 .
  • the silicon germanium material causes the channel region to be in a compressive mode.
  • the silicon germanium material has a lattice contact that is larger than the lattice constant for single crystal silicon. Since the lattice constant is larger for silicon germanium, it tends to cause the PMOS channel region to be in a compressive mode.
  • the channel region is shorter than for single crystal silicon by about 0.7-0.8 percent in a specific embodiment.
  • the device has isolation regions 103 , which are formed between active transistor devices, such as the MOS devices.
  • the isolation regions are preferably made using shallow trench isolation techniques. Such techniques often use patterning, etching, and filling the trench with a dielectric material such as silicon dioxide or like material.
  • a dielectric material such as silicon dioxide or like material.
  • a method 200 for fabricating a CMOS integrated circuit device may be outlined as follows:
  • a semiconductor substrate e.g., silicon wafer, silicon on insulator
  • step 203 Form shallow trench isolation regions (step 203 );
  • step 205 Form a gate dielectric layer (step 205 ) overlying the surface of the substrate;
  • step 207 Form lightly doped drain regions and sidewall spacers (step 207 ) on edges of patterned gate layer;
  • step 209 Simultaneously etch a first source region and a first drain region adjacent to the NMOS gate structure and etch a second source region and a second drain region adjacent to the PMOS gate structure using the dielectric layer as a protective layer (step 209 );
  • step 211 Deposit silicon germanium material into the first source region and the first drain region to cause a channel region between the first source region and the first drain region of the PMOS gate structure to be strained in a compressive mode
  • step 215 Form silicide layer overlying gate layer and source/drain regions (step 215 );
  • step 217 Form interlayer dielectric layer overlying NMOS and PMOS transistor devices (step 217 );
  • the above sequence of steps provides a method according to an embodiment of the present invention. As shown, the method uses a combination of steps including a way of forming a CMOS integrated circuit device. Other alternatives can also be provided where steps are added, one or more steps are removed, or one or more steps are provided in a different sequence without departing from the scope of the claims herein. Further details of the present method can be found throughout the present specification and more particularly below.
  • FIGS. 3-6 are simplified diagrams illustrating a method for fabricating a CMOS device according to an embodiment of the present invention. These diagrams are merely examples, which should not unduly limit the scope of the claims herein. One of ordinary skill in the art would recognize many variations, alternatives, and modifications.
  • the method provides a semiconductor substrate 301 , e.g., silicon wafer, silicon on insulator.
  • the semiconductor substrate is single crystalline silicon.
  • the silicon has been oriented in the 100 direction on the face of the wafer.
  • the method forms isolation regions within the substrate.
  • the method forms a shallow trench isolation region or regions 303 within a portion of the semiconductor substrate.
  • the shallow trench isolation regions are formed using patterning, etching, and deposition of a dielectric fill material within the trench region.
  • the dielectric fill material is often oxide or a combination of oxide and nitride depending upon the specific embodiment.
  • the isolation regions are used to isolate active regions within the semiconductor substrate.
  • the method forms a gate dielectric layer 305 overlying the surface of the substrate.
  • the gate dielectric layer is oxide or silicon oxynitride depending upon the embodiment.
  • the gate dielectric layer is preferably 10-20 nanometers and less depending upon the specific embodiment.
  • the method forms a gate layer 307 overlying the semiconductor substrate.
  • the gate layer is preferably polysilicon that has been doped using either in-situ doping or ex-situ implantation techniques.
  • the impurity for doping is often boron, arsenic, or phosphrous having a concentration ranging from about 1 ⁇ 10 19 to about 1 ⁇ 10 20 atoms/cm 3 .
  • boron, arsenic, or phosphrous having a concentration ranging from about 1 ⁇ 10 19 to about 1 ⁇ 10 20 atoms/cm 3 .
  • the method patterns the gate layer to form an NMOS gate structure 401 including edges and patterns a PMOS gate structure 403 including edges.
  • the method forms lightly doped drain regions 405 407 and optionally sidewall spacers on edges of patterned gate layer. Depending upon the embodiment, there may also be no sidewall spacers.
  • the lightly doped drain regions are often formed using implantation techniques.
  • the lightly doped drain region uses Boron or BF 2 impurity having a concentration ranging from about 1 ⁇ 10 18 to about 1 ⁇ 10 19 atoms/cm 3 .
  • the lightly doped drain region uses arsenic impurity having a concentration ranging from about 1 ⁇ 10 18 to about 1 ⁇ 10 19 atoms/cm 3 .
  • the method forms a dielectric layer overlying the NMOS gate structure to protect the NMOS gate structure including the edges.
  • the method also forms a dielectric protective layer overlying the PMOS gate structure to protect the PMOS gate structure including the edges.
  • the dielectric protective layer is the same layer for PMOS and NMOS devices.
  • another suitable material can be used to protect the NMOS and PMOS gate structures, including lightly doped drain regions.
  • the method simultaneously etches a first source region and a first drain region adjacent to the NMOS gate structure 501 and etches a second source region and a second drain region adjacent to the PMOS gate structure 503 using the dielectric layer as a protective layer.
  • the method uses reactive ion etching techniques including a SF 6 or CF 4 bearing species and plasma environment.
  • the method performs a pre-treatment process on etched source/drain regions.
  • the each of the etched regions has a depth of ranging from about 100 Angstroms (A) to about
  • Each of the etched regions has a depth of ranging from about 100 A to about 1,000 A and a length of about 0.1 um to about 10 um, and a width of about 0.1 um to about 10 um for a 65 nanometer channel length according to an alternative specific embodiment.
  • the method masks NMOS regions, while exposing the PMOS etched regions.
  • the method deposits silicon germanium material into the first source region and the first drain region to cause a channel region between the first source region and the first drain region of the PMOS gate structure to be strained in a compressive mode.
  • the silicon germanium is epitaxially deposited using in-situ doping techniques. That is, impurities such as boron are introduced while the silicon germanium material grows.
  • a concentration ranges from about 1 ⁇ 10 19 to about 1 ⁇ 10 20 atoms/cm 3 of boron according to a specific embodiment.
  • the method strips the mask from NMOS regions.
  • the method masks PMOS regions, while exposing the NMOS etched regions.
  • the method deposits silicon carbide material into the second source region and second drain region to cause the NMOS channel region between the second source region and the second drain region of the NMOS gate structure to be strained in a tensile mode.
  • the silicon carbide is epitaxially deposited using in-situ doping techniques. That is, impurities such as phosphorous (P) or arsenic (As) are introduced while the silicon carbide material grows.
  • a concentration ranges from about 1 ⁇ 10 19 to about 1 ⁇ 10 20 atoms/cm 3 of the above impurities according to a specific embodiment.
  • P phosphorous
  • As arsenic
  • the method forms a silicide layer 601 overlying gate layer and source/drain regions.
  • the silicide layer is a nickel bearing layer such as nickel silicide overlying the exposed source/drain regions and upper surface of the patterned gate layer.
  • Other types of silicide layers can also be used.
  • Such silicide layers include titanium silicide, tungsten silicide, nickel silicide, and the like.
  • the method forms an interlayer dielectric layer overlying NMOS and PMOS transistor devices. The method then provides contact regions, CT. Other steps include performing a back end processes and other steps, as desired.
  • the above sequence of steps provides a method according to an embodiment of the present invention. As shown, the method uses a combination of steps including a way of forming a CMOS integrated circuit device. Other alternatives can also be provided where steps are added, one or more steps are removed, or one or more steps are provided in a different sequence without departing from the scope of the claims herein.
  • FIG. 7 is a simplified cross-sectional view diagram of an alternative CMOS device according to an alternative embodiment of the present invention.
  • the device is a PMOS integrated circuit device.
  • the device may also be NMOS or the like.
  • the device has a semiconductor substrate 701 (e.g., silicon, silicon on insulator) comprising a surface region and an isolation region 703 (e.g., trench isolation) formed within the semiconductor substrate.
  • a gate dielectric layer 705 is formed overlying the surface region of the semiconductor substrate.
  • a PMOS gate layer 707 is formed overlying a portion of the surface region.
  • the gate layer is preferably doped polysilicon that has been crystallized according to a specific embodiment. The doping is often an impurity such as boron having a concentration ranging from about 1 ⁇ 10 19 to about 1 ⁇ 10 20 depending upon the specific embodiment.
  • the PMOS gate layer includes a first edge 709 and a second edge 711 .
  • the device has a first lightly doped region 713 formed within a vicinity of the first edge and a second lightly doped region 715 formed within a vicinity of the second edge.
  • the device also has a first sidewall spacer 721 formed on the first edge and on a portion of the first lightly doped region and a second sidewall spacer 723 formed on the second edge and on a portion of the second lightly doped region.
  • a first etched region of semiconductor substrate is formed adjacent to the first sidewall spacer and a second etched region of semiconductor substrate is formed adjacent to the second sidewall spacer.
  • the device has a first silicon germanium material 717 formed within the first etched region 716 to form a first source/drain region and a second silicon germanium 719 material formed within the second etched region 718 to form a second source/drain region.
  • the silicon germanium layer has been grown using an epitaxial process.
  • the silicon germanium is also doped using an impurity such as boron having a concentration ranging from about 1 ⁇ 10 19 to about 1 ⁇ 10 20 depending upon the specific embodiment.
  • a PMOS channel region 720 is formed between the first silicon germanium material and the second silicon germanium layer.
  • the first silicon germanium material comprises a first surface 725 that has a height above the surface region and the second silicon germanium material comprises a second surface 727 that has a height above the surface region.
  • the device has a silicide layer overlying gate layer and source/drain regions.
  • the silicide layer is a nickel bearing layer such as nickel silicide overlying the exposed source/drain regions and upper surface of the patterned gate layer, as shown.
  • the present device can be found throughout the present specification and more particularly below.
  • a semiconductor substrate e.g., silicon wafer, silicon on insulator
  • a dielectric layer e.g., gate oxide or nitride
  • edges e.g., a plurality of sides or edges
  • the above sequence of steps provides a method according to an embodiment of the present invention. As shown, the method uses a combination of steps including a way of forming a CMOS integrated circuit device. Other alternatives can also be provided where steps are added, one or more steps are removed, or one or more steps are provided in a different sequence without departing from the scope of the claims herein.
  • FIGS. 8-13 are simplified cross-sectional view diagrams illustrating an alternative method for fabricating a CMOS device according to an alternative embodiment of the present invention. These diagrams are merely examples, which should not unduly limit the scope of the claims herein. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.
  • a method illustrating an alternative method for fabricating a CMOS device according to an alternative embodiment of the present invention is briefly outlined below.
  • trench region (shallow trench isolation) 805 including PMOS 801 and NMOS 803 devices thereon (see, FIG. 8 );
  • Form silicide material 1201 e.g., nickel, platinum, titanium overlying portions of the NMOS and PMOS gate regions, as illustrated by FIG. 12 ;
  • the above sequence of steps provides a method according to an embodiment of the present invention. As shown, the method uses a combination of steps including a way of forming a CMOS integrated circuit device. Other alternatives can also be provided where steps are added, one or more steps are removed, or one or more steps are provided in a different sequence without departing from the scope of the claims herein.
  • FIGS. 14-19 are simplified cross-sectional view diagrams illustrating yet an alternative method for fabricating a CMOS device according to an embodiment of the present invention. These diagrams are merely examples, which should not unduly limit the scope of the claims herein. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.
  • a method illustrating an alternative method for fabricating a CMOS device according to an alternative embodiment of the present invention is briefly outlined below.
  • trench region shallow trench isolation
  • PMOS and NMOS devices thereon see, FIG. 14 ;
  • form silicide material e.g., nickel, platinum, titanium
  • form silicide material overlying portions of the NMOS and PMOS gate regions, as illustrated by FIG. 18 ;
  • the above sequence of steps provides a method according to an embodiment of the present invention. As shown, the method uses a combination of steps including a way of forming a CMOS integrated circuit device. Other alternatives can also be provided where steps are added, one or more steps are removed, or one or more steps are provided in a different sequence without departing from the scope of the claims herein.
  • nigh tensile or high compressive stress silicon nitride material there can be various recipes for forming nigh tensile or high compressive stress silicon nitride material depending upon the application.
  • Table 1 lists certain recipes for high tensile (HT) and high compressive (HC) silicon nitride.

Abstract

A CMOS semiconductor integrated circuit device. The CMOS device includes an NMOS device comprising a gate region, a source region, and a drain region and an NMOS channel region formed between the source region and drain region. A silicon carbide material is formed within the source region and formed within the drain region. The silicon carbide material causes the channel region to be in a tensile mode. The CMOS device also has a PMOS device comprising a gate region, a source region, and a drain region. The PMOS device has a PMOS channel region formed between the source region and the drain region. A silicon germanium material is formed within the source region and formed with in the drain region. The silicon germanium material causes the channel region to be in a compressive mode.

Description

    BACKGROUND OF THE INVENTION
  • The present invention is directed to integrated circuits and their processing for the manufacture of semiconductor devices. More particularly, the invention provides a method and structures for manufacturing MOS devices using strained silicon structures for advanced CMOS integrated circuit devices. But it would be recognized that the invention has a much broader range of applicability.
  • Integrated circuits have evolved from a handful of interconnected devices fabricated on a single chip of silicon to millions of devices. Conventional integrated circuits provide performance and complexity far beyond what was originally imagined. In order to achieve improvements in complexity and circuit density (i.e., the number of devices capable of being packed onto a given chip area), the size of the smallest device feature, also known as the device “geometry”, has become smaller with each generation of integrated circuits.
  • Increasing circuit density has not only improved the complexity and performance of integrated circuits but has also provided lower cost parts to the consumer. An integrated circuit or chip fabrication facility can cost hundreds of millions, or even billions, of U.S. dollars. Each fabrication facility will have a certain throughput of wafers, and each wafer will have a certain number of integrated circuits on it. Therefore, by making the individual devices of an integrated circuit smaller, more devices may be fabricated on each wafer, thus increasing the output of the fabrication facility. Making devices smaller is very challenging, as each process used in integrated fabrication has a limit. That is to say, a given process typically only works down to a certain feature size, and then either the process or the device layout needs to be changed. Additionally, as devices require faster and faster designs, process limitations exist with certain conventional processes and materials.
  • An example of such a process is the manufacture of MOS devices themselves. Such device has traditionally became smaller and smaller and produced faster switching speeds. Although there have been significant improvements, such devices still have many limitations. As merely an example, these devices must become smaller and smaller but still provide clear signals for switching, which become more difficult as the device becomes smaller. That is, switching power becomes about the same order of magnitude as undesirable noise influences. Additionally, these devices are often difficult to manufacture and generally require complex manufacturing processes and structures. These and other limitations will be described in further detail throughout the present specification and more particularly below.
  • From the above, it is seen that an improved technique for processing semiconductor devices is desired.
  • BRIEF SUMMARY OF THE INVENTION
  • According to the present invention, techniques for processing integrated circuits for the manufacture of semiconductor devices are provided. More particularly, the invention provides a method and structures for manufacturing MOS devices using strained silicon structures for CMOS advanced integrated circuit devices. But it would be recognized that the invention has a much broader range of applicability.
  • In a specific embodiment, the present invention provides a method for forming a CMOS semiconductor integrated circuit devices. The method includes providing a semiconductor substrate, e.g., silicon wafer, silicon on insulator. The method includes forming a dielectric layer (e.g., gate oxide or nitride) overlying the semiconductor substrate and forming a gate layer (e.g., polysilicon, metal) overlying the dielectric layer. The method includes patterning the gate layer to form a gate structure including edges (e.g., a plurality of sides or edges) and forming a dielectric layer or multi-layers overlying the gate structure to protect the gate structure including the edges. The dielectric layer has a thickness of less than 100 nanometers. The method includes etching a source region and a drain region adjacent to the gate structure using the dielectric layer as a protective layer and depositing silicon germanium material into the source region and the drain region to fill the etched source region and the etched drain region. Preferably, the method causes a channel region between the source region and the drain region to be strained in compressive mode from at least the silicon germanium material formed in the source region and the drain region.
  • In an alternative specific embodiment, the invention provides a CMOS semiconductor integrated circuit device. The CMOS device includes an NMOS device comprising a gate region, a source region, and a drain region and an NMOS channel region formed between the source region and drain region. A silicon carbide material is formed within the source region and formed within the drain region. The silicon carbide material causes the channel region to be in a tensile mode. The CMOS device also has a PMOS device comprising a gate region, a source region, and a drain region. The PMOS device has a PMOS channel region formed between the source region and the drain region. A silicon germanium material is formed within the source region and formed with in the drain region. The silicon germanium material causes the channel region to be in a compressive mode.
  • In yet an alternative specific embodiment, the present invention provides a method for forming a CMOS integrated circuit device. The method includes providing a semiconductor substrate, e.g., silicon wafer, silicon on insulator. The method includes forming a gate layer overlying the semiconductor substrate and patterning the gate layer to form an NMOS gate structure including edges and a PMOS gate structure including edges. The method includes forming a dielectric layer overlying the NMOS gate structure to protect the NMOS gate structure including the edges and overlying the PMOS gate structure to protect the PMOS gate structure including the edges. Preferably, the method simultaneously etches a first source region and a first drain region adjacent to the NMOS gate structure and etches a second source region and a second drain region adjacent to the PMOS gate structure using the dielectric layer as a protective layer. The method deposits silicon germanium material into the first source region and the first drain region to cause a channel region between the first source region and the first drain region of the PMOS gate structure to be strained in a compressive mode. The method also deposits silicon carbide material into the second source region and second drain region to cause the channel region between the second source region and the second drain region of the NMOS gate structure to be strained in a tensile mode.
  • In yet an alternative specific embodiment, the present invention provides a PMOS integrated circuit device. The device has a semiconductor substrate comprising a surface region and an isolation region formed within the semiconductor substrate. A gate dielectric layer is formed overlying the surface region of the semiconductor substrate. A PMOS gate layer is formed overlying a portion of the surface region. The PMOS gate layer includes a first edge and a second edge. The device has a first lightly doped region formed within a vicinity of the first edge and a second lightly doped region formed within a vicinity of the second edge. The device also has a first sidewall spacer formed on the first edge and on a portion of the first lightly doped region and a second sidewall spacer formed on the second edge and on a portion of the second lightly doped region. A first etched region of semiconductor substrate is formed adjacent to the first sidewall spacer and a second etched region of semiconductor substrate is formed adjacent to the second sidewall spacer. The device has a first silicon germanium material formed within the first etched region to form a first source/drain region and a second silicon germanium material formed within the second etched region to form a second source/drain region. A PMOS channel region is formed between the first silicon germanium material and the second silicon germanium layer. Preferably, the first silicon germanium material comprises a first surface that has a height above the surface region and the second silicon germanium material comprises a second surface that has a height above the surface region. Preferably, the PMOS channel region exhibits a strained characteristic in compressive mode according to a specific embodiment.
  • Many benefits are achieved by way of the present invention over conventional techniques. For example, the present technique provides an easy to use process that relies upon conventional technology. In some embodiments, the method provides higher device yields in dies per wafer. Additionally, the method provides a process that is compatible with conventional process technology without substantial modifications to conventional equipment and processes. Preferably, the invention provides for an improved process integration for design rules of 90 nanometers and less. Additionally, the invention provides for increased mobility of holes using a strained silicon structure for CMOS devices. Depending upon the embodiment, one or more of these benefits may be achieved. These and other benefits will be described in more throughout the present specification and more particularly below.
  • Various additional objects, features and advantages of the present invention can be more fully appreciated with reference to the detailed description and accompanying drawings that follow.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a simplified cross-sectional view diagram of a CMOS device according to an embodiment of the present invention.
  • FIG. 2 is a simplified flow diagram illustrating a method for fabricating a CMOS device according to an embodiment of the present invention.
  • FIGS. 3 through 6 are simplified cross-sectional view diagrams illustrating a method for fabricating a CMOS device according to an embodiment of the present invention.
  • FIG. 7 is a simplified cross-sectional view diagram of an alternative CMOS device according to an alternative embodiment of the present invention.
  • FIGS. 8-13 are simplified cross-sectional view diagrams illustrating an alternative method for fabricating a CMOS device according to an alternative embodiment of the present invention.
  • FIGS. 14-19 are simplified cross-sectional view diagrams illustrating yet an alternative method for fabricating a CMOS device according to an embodiment of the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • According to the present invention, techniques for processing integrated circuits for the manufacture of semiconductor devices are provided. More particularly, the invention provides a method and structures for manufacturing MOS devices using strained silicon structures for CMOS advanced integrated circuit devices. But it would be recognized that the invention has a much broader range of applicability.
  • FIG. 1 is a simplified cross-sectional view diagram of a CMOS device 100 according to an embodiment of the present invention. This diagram is merely an example, which should not unduly limit the scope of the claims herein. One of ordinary skill in the art would recognize many variations, alternatives, and modifications. As shown, the CMOS device includes an NMOS device 107 comprising a gate region 109, a source region 111, a drain region 113 and an NMOS channel region 115 formed between the source region and drain region. Preferably, the channel region has width of less than 90 microns in a preferred embodiment. Of course, there can be other variations, modifications, and alternatives.
  • A silicon carbide material is formed within the source region 111 and is formed within the drain region 113. That is, the silicon carbide material is epitaxially grown within etched regions of the source and drain regions to form a multilayered structure. The silicon carbide material is preferably doped using an N type impurity. In a specific embodiment, the impurity is phosphorous and has a concentration ranging from about 1×1019 to about 1×1020 atoms/cm3. The silicon carbide material causes the channel region to be in a tensile mode. The silicon carbide material has a lattice contact that is less than the lattice constant for single crystal silicon. Since the lattice constant is smaller for silicon carbide, it causes the NMOS channel region to be in a tensile mode. The channel region is longer than for single crystal silicon by about 0.7-0.8 percent in a specific embodiment. The NMOS device is formed in a P-type well region. Of course, there can be other variations, modifications, and alternatives.
  • The CMOS device also has a PMOS device 105 comprising a gate region 121, a source region 123, and a drain region 125. The PMOS device has a PMOS channel region 127 formed between the source region and the drain region. Preferably, the channel region has width of less than 90 microns in a preferred embodiment. The PMOS device is also formed in N-type well regions. The N-type well region is preferably doped using an N type impurity. Of course, there can be other variations, modifications, and alternatives.
  • A silicon germanium material is formed within the source region and formed with in the drain region. That is, the silicon germanium material is epitaxially grown within etched regions of the source and drain regions to form a multilayered structure. The silicon germanium material is preferably doped using a P type impurity. In a specific embodiment, the impurity is boron and has a concentration ranging from about 1×1019 to about 1×1020 atoms/cm3. The silicon germanium material causes the channel region to be in a compressive mode. The silicon germanium material has a lattice contact that is larger than the lattice constant for single crystal silicon. Since the lattice constant is larger for silicon germanium, it tends to cause the PMOS channel region to be in a compressive mode. The channel region is shorter than for single crystal silicon by about 0.7-0.8 percent in a specific embodiment.
  • As further shown, the device has isolation regions 103, which are formed between active transistor devices, such as the MOS devices. The isolation regions are preferably made using shallow trench isolation techniques. Such techniques often use patterning, etching, and filling the trench with a dielectric material such as silicon dioxide or like material. Of course, one of ordinary skill in the art would recognize other variations, modifications, and alternatives. Further details of a method for fabricating the CMOS device can be found throughout the present specification and more particularly below.
  • Referring to FIG. 2 a method 200 for fabricating a CMOS integrated circuit device according to an embodiment of the present invention may be outlined as follows:
  • 1. Provide a semiconductor substrate (step 201), e.g., silicon wafer, silicon on insulator;
  • 2. Form shallow trench isolation regions (step 203);
  • 3. Form a gate dielectric layer (step 205) overlying the surface of the substrate;
  • 4. Form a gate layer overlying the semiconductor substrate;
  • 5. Pattern the gate layer to form an NMOS gate structure including edges and pattern a PMOS gate structure including edges;
  • 6. Form lightly doped drain regions and sidewall spacers (step 207) on edges of patterned gate layer;
  • 7. Form a dielectric layer overlying the NMOS gate structure to protect the NMOS gate structure including the edges and overlying the PMOS gate structure to protect the PMOS gate structure including the edges;
  • 8. Simultaneously etch a first source region and a first drain region adjacent to the NMOS gate structure and etch a second source region and a second drain region adjacent to the PMOS gate structure using the dielectric layer as a protective layer (step 209);
  • 9. Pretreat etched source/drain regions;
  • 10. Mask NMOS regions;
  • 11. Deposit silicon germanium material into the first source region and the first drain region to cause a channel region between the first source region and the first drain region of the PMOS gate structure to be strained in a compressive mode (step 211);
  • 12. Strip Mask from NMOS regions;
  • 13. Mask PMOS regions;
  • 14. Deposit silicon carbide material into the second source region and second drain region to cause the channel region between the second source region and the second drain region of the NMOS gate structure to be strained in a tensile mode (step 213);
  • 15. Form silicide layer overlying gate layer and source/drain regions (step 215);
  • 16. Form interlayer dielectric layer overlying NMOS and PMOS transistor devices (step 217);
  • 17. Form contacts (step 219);
  • 18. Perform back end processes (step 221); and
  • 19. Perform other steps, as desired.
  • The above sequence of steps provides a method according to an embodiment of the present invention. As shown, the method uses a combination of steps including a way of forming a CMOS integrated circuit device. Other alternatives can also be provided where steps are added, one or more steps are removed, or one or more steps are provided in a different sequence without departing from the scope of the claims herein. Further details of the present method can be found throughout the present specification and more particularly below.
  • FIGS. 3-6 are simplified diagrams illustrating a method for fabricating a CMOS device according to an embodiment of the present invention. These diagrams are merely examples, which should not unduly limit the scope of the claims herein. One of ordinary skill in the art would recognize many variations, alternatives, and modifications. As shown, the method provides a semiconductor substrate 301, e.g., silicon wafer, silicon on insulator. The semiconductor substrate is single crystalline silicon. The silicon has been oriented in the 100 direction on the face of the wafer. Of course, there can be other variations, modifications, and alternatives. Preferably, the method forms isolation regions within the substrate. In a specific embodiment, the method forms a shallow trench isolation region or regions 303 within a portion of the semiconductor substrate. The shallow trench isolation regions are formed using patterning, etching, and deposition of a dielectric fill material within the trench region. The dielectric fill material is often oxide or a combination of oxide and nitride depending upon the specific embodiment. The isolation regions are used to isolate active regions within the semiconductor substrate.
  • The method forms a gate dielectric layer 305 overlying the surface of the substrate. Preferably, the gate dielectric layer is oxide or silicon oxynitride depending upon the embodiment. The gate dielectric layer is preferably 10-20 nanometers and less depending upon the specific embodiment. The method forms a gate layer 307 overlying the semiconductor substrate. The gate layer is preferably polysilicon that has been doped using either in-situ doping or ex-situ implantation techniques. The impurity for doping is often boron, arsenic, or phosphrous having a concentration ranging from about 1×1019 to about 1×1020 atoms/cm3. Of course, one of ordinary skill in the art would recognize many variations, modifications, and alternatives.
  • Referring to FIG. 4, the method patterns the gate layer to form an NMOS gate structure 401 including edges and patterns a PMOS gate structure 403 including edges. The method forms lightly doped drain regions 405 407 and optionally sidewall spacers on edges of patterned gate layer. Depending upon the embodiment, there may also be no sidewall spacers. The lightly doped drain regions are often formed using implantation techniques. For the PMOS device, the lightly doped drain region uses Boron or BF2 impurity having a concentration ranging from about 1×1018 to about 1×1019 atoms/cm3. For the NMOS device, the lightly doped drain region uses arsenic impurity having a concentration ranging from about 1×1018 to about 1×1019 atoms/cm3. The method forms a dielectric layer overlying the NMOS gate structure to protect the NMOS gate structure including the edges. The method also forms a dielectric protective layer overlying the PMOS gate structure to protect the PMOS gate structure including the edges. Preferably, the dielectric protective layer is the same layer for PMOS and NMOS devices. Alternatively, another suitable material can be used to protect the NMOS and PMOS gate structures, including lightly doped drain regions.
  • Referring to FIG. 5, the method simultaneously etches a first source region and a first drain region adjacent to the NMOS gate structure 501 and etches a second source region and a second drain region adjacent to the PMOS gate structure 503 using the dielectric layer as a protective layer. The method uses reactive ion etching techniques including a SF6 or CF4 bearing species and plasma environment. In a preferred embodiment, the method performs a pre-treatment process on etched source/drain regions. According to a specific embodiment, the each of the etched regions has a depth of ranging from about 100 Angstroms (A) to about
  • A and a length of about 0.1 um to about 10 um, and a width of about 0.1 um to about 10 um for a 90 nanometer channel length. Each of the etched regions has a depth of ranging from about 100 A to about 1,000 A and a length of about 0.1 um to about 10 um, and a width of about 0.1 um to about 10 um for a 65 nanometer channel length according to an alternative specific embodiment.
  • The method masks NMOS regions, while exposing the PMOS etched regions. The method deposits silicon germanium material into the first source region and the first drain region to cause a channel region between the first source region and the first drain region of the PMOS gate structure to be strained in a compressive mode. The silicon germanium is epitaxially deposited using in-situ doping techniques. That is, impurities such as boron are introduced while the silicon germanium material grows. A concentration ranges from about 1×1019 to about 1×1020 atoms/cm3 of boron according to a specific embodiment. Of course, there can be other variations, modifications, and alternatives.
  • The method strips the mask from NMOS regions. The method masks PMOS regions, while exposing the NMOS etched regions. The method deposits silicon carbide material into the second source region and second drain region to cause the NMOS channel region between the second source region and the second drain region of the NMOS gate structure to be strained in a tensile mode. The silicon carbide is epitaxially deposited using in-situ doping techniques. That is, impurities such as phosphorous (P) or arsenic (As) are introduced while the silicon carbide material grows. A concentration ranges from about 1×1019 to about 1×1020 atoms/cm3 of the above impurities according to a specific embodiment. Of course, there can be other variations, modifications, and alternatives.
  • To finish the device according to an embodiment of the present invention, the method forms a silicide layer 601 overlying gate layer and source/drain regions. Preferably, the silicide layer is a nickel bearing layer such as nickel silicide overlying the exposed source/drain regions and upper surface of the patterned gate layer. Other types of silicide layers can also be used. Such silicide layers include titanium silicide, tungsten silicide, nickel silicide, and the like. The method forms an interlayer dielectric layer overlying NMOS and PMOS transistor devices. The method then provides contact regions, CT. Other steps include performing a back end processes and other steps, as desired.
  • The above sequence of steps provides a method according to an embodiment of the present invention. As shown, the method uses a combination of steps including a way of forming a CMOS integrated circuit device. Other alternatives can also be provided where steps are added, one or more steps are removed, or one or more steps are provided in a different sequence without departing from the scope of the claims herein.
  • FIG. 7 is a simplified cross-sectional view diagram of an alternative CMOS device according to an alternative embodiment of the present invention. This diagram is merely an example, which should not unduly limit the scope of the claims herein. One of ordinary skill in the art would recognize many variations, alternatives, and modifications. As shown, the device is a PMOS integrated circuit device. Alternatively, the device may also be NMOS or the like. The device has a semiconductor substrate 701 (e.g., silicon, silicon on insulator) comprising a surface region and an isolation region 703 (e.g., trench isolation) formed within the semiconductor substrate. A gate dielectric layer 705 is formed overlying the surface region of the semiconductor substrate. A PMOS gate layer 707 is formed overlying a portion of the surface region. The gate layer is preferably doped polysilicon that has been crystallized according to a specific embodiment. The doping is often an impurity such as boron having a concentration ranging from about 1×1019 to about 1×1020 depending upon the specific embodiment.
  • The PMOS gate layer includes a first edge 709 and a second edge 711. The device has a first lightly doped region 713 formed within a vicinity of the first edge and a second lightly doped region 715 formed within a vicinity of the second edge. The device also has a first sidewall spacer 721 formed on the first edge and on a portion of the first lightly doped region and a second sidewall spacer 723 formed on the second edge and on a portion of the second lightly doped region. A first etched region of semiconductor substrate is formed adjacent to the first sidewall spacer and a second etched region of semiconductor substrate is formed adjacent to the second sidewall spacer. The device has a first silicon germanium material 717 formed within the first etched region 716 to form a first source/drain region and a second silicon germanium 719 material formed within the second etched region 718 to form a second source/drain region. The silicon germanium layer has been grown using an epitaxial process. The silicon germanium is also doped using an impurity such as boron having a concentration ranging from about 1×1019 to about 1×1020 depending upon the specific embodiment.
  • A PMOS channel region 720 is formed between the first silicon germanium material and the second silicon germanium layer. Preferably, the first silicon germanium material comprises a first surface 725 that has a height above the surface region and the second silicon germanium material comprises a second surface 727 that has a height above the surface region. The device has a silicide layer overlying gate layer and source/drain regions. Preferably, the silicide layer is a nickel bearing layer such as nickel silicide overlying the exposed source/drain regions and upper surface of the patterned gate layer, as shown. Of course, there can be other variations, modifications, and alternatives. Further details of the present device can be found throughout the present specification and more particularly below.
  • A method for fabricating a CMOS integrated circuit device according to an embodiment of the present invention may be outlined as follows:
  • 1. Provide a semiconductor substrate, e.g., silicon wafer, silicon on insulator;
  • 2. Form a dielectric layer (e.g., gate oxide or nitride) overlying the semiconductor substrate;
  • 3. Form a gate layer (e.g., polysilicon, metal) overlying the dielectric layer;
  • 4. Pattern the gate layer to form a gate structure including edges (e.g., a plurality of sides or edges);
  • 5. Form a dielectric layer or multi-layers overlying the gate structure to protect the gate structure including the edges, wherein the dielectric layer being less than 1000 A;
  • 6. Etch a source region and a drain region adjacent to the gate structure using the dielectric layer as a protective layer;
  • 7. Deposit silicon germanium material into the source region and the drain region to fill the etched source region and the etched drain region;
  • 8. Cause a channel region between the source region and the drain region to be strained in compressive mode from at least the silicon germanium material formed in the source region and the drain region, wherein the channel region is about the same width as the patterned gate layer;
  • 9. Form sidewall spacers overlying the patterned gate layer; and
  • 10. Perform other steps, as desired.
  • The above sequence of steps provides a method according to an embodiment of the present invention. As shown, the method uses a combination of steps including a way of forming a CMOS integrated circuit device. Other alternatives can also be provided where steps are added, one or more steps are removed, or one or more steps are provided in a different sequence without departing from the scope of the claims herein.
  • FIGS. 8-13 are simplified cross-sectional view diagrams illustrating an alternative method for fabricating a CMOS device according to an alternative embodiment of the present invention. These diagrams are merely examples, which should not unduly limit the scope of the claims herein. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.
  • A method illustrating an alternative method for fabricating a CMOS device according to an alternative embodiment of the present invention is briefly outlined below.
  • 1. Provide semiconductor substrate including trench region (shallow trench isolation) 805, including PMOS 801 and NMOS 803 devices thereon (see, FIG. 8);
  • 2. Form overlying oxide layer 807 and overlying silicon nitride layer 809;
  • 3. Form masking layer 811 overlying NMOS devices;
  • 4. Form spacer regions 901 on PMOS devices using masking layer 811 to protect NMOS devices, as illustrated by FIG. 9;
  • 5. Form etched source/drain regions 903 for PMOS devices using the masking layer to product the NMOS devices;
  • 6. Remove masking layer, as illustrated by FIG. 10;
  • 7. Deposit silicon germanium fill material 1101 into the etched source/drain region, as illustrated by FIG. 10, to cause strain region within the channel region of the PMOS devices;
  • 8. Form spacer regions 1105 for NMOS devices, as illustrated by FIG. 11;
  • 9. Form silicide material 1201 (e.g., nickel, platinum, titanium) overlying portions of the NMOS and PMOS gate regions, as illustrated by FIG. 12;
  • 10. Form silicon nitride layer 1203 overlying surface regions of the spacer regions, NMOS, and PMOS devices;
  • 11. Cause strain region within NMOS devices using the silicon nitride layer, as illustrated by FIG. 12;
  • 12. Form interlayer dielectric layer or layers overlying the NMOS and PMOS devices;
  • 13. Form contact regions 1301 to source/drain regions of each of the NMOS and PMOS devices; and
  • 14. Perform other steps, as desired.
  • The above sequence of steps provides a method according to an embodiment of the present invention. As shown, the method uses a combination of steps including a way of forming a CMOS integrated circuit device. Other alternatives can also be provided where steps are added, one or more steps are removed, or one or more steps are provided in a different sequence without departing from the scope of the claims herein.
  • FIGS. 14-19 are simplified cross-sectional view diagrams illustrating yet an alternative method for fabricating a CMOS device according to an embodiment of the present invention. These diagrams are merely examples, which should not unduly limit the scope of the claims herein. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.
  • A method illustrating an alternative method for fabricating a CMOS device according to an alternative embodiment of the present invention is briefly outlined below.
  • 1. Provide semiconductor substrate including trench region (shallow trench isolation), including PMOS and NMOS devices thereon (see, FIG. 14);
  • 2. Form overlying oxide layer and overlying silicon nitride layer, as also shown in FIG. 14;
  • 3. Form masking layer 1511 overlying NMOS devices;
  • 4. Form spacer regions 1501 on PMOS devices using masking layer 1511 to protect NMOS devices, as illustrated by FIG. 15;
  • 5. Form etched source/drain regions for PMOS devices using the masking layer to product the NMOS devices;
  • 6. Remove masking layer;
  • 7. Deposit silicon germanium fill material 1503 into the etched source/drain region, as illustrated by FIG. 15, to cause strain region within the channel region of the PMOS devices;
  • 8. Form silicon nitride layer overlying the NMOS and PMOS devices, including a portion of the silicon nitride layer and the oxide layer overlying the NMOS devices, as illustrated by FIG. 16;
  • 9. Form mask 1701 overlying PMOS devices, as illustrated by FIG. 17;
  • 10. Form spacer regions 1703 for NMOS devices, as illustrated by FIG. 17;
  • 11. Form etched source/drain regions 1705 for NMOS devices;
  • 12. Form silicon carbide fill material 1801 within the etched source/drain regions to cause strain region (e.g., tensile) within the channel region of the NMOS devices;
  • 13. Optionally, form silicide material (e.g., nickel, platinum, titanium) overlying portions of the NMOS and PMOS gate regions, as illustrated by FIG. 18;
  • 14. Form silicon nitride layer 1901 overlying surface regions of the spacer regions, NMOS, and PMOS devices, as illustrated by FIG. 19;
  • 15. Cause additional strain (e.g., tensile) to the strain region within NMOS devices using the silicon nitride layer;
  • 16. Form interlayer dielectric layer or layers overlying the NMOS and PMOS devices;
  • 17. Form contact regions to source/drain regions of each of the NMOS and PMOS devices; and
  • 18. Perform other steps, as desired.
  • The above sequence of steps provides a method according to an embodiment of the present invention. As shown, the method uses a combination of steps including a way of forming a CMOS integrated circuit device. Other alternatives can also be provided where steps are added, one or more steps are removed, or one or more steps are provided in a different sequence without departing from the scope of the claims herein.
  • Depending upon the embodiment, there can be various recipes for forming nigh tensile or high compressive stress silicon nitride material depending upon the application. As merely an example, Table 1 lists certain recipes for high tensile (HT) and high compressive (HC) silicon nitride.
    DEP CONDITIONS FOR HIGH TENSILE
    AND HIGH COMPRESSIVE STRESS SIN
    Parameters HT SIN HC SIN
    Max Time/s 100 67
    Servo/Torr 6 6
    HF RF Pwr/W 40 450
    SiH4-Lo/sccm 30 30
    NH3/sccm 80 80
    N2/sccm 9000 9000
    Htr ½(wafer˜)/T 400 400
    Lift Pos/mils 480 480
    Stress/THK = 2K 948 −1483
  • Of course, one of ordinary skill in the art would recognize various modifications, alternatives, and variations.
  • It is also understood that the examples and embodiments described herein are for illustrative purposes only and that various modifications or changes in light thereof will be suggested to persons skilled in the art and are to be included within the spirit and purview of this application and scope of the appended claims.

Claims (36)

1. A method for forming a CMOS semiconductor integrated circuit device comprising:
providing a semiconductor substrate
forming a dielectric layer overlying the semiconductor substrate;
forming a gate layer overlying the dielectric layer;
patterning the gate layer to form a gate structure including edges;
forming a dielectric layer overlying the gate structure to protect the gate structure including the edges, the dielectric layer having a thickness of less than 1000 A nanometers;
patterning the dielectric layer overlying the gate structure;
etching a source region and a drain region adjacent to the gate structure using the patterned dielectric layer as a protective layer;
depositing silicon germanium material into the source region and the drain region to fill the etched source region and the etched drain region; and
causing a channel region between the source region and the drain region to be strained in compressive mode from at least the silicon germanium material formed in the source region and the drain region.
2. The method of claim 1 wherein the dielectric layer is less than 300 Angstroms.
3. The method of claim 1 wherein the effective channel region has a length of a width of the gate structure.
4. The method of claim 1 wherein the semiconductor substrate is essential silicon material.
5. The method of claim 1 wherein the silicon germanium material is single crystalline.
6. The method of claim 1 wherein the silicon germanium has a ratio of silicon/germanium of 10% to 20%.
7. The method of claim 1 further comprising forming a spacer layer overlying the semiconductor substrate including silicon germanium, gate structure, and edges.
8. The method of claim 7 further comprising anisotropic etching the spacer layer to form sidewall spacers on edges of the gate layer.
9. The method of claim 1 wherein the depositing is provided using an epitaxial reactor.
10. The method of claim 1 wherein the compressive mode increases a mobility of holes in the channel region.
11. A CMOS semiconductor integrated circuit device, the device comprising:
an NMOS device comprising a gate region, a source region, and a drain region;
an NMOS channel region formed between the source region and drain region;
a silicon carbide material formed within the source region and formed within the drain region;
whereupon the silicon carbide material causes the channel region to be in a tensile mode; and
a PMOS device comprising a gate region, a source region, and a drain region;
a PMOS channel region formed between the source region and the drain region;
a silicon germanium formed within the source region and formed with in the drain region; and
whereupon the silicon germanium material causes the channel region to be in a compressive mode.
12. The device of claim 11 wherein the semiconductor substrate is essentially silicon material.
13. The device of claim 11 wherein the NMOS channel region has a length of less than 90 nanometers.
14. The device of claim 11 wherein the PMOS channel region has a length of less than 90 nanometers.
15. The device of claim 11 wherein the silicon carbide material is single crystal material.
16. The device of claim II wherein the silicon germanium material is single crystal material.
17. The device of claim 11 wherein the NMOS channel region has a length that. is substantially equal to a width of the gate region of the NMOS device.
18. The device of claim 17 wherein the length is exactly equal to the width of the gate region.
19. The device of claim 11 wherein the PMOS channel region has a length that is substantially equal as a width of the gate region of the PMOS device.
20. The device of claim 19 wherein the length is exactly equal to the width of the gate region.
21. A method for forming a CMOS integrated circuit device, the method comprising:
providing a semiconductor substrate;
forming a gate layer overlying the semiconductor substrate;
patterning the gate layer to form an NMOS gate structure including edges and a PMOS gate structure including edges;
forming a dielectric layer overlying the NMOS gate structure to protect the NMOS gate structure including the edges and overlying the PMOS gate structure to protect the PMOS gate structure including the edges;
simultaneously etching a first source region and a first drain region adjacent to the NMOS gate structure and second source region and second drain region adjacent to the PMOS gate structure using the dielectric layer as a protective layer;
depositing silicon germanium material into the first source region and the first drain region to cause a channel region between the first source region and the first drain region of the NMOS gate structure to be strained in a compressive mode;
depositing silicon carbide material into the second source region and second drain region to cause the channel region between the second source region and the second drain region of the PMOS gate structure to be strained in a tensile mode.
22. The method of claim 21 wherein the tensile mode increases an electron mobility.
23. The method of claim 21 wherein the compressive mode increases a hole mobility.
24. The method of claim 21 wherein the channel region of the PMOS device has a length of 90 nanometers and less.
25. The method of claim 21 wherein the channel region of the NMOS device has a length of 90 nanometers and less.
26. The method of claim 21 wherein the silicon germanium material is an epitaxial material.
27. The method of claim 21 wherein the silicon carbide material is an epitaxial material.
28. The method of claim 21 wherein the silicon germanium material has a thickness ranging from about 200 Angstroms to 1000 Angstroms.
29. The method of claim 21 wherein the silicon carbide material has a thickness ranging from about 200 Angstroms to 1000 Angstroms.
30. The method of claim 21 further comprising forming sidewall spacers on the edges of the NMOS gate structure and the edges of the PMOS gate structure.
31. The method of claim 21 wherein the depositing the silicon germanium material is an in-situ doped process using a boron species, the boron species having a concentration ranging from about 1019 to 1020 atoms/cm3.
32. The method of claim 21 wherein the depositing the silicon carbide material is an in-situ doped process using a phosphorus species, the phosphorus species having a concentration ranging from about 1019 to 1020 atoms/cm3.
33. The method of claim 21 further comprising forming a refractory metal layer overlying the first source region and first drain region and the second source region and the second drain region.
34. The method of claim 21 wherein the first source region is an elevated first source region and the first drain region is an elevated first drain region; the second source region is an elevated second source region and the second drain region is an elevated second drain region.
35. A PMOS integrated circuit device, the device comprising:
a semiconductor substrate comprising a surface region;
an isolation region formed within the semiconductor substrate;
a gate dielectric layer overlying the surface region of the semiconductor substrate;
a PMOS gate layer, the PMOS gate layer including a first edge and a second edge;
a first lightly doped region formed within a vicinity of the first edge;
a second lightly doped region formed within a vicinity of the second edge;
a first sidewall spacer formed on the first edge and on a portion of the first lightly doped region;
a second sidewall spacer formed on the second edge and on a portion of the second lightly doped region;
a first etched region of semiconductor substrate formed adjacent to the first sidewall spacer;
a second etched region of semiconductor substrate formed adjacent to the second sidewall spacer;
a first silicon germanium material formed within the first etched region to form a first source/drain region;
a second silicon germanium material formed within the second etched region to form a second source/drain region; and
a PMOS channel region formed between the first silicon germanium material and the second silicon germanium layer.
36. The device of claim 35 wherein the first silicon germanium material comprises a first surface that has a height above the surface region and the second silicon germanium material comprises a second surface that has a height above the surface region.
US11/244,955 2005-01-18 2005-10-05 Strained-induced mobility enhancement nano-device structure and integrated process architecture for CMOS technologies Abandoned US20070072376A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US11/321,767 US7709336B2 (en) 2005-01-18 2005-12-28 Metal hard mask method and structure for strained silicon MOS transistors
US13/413,122 US20120164803A1 (en) 2005-09-29 2012-03-06 Strained-induced mobility enhancement nano-device structure and integrated process architecture for cmos technologies
US13/716,533 US9048300B2 (en) 2005-09-29 2012-12-17 Strained-induced mobility enhancement nano-device structure and integrated process architecture for CMOS technologies

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CN200510030311.3 2005-09-29
CNB2005100303113A CN100442476C (en) 2005-09-29 2005-09-29 Nano-device with enhanced strain inductive transferring rate for CMOS technology and its process

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US11/321,767 Continuation US7709336B2 (en) 2005-01-18 2005-12-28 Metal hard mask method and structure for strained silicon MOS transistors
US13/413,122 Division US20120164803A1 (en) 2005-09-29 2012-03-06 Strained-induced mobility enhancement nano-device structure and integrated process architecture for cmos technologies

Publications (1)

Publication Number Publication Date
US20070072376A1 true US20070072376A1 (en) 2007-03-29

Family

ID=37894627

Family Applications (3)

Application Number Title Priority Date Filing Date
US11/244,955 Abandoned US20070072376A1 (en) 2005-01-18 2005-10-05 Strained-induced mobility enhancement nano-device structure and integrated process architecture for CMOS technologies
US13/413,122 Abandoned US20120164803A1 (en) 2005-09-29 2012-03-06 Strained-induced mobility enhancement nano-device structure and integrated process architecture for cmos technologies
US13/716,533 Active 2026-05-01 US9048300B2 (en) 2005-09-29 2012-12-17 Strained-induced mobility enhancement nano-device structure and integrated process architecture for CMOS technologies

Family Applications After (2)

Application Number Title Priority Date Filing Date
US13/413,122 Abandoned US20120164803A1 (en) 2005-09-29 2012-03-06 Strained-induced mobility enhancement nano-device structure and integrated process architecture for cmos technologies
US13/716,533 Active 2026-05-01 US9048300B2 (en) 2005-09-29 2012-12-17 Strained-induced mobility enhancement nano-device structure and integrated process architecture for CMOS technologies

Country Status (2)

Country Link
US (3) US20070072376A1 (en)
CN (1) CN100442476C (en)

Cited By (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060194395A1 (en) * 2005-01-18 2006-08-31 Semiconductor Manufacturing International (Shanghai) Corporation Metal hard mask method and structure for strained silicon MOS transistors
US20080173941A1 (en) * 2007-01-19 2008-07-24 Semiconductor Manufacturing International (Shanghai) Corporation Etching method and structure in a silicon recess for subsequent epitaxial growth for strained silicon mos transistors
US20090152599A1 (en) * 2007-08-10 2009-06-18 Semiconductor Manufacturing International (Shanghai) Corporation Silicon Germanium and Polysilicon Gate Structure for Strained Silicon Transistors
US20100025771A1 (en) * 2008-07-31 2010-02-04 Jan Hoentschel Performance enhancement in pmos and nmos transistors on the basis of silicon/carbon material
US20110070701A1 (en) * 2009-09-18 2011-03-24 Semiconductor Manufacturing International (Shanghai) Corporation Integration scheme for strained source/drain cmos using oxide hard mask
US20110156053A1 (en) * 2009-12-25 2011-06-30 Denso Corporation Semiconductor device having d mode jfet and e mode jfet and method for manufacturing the same
CN102687265A (en) * 2009-07-31 2012-09-19 格罗方德半导体公司 Leakage control in field effect transistors based on an implantation species introduced locally at the STI edge
US8445363B2 (en) 2011-04-21 2013-05-21 United Microelectronics Corp. Method of fabricating an epitaxial layer
US8466502B2 (en) 2011-03-24 2013-06-18 United Microelectronics Corp. Metal-gate CMOS device
US8476169B2 (en) 2011-10-17 2013-07-02 United Microelectronics Corp. Method of making strained silicon channel semiconductor structure
US8477006B2 (en) 2011-08-30 2013-07-02 United Microelectronics Corp. Resistor and manufacturing method thereof
US8481391B2 (en) 2011-05-18 2013-07-09 United Microelectronics Corp. Process for manufacturing stress-providing structure and semiconductor device with such stress-providing structure
US8497198B2 (en) 2011-09-23 2013-07-30 United Microelectronics Corp. Semiconductor process
US8501636B1 (en) 2012-07-24 2013-08-06 United Microelectronics Corp. Method for fabricating silicon dioxide layer
US8507350B2 (en) 2011-09-21 2013-08-13 United Microelectronics Corporation Fabricating method of semiconductor elements
US8536072B2 (en) 2012-02-07 2013-09-17 United Microelectronics Corp. Semiconductor process
US8575043B2 (en) 2011-07-26 2013-11-05 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8597860B2 (en) 2011-05-20 2013-12-03 United Microelectronics Corp. Dummy patterns and method for generating dummy patterns
US8647953B2 (en) 2011-11-17 2014-02-11 United Microelectronics Corp. Method for fabricating first and second epitaxial cap layers
US8647941B2 (en) 2011-08-17 2014-02-11 United Microelectronics Corp. Method of forming semiconductor device
US8664069B2 (en) 2012-04-05 2014-03-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8691659B2 (en) 2011-10-26 2014-04-08 United Microelectronics Corp. Method for forming void-free dielectric layer
US8710632B2 (en) 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
US8710596B2 (en) 2011-05-13 2014-04-29 United Microelectronics Corp. Semiconductor device
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
US8716750B2 (en) 2011-07-25 2014-05-06 United Microelectronics Corp. Semiconductor device having epitaxial structures
US8722501B2 (en) 2011-10-18 2014-05-13 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8753902B1 (en) 2013-03-13 2014-06-17 United Microelectronics Corp. Method of controlling etching process for forming epitaxial structure
US8754448B2 (en) 2011-11-01 2014-06-17 United Microelectronics Corp. Semiconductor device having epitaxial layer
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US8835243B2 (en) 2012-05-04 2014-09-16 United Microelectronics Corp. Semiconductor process
US8853013B2 (en) 2011-08-19 2014-10-07 United Microelectronics Corp. Method for fabricating field effect transistor with fin structure
US8853060B1 (en) 2013-05-27 2014-10-07 United Microelectronics Corp. Epitaxial process
US8866230B2 (en) 2012-04-26 2014-10-21 United Microelectronics Corp. Semiconductor devices
US8871575B2 (en) 2011-10-31 2014-10-28 United Microelectronics Corp. Method of fabricating field effect transistor with fin structure
US8883621B2 (en) 2012-12-27 2014-11-11 United Microelectronics Corp. Semiconductor structure and method of fabricating MOS device
US8895396B1 (en) 2013-07-11 2014-11-25 United Microelectronics Corp. Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures
US8921206B2 (en) 2011-11-30 2014-12-30 United Microelectronics Corp. Semiconductor process
US8927388B2 (en) 2012-11-15 2015-01-06 United Microelectronics Corp. Method of fabricating dielectric layer and shallow trench isolation
US8951876B2 (en) 2012-06-20 2015-02-10 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8962433B2 (en) 2012-06-12 2015-02-24 United Microelectronics Corp. MOS transistor process
US8975672B2 (en) 2011-11-09 2015-03-10 United Microelectronics Corp. Metal oxide semiconductor transistor and manufacturing method thereof
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
US8987096B2 (en) 2012-02-07 2015-03-24 United Microelectronics Corp. Semiconductor process
US8993433B2 (en) 2013-05-27 2015-03-31 United Microelectronics Corp. Manufacturing method for forming a self aligned contact
US9006107B2 (en) 2012-03-11 2015-04-14 United Microelectronics Corp. Patterned structure of semiconductor device and fabricating method thereof
US9006092B2 (en) 2011-11-03 2015-04-14 United Microelectronics Corp. Semiconductor structure having fluoride metal layer and process thereof
US9034705B2 (en) 2013-03-26 2015-05-19 United Microelectronics Corp. Method of forming semiconductor device
US9048300B2 (en) 2005-09-29 2015-06-02 Semiconductor Manufacturing International (Shanghai) Corporation Strained-induced mobility enhancement nano-device structure and integrated process architecture for CMOS technologies
US9064893B2 (en) 2013-05-13 2015-06-23 United Microelectronics Corp. Gradient dopant of strained substrate manufacturing method of semiconductor device
US9064931B2 (en) 2012-10-11 2015-06-23 United Microelectronics Corp. Semiconductor structure having contact plug and metal gate transistor and method of making the same
US9064814B2 (en) 2013-06-19 2015-06-23 United Microelectronics Corp. Semiconductor structure having metal gate and manufacturing method thereof
US9076652B2 (en) 2013-05-27 2015-07-07 United Microelectronics Corp. Semiconductor process for modifying shape of recess
US9076870B2 (en) 2013-02-21 2015-07-07 United Microelectronics Corp. Method for forming fin-shaped structure
US9093285B2 (en) 2013-03-22 2015-07-28 United Microelectronics Corp. Semiconductor structure and process thereof
US9117925B2 (en) 2013-01-31 2015-08-25 United Microelectronics Corp. Epitaxial process
US9136348B2 (en) 2012-03-12 2015-09-15 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9142649B2 (en) 2012-04-23 2015-09-22 United Microelectronics Corp. Semiconductor structure with metal gate and method of fabricating the same
US9147747B2 (en) 2013-05-02 2015-09-29 United Microelectronics Corp. Semiconductor structure with hard mask disposed on the gate structure
US9196352B2 (en) 2013-02-25 2015-11-24 United Microelectronics Corp. Static random access memory unit cell structure and static random access memory unit cell layout structure
US9202914B2 (en) 2012-03-14 2015-12-01 United Microelectronics Corporation Semiconductor device and method for fabricating the same
US9214395B2 (en) 2013-03-13 2015-12-15 United Microelectronics Corp. Method of manufacturing semiconductor devices
US9230812B2 (en) 2013-05-22 2016-01-05 United Microelectronics Corp. Method for forming semiconductor structure having opening
US9349655B2 (en) 2008-08-29 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for mechanical stress enhancement in semiconductor devices
US9349812B2 (en) 2013-05-27 2016-05-24 United Microelectronics Corp. Semiconductor device with self-aligned contact and method of manufacturing the same
US9698229B2 (en) 2012-01-17 2017-07-04 United Microelectronics Corp. Semiconductor structure and process thereof

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101593701B (en) * 2008-05-30 2011-05-04 中芯国际集成电路制造(北京)有限公司 Stress NMOS device and manufacturing method of stress CMOS
CN103377941B (en) * 2012-04-28 2016-08-10 中芯国际集成电路制造(上海)有限公司 PMOS transistor and forming method
CN103681846B (en) 2012-09-20 2017-02-08 中芯国际集成电路制造(上海)有限公司 Semiconductor device and manufacturing method thereof
CN103730417B (en) * 2012-10-10 2016-08-31 中芯国际集成电路制造(上海)有限公司 A kind of semiconductor device and manufacture method thereof
CN103730421A (en) * 2012-10-16 2014-04-16 中芯国际集成电路制造(上海)有限公司 CMOS forming method
US20140210012A1 (en) 2013-01-31 2014-07-31 Spansion Llc Manufacturing of FET Devices Having Lightly Doped Drain and Source Regions
CN104183491B (en) * 2013-05-21 2018-05-01 中芯国际集成电路制造(上海)有限公司 The forming method of transistor
CN104392929A (en) * 2014-11-26 2015-03-04 上海华力微电子有限公司 Preparation method of intercalated silicon carbide
CN104409354A (en) * 2014-11-26 2015-03-11 上海华力微电子有限公司 Preparing method of embedded silicon carbide
CN109346528B (en) * 2018-09-27 2022-03-29 上海华力微电子有限公司 Flash memory structure and corresponding programming, erasing and reading method
US10957798B2 (en) 2019-02-06 2021-03-23 International Business Machines Corporation Nanosheet transistors with transverse strained channel regions

Citations (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5168072A (en) * 1990-10-12 1992-12-01 Texas Instruments Incorporated Method of fabricating an high-performance insulated-gate field-effect transistor
US6043545A (en) * 1998-02-07 2000-03-28 United Microelectronics Corp. MOSFET device with two spacers
US6121100A (en) * 1997-12-31 2000-09-19 Intel Corporation Method of fabricating a MOS transistor with a raised source/drain extension
US6179973B1 (en) * 1999-01-05 2001-01-30 Novellus Systems, Inc. Apparatus and method for controlling plasma uniformity across a substrate
US6251242B1 (en) * 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6274894B1 (en) * 1999-08-17 2001-08-14 Advanced Micro Devices, Inc. Low-bandgap source and drain formation for short-channel MOS transistors
US6277249B1 (en) * 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6291321B1 (en) * 1997-06-24 2001-09-18 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
US6352629B1 (en) * 2000-07-10 2002-03-05 Applied Materials, Inc. Coaxial electromagnet in a magnetron sputtering reactor
US6372569B1 (en) * 2000-01-18 2002-04-16 Chartered Semiconductor Manufacturing Ltd. Selective formation of hydrogen rich PECVD silicon nitride for improved NMOS transistor performance
US6406973B1 (en) * 1999-06-29 2002-06-18 Hyundai Electronics Industries Co., Ltd. Transistor in a semiconductor device and method of manufacturing the same
US6406599B1 (en) * 2000-11-01 2002-06-18 Applied Materials, Inc. Magnetron with a rotating center magnet for a vault shaped sputtering target
US20020106845A1 (en) * 1999-11-29 2002-08-08 John Chao Method for rounding corners and removing damaged outer surfaces of a trench
US6483151B2 (en) * 2000-01-21 2002-11-19 Nec Corporation Semiconductor device and method of manufacturing the same
US20020190284A1 (en) * 1999-12-30 2002-12-19 Anand Murthy Novel mos transistor structure and method of fabrication
US6503773B2 (en) * 2000-01-20 2003-01-07 Amberwave Systems Corporation Low threading dislocation density relaxed mismatched epilayers without high temperature growth
US6514836B2 (en) * 2001-06-04 2003-02-04 Rona Elizabeth Belford Methods of producing strained microelectronic and/or optical integrated and discrete devices
US20030080361A1 (en) * 2001-11-01 2003-05-01 Anand Murthy Semiconductor transistor having a stressed channel
US6563152B2 (en) * 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US6566276B2 (en) * 2000-06-06 2003-05-20 Ekc Technology, Inc. Method of making electronic materials
US20030139001A1 (en) * 2002-01-23 2003-07-24 Snyder John P. Field effect transistor having source and/or drain forming schottky or schottky-like contact with strained semiconductor substrate
US6617623B2 (en) * 1999-06-15 2003-09-09 Micron Technology, Inc. Multi-layered gate for a CMOS imager
US6713357B1 (en) * 2001-12-20 2004-03-30 Advanced Micro Devices, Inc. Method to reduce parasitic capacitance of MOS transistors
US20040063300A1 (en) * 2002-10-01 2004-04-01 Taiwan Semiconductor Manufacturing Company Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6730196B2 (en) * 2002-08-01 2004-05-04 Applied Materials, Inc. Auxiliary electromagnets in a magnetron sputter reactor
US20050035409A1 (en) * 2003-08-15 2005-02-17 Chih-Hsin Ko Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US6881635B1 (en) * 2004-03-23 2005-04-19 International Business Machines Corporation Strained silicon NMOS devices with embedded source/drain
US6891192B2 (en) * 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
US20050142768A1 (en) * 2003-12-31 2005-06-30 Nick Lindert Controlled faceting of source/drain regions
US20050145956A1 (en) * 2004-01-05 2005-07-07 Taiwan Semiconductor Manufacturing Co. Devices with high-k gate dielectric
US20050179066A1 (en) * 2004-02-17 2005-08-18 Anand Murthy Fabricating strained channel epitaxial source/drain transistors
US20060052947A1 (en) * 2004-05-17 2006-03-09 Evelyn Hu Biofabrication of transistors including field effect transistors
US20060086987A1 (en) * 2004-10-26 2006-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device with reduced floating body effect
US7052946B2 (en) * 2004-03-10 2006-05-30 Taiwan Semiconductor Manufacturing Co. Ltd. Method for selectively stressing MOSFETs to improve charge carrier mobility
US20060115949A1 (en) * 2004-12-01 2006-06-01 Freescale Semiconductor, Inc. Semiconductor fabrication process including source/drain recessing and filling
US20060138398A1 (en) * 2004-12-28 2006-06-29 Fujitsu Limited Semiconductor device and fabrication method thereof
US20060145273A1 (en) * 2005-01-06 2006-07-06 Giuseppe Curello Device with stepped source/drain region profile
US7078722B2 (en) * 2004-09-20 2006-07-18 International Business Machines Corporation NFET and PFET devices and methods of fabricating same
US20060237746A1 (en) * 2005-04-20 2006-10-26 Freescale Semiconductor Inc. GeSOI transistor with low junction current and low junction capacitance and method for making the same
US20070020864A1 (en) * 2005-07-16 2007-01-25 Chartered Semiconductor Mfg Method and structure to prevent silicide strapping of source/drain to body in semiconductor devices with source/drain stressor
US7195985B2 (en) * 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US20070072353A1 (en) * 2005-09-23 2007-03-29 Chih-Ning Wu Method of fabricating strained-silicon transistors and strained-silicon CMOS transistors
US20070128786A1 (en) * 2003-11-25 2007-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having high drive current and method of manufacture therefor
US20070138570A1 (en) * 2005-12-16 2007-06-21 Chartered Semiconductor Mfg.LTD Formation of raised source/drain structures in NFET with embedded SiGe in PFET
US20070184668A1 (en) * 2005-10-31 2007-08-09 Semiconductor Manufacturing International (Shanghai) Corporation Poly Silicon Gate Doping Method and Structure for Strained Silicon MOS Transistors
US20070196992A1 (en) * 2005-09-28 2007-08-23 Semiconductor Manufacturing Int'l (Shanghai) Corporation In-situ doped silicon germanium and silicon carbide source drain region for strained silicon CMOS transistors
US20080119019A1 (en) * 2006-11-20 2008-05-22 Jin-Ping Han Semiconductor devices having pFET with SiGe gate electrode and embedded SiGe source/drain regions and methods of making the same
US20080124874A1 (en) * 2006-11-03 2008-05-29 Samsung Electronics Co., Ltd. Methods of Forming Field Effect Transistors Having Silicon-Germanium Source and Drain Regions
US7381623B1 (en) * 2007-01-17 2008-06-03 International Business Machines Corporation Pre-epitaxial disposable spacer integration scheme with very low temperature selective epitaxy for enhanced device performance
US20080191244A1 (en) * 2007-02-12 2008-08-14 Samsung Electronics Co., Ltd. Methods of fabricating integrated circuit devices including strained channel regions and related devices
US7425488B2 (en) * 2005-09-19 2008-09-16 Semiconductor Manufacturing International (Shanghai) Method and structure using a pure silicon dioxide hardmask for gate patterning for strained silicon MOS transistors
US20080251851A1 (en) * 2007-04-12 2008-10-16 Advanced Micro Devices, Inc. Strain enhanced semiconductor devices and methods for their fabrication
US7446026B2 (en) * 2006-02-08 2008-11-04 Freescale Semiconductor, Inc. Method of forming a CMOS device with stressor source/drain regions
US20080283926A1 (en) * 2007-05-18 2008-11-20 Texas Instruments Incorporated Method for integrating silicon germanium and carbon doped silicon within a strained cmos flow
US20090023258A1 (en) * 2007-07-17 2009-01-22 Chia-Wen Liang Method of manufacturing complementary metal oxide semiconductor transistors
US20090085125A1 (en) * 2007-09-28 2009-04-02 Samsung Electronics Co., Ltd. MOS transistor and CMOS transistor having strained channel epi layer and methods of fabricating the transistors
US20090124818A1 (en) * 2005-04-15 2009-05-14 Kinya Takagaki Method of producing proanthocyanidin-containing material
US7547595B2 (en) * 2005-10-31 2009-06-16 Semiconductor Manufacturing International (Shanghai) Corporation Integration scheme method and structure for transistors using strained silicon
US7557000B2 (en) * 2006-11-20 2009-07-07 Semiconductor Manufacturing International (Shanghai) Corporation Etching method and structure using a hard mask for strained silicon MOS transistors
US7709336B2 (en) * 2005-01-18 2010-05-04 Semiconductor Manufacturing International (Shanghai) Corporation Metal hard mask method and structure for strained silicon MOS transistors
US20100124818A1 (en) * 2008-11-14 2010-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fabricating high-k/metal gate devices in a gate last process
US20100224937A1 (en) * 2007-05-18 2010-09-09 Texas Instruments Incorporated Method for integrating silicon germanium and carbon doped silicon within a strained cmos flow
US7820500B2 (en) * 2005-10-31 2010-10-26 Semiconductor Manufacturing International (Shanghai) Corporation Single mask scheme method and structure for integrating PMOS and NMOS transistors using strained silicon
US7838372B2 (en) * 2008-05-22 2010-11-23 Infineon Technologies Ag Methods of manufacturing semiconductor devices and structures thereof

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3443343B2 (en) * 1997-12-03 2003-09-02 松下電器産業株式会社 Semiconductor device
KR100467023B1 (en) 2002-10-31 2005-01-24 삼성전자주식회사 Self-aligned contact structure and method for fabricating the same
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
CN100536090C (en) * 2005-09-19 2009-09-02 中芯国际集成电路制造(上海)有限公司 Method for forming secondary partition sheet used for strain silicon MOS transistor and structure thereof
CN100442476C (en) 2005-09-29 2008-12-10 中芯国际集成电路制造(上海)有限公司 Nano-device with enhanced strain inductive transferring rate for CMOS technology and its process

Patent Citations (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5168072A (en) * 1990-10-12 1992-12-01 Texas Instruments Incorporated Method of fabricating an high-performance insulated-gate field-effect transistor
US6291321B1 (en) * 1997-06-24 2001-09-18 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
US6121100A (en) * 1997-12-31 2000-09-19 Intel Corporation Method of fabricating a MOS transistor with a raised source/drain extension
US6043545A (en) * 1998-02-07 2000-03-28 United Microelectronics Corp. MOSFET device with two spacers
US6179973B1 (en) * 1999-01-05 2001-01-30 Novellus Systems, Inc. Apparatus and method for controlling plasma uniformity across a substrate
US6617623B2 (en) * 1999-06-15 2003-09-09 Micron Technology, Inc. Multi-layered gate for a CMOS imager
US6406973B1 (en) * 1999-06-29 2002-06-18 Hyundai Electronics Industries Co., Ltd. Transistor in a semiconductor device and method of manufacturing the same
US6274894B1 (en) * 1999-08-17 2001-08-14 Advanced Micro Devices, Inc. Low-bandgap source and drain formation for short-channel MOS transistors
US20020106845A1 (en) * 1999-11-29 2002-08-08 John Chao Method for rounding corners and removing damaged outer surfaces of a trench
US20020190284A1 (en) * 1999-12-30 2002-12-19 Anand Murthy Novel mos transistor structure and method of fabrication
US6372569B1 (en) * 2000-01-18 2002-04-16 Chartered Semiconductor Manufacturing Ltd. Selective formation of hydrogen rich PECVD silicon nitride for improved NMOS transistor performance
US6503773B2 (en) * 2000-01-20 2003-01-07 Amberwave Systems Corporation Low threading dislocation density relaxed mismatched epilayers without high temperature growth
US6251242B1 (en) * 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6483151B2 (en) * 2000-01-21 2002-11-19 Nec Corporation Semiconductor device and method of manufacturing the same
US6277249B1 (en) * 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6566276B2 (en) * 2000-06-06 2003-05-20 Ekc Technology, Inc. Method of making electronic materials
US6352629B1 (en) * 2000-07-10 2002-03-05 Applied Materials, Inc. Coaxial electromagnet in a magnetron sputtering reactor
US6406599B1 (en) * 2000-11-01 2002-06-18 Applied Materials, Inc. Magnetron with a rotating center magnet for a vault shaped sputtering target
US6563152B2 (en) * 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US6514836B2 (en) * 2001-06-04 2003-02-04 Rona Elizabeth Belford Methods of producing strained microelectronic and/or optical integrated and discrete devices
US20030080361A1 (en) * 2001-11-01 2003-05-01 Anand Murthy Semiconductor transistor having a stressed channel
US6713357B1 (en) * 2001-12-20 2004-03-30 Advanced Micro Devices, Inc. Method to reduce parasitic capacitance of MOS transistors
US20030139001A1 (en) * 2002-01-23 2003-07-24 Snyder John P. Field effect transistor having source and/or drain forming schottky or schottky-like contact with strained semiconductor substrate
US6730196B2 (en) * 2002-08-01 2004-05-04 Applied Materials, Inc. Auxiliary electromagnets in a magnetron sputter reactor
US20040063300A1 (en) * 2002-10-01 2004-04-01 Taiwan Semiconductor Manufacturing Company Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6891192B2 (en) * 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
US20050158931A1 (en) * 2003-08-04 2005-07-21 Huajie Chen Method of making strained semiconductor transistors having lattice-mismatched semiconductor regions underlying source and drain regions
US20050035409A1 (en) * 2003-08-15 2005-02-17 Chih-Hsin Ko Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US20070128786A1 (en) * 2003-11-25 2007-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having high drive current and method of manufacture therefor
US20050142768A1 (en) * 2003-12-31 2005-06-30 Nick Lindert Controlled faceting of source/drain regions
US20050145956A1 (en) * 2004-01-05 2005-07-07 Taiwan Semiconductor Manufacturing Co. Devices with high-k gate dielectric
US20050179066A1 (en) * 2004-02-17 2005-08-18 Anand Murthy Fabricating strained channel epitaxial source/drain transistors
US7052946B2 (en) * 2004-03-10 2006-05-30 Taiwan Semiconductor Manufacturing Co. Ltd. Method for selectively stressing MOSFETs to improve charge carrier mobility
US6881635B1 (en) * 2004-03-23 2005-04-19 International Business Machines Corporation Strained silicon NMOS devices with embedded source/drain
US20060052947A1 (en) * 2004-05-17 2006-03-09 Evelyn Hu Biofabrication of transistors including field effect transistors
US7078722B2 (en) * 2004-09-20 2006-07-18 International Business Machines Corporation NFET and PFET devices and methods of fabricating same
US20060086987A1 (en) * 2004-10-26 2006-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device with reduced floating body effect
US20060115949A1 (en) * 2004-12-01 2006-06-01 Freescale Semiconductor, Inc. Semiconductor fabrication process including source/drain recessing and filling
US20060138398A1 (en) * 2004-12-28 2006-06-29 Fujitsu Limited Semiconductor device and fabrication method thereof
US7195985B2 (en) * 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US20060145273A1 (en) * 2005-01-06 2006-07-06 Giuseppe Curello Device with stepped source/drain region profile
US7709336B2 (en) * 2005-01-18 2010-05-04 Semiconductor Manufacturing International (Shanghai) Corporation Metal hard mask method and structure for strained silicon MOS transistors
US20090124818A1 (en) * 2005-04-15 2009-05-14 Kinya Takagaki Method of producing proanthocyanidin-containing material
US20060237746A1 (en) * 2005-04-20 2006-10-26 Freescale Semiconductor Inc. GeSOI transistor with low junction current and low junction capacitance and method for making the same
US20070020864A1 (en) * 2005-07-16 2007-01-25 Chartered Semiconductor Mfg Method and structure to prevent silicide strapping of source/drain to body in semiconductor devices with source/drain stressor
US7425488B2 (en) * 2005-09-19 2008-09-16 Semiconductor Manufacturing International (Shanghai) Method and structure using a pure silicon dioxide hardmask for gate patterning for strained silicon MOS transistors
US20090065805A1 (en) * 2005-09-19 2009-03-12 Semiconductor Manufacturing International (Shanghai) Corporation Method and structure using a pure silicon dioxide hardmask for gate pattering for strained silicon MOS transistors
US20070072353A1 (en) * 2005-09-23 2007-03-29 Chih-Ning Wu Method of fabricating strained-silicon transistors and strained-silicon CMOS transistors
US20070196992A1 (en) * 2005-09-28 2007-08-23 Semiconductor Manufacturing Int'l (Shanghai) Corporation In-situ doped silicon germanium and silicon carbide source drain region for strained silicon CMOS transistors
US20070184668A1 (en) * 2005-10-31 2007-08-09 Semiconductor Manufacturing International (Shanghai) Corporation Poly Silicon Gate Doping Method and Structure for Strained Silicon MOS Transistors
US7820500B2 (en) * 2005-10-31 2010-10-26 Semiconductor Manufacturing International (Shanghai) Corporation Single mask scheme method and structure for integrating PMOS and NMOS transistors using strained silicon
US7547595B2 (en) * 2005-10-31 2009-06-16 Semiconductor Manufacturing International (Shanghai) Corporation Integration scheme method and structure for transistors using strained silicon
US7718500B2 (en) * 2005-12-16 2010-05-18 Chartered Semiconductor Manufacturing, Ltd Formation of raised source/drain structures in NFET with embedded SiGe in PFET
US20070138570A1 (en) * 2005-12-16 2007-06-21 Chartered Semiconductor Mfg.LTD Formation of raised source/drain structures in NFET with embedded SiGe in PFET
US7446026B2 (en) * 2006-02-08 2008-11-04 Freescale Semiconductor, Inc. Method of forming a CMOS device with stressor source/drain regions
US20080124874A1 (en) * 2006-11-03 2008-05-29 Samsung Electronics Co., Ltd. Methods of Forming Field Effect Transistors Having Silicon-Germanium Source and Drain Regions
US20080119019A1 (en) * 2006-11-20 2008-05-22 Jin-Ping Han Semiconductor devices having pFET with SiGe gate electrode and embedded SiGe source/drain regions and methods of making the same
US7557000B2 (en) * 2006-11-20 2009-07-07 Semiconductor Manufacturing International (Shanghai) Corporation Etching method and structure using a hard mask for strained silicon MOS transistors
US7381623B1 (en) * 2007-01-17 2008-06-03 International Business Machines Corporation Pre-epitaxial disposable spacer integration scheme with very low temperature selective epitaxy for enhanced device performance
US20080191244A1 (en) * 2007-02-12 2008-08-14 Samsung Electronics Co., Ltd. Methods of fabricating integrated circuit devices including strained channel regions and related devices
US20080251851A1 (en) * 2007-04-12 2008-10-16 Advanced Micro Devices, Inc. Strain enhanced semiconductor devices and methods for their fabrication
US20080283926A1 (en) * 2007-05-18 2008-11-20 Texas Instruments Incorporated Method for integrating silicon germanium and carbon doped silicon within a strained cmos flow
US20100224937A1 (en) * 2007-05-18 2010-09-09 Texas Instruments Incorporated Method for integrating silicon germanium and carbon doped silicon within a strained cmos flow
US20090023258A1 (en) * 2007-07-17 2009-01-22 Chia-Wen Liang Method of manufacturing complementary metal oxide semiconductor transistors
US7622344B2 (en) * 2007-07-17 2009-11-24 United Microelectronics Corp. Method of manufacturing complementary metal oxide semiconductor transistors
US20090085125A1 (en) * 2007-09-28 2009-04-02 Samsung Electronics Co., Ltd. MOS transistor and CMOS transistor having strained channel epi layer and methods of fabricating the transistors
US7838372B2 (en) * 2008-05-22 2010-11-23 Infineon Technologies Ag Methods of manufacturing semiconductor devices and structures thereof
US20100124818A1 (en) * 2008-11-14 2010-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fabricating high-k/metal gate devices in a gate last process

Cited By (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060194395A1 (en) * 2005-01-18 2006-08-31 Semiconductor Manufacturing International (Shanghai) Corporation Metal hard mask method and structure for strained silicon MOS transistors
US7709336B2 (en) * 2005-01-18 2010-05-04 Semiconductor Manufacturing International (Shanghai) Corporation Metal hard mask method and structure for strained silicon MOS transistors
US9048300B2 (en) 2005-09-29 2015-06-02 Semiconductor Manufacturing International (Shanghai) Corporation Strained-induced mobility enhancement nano-device structure and integrated process architecture for CMOS technologies
US20080173941A1 (en) * 2007-01-19 2008-07-24 Semiconductor Manufacturing International (Shanghai) Corporation Etching method and structure in a silicon recess for subsequent epitaxial growth for strained silicon mos transistors
US20090152599A1 (en) * 2007-08-10 2009-06-18 Semiconductor Manufacturing International (Shanghai) Corporation Silicon Germanium and Polysilicon Gate Structure for Strained Silicon Transistors
US8551831B2 (en) 2007-08-10 2013-10-08 Semiconductor Manufacturing International (Shanghai) Corporation Silicon germanium and polysilicon gate structure for strained silicon transistors
US20100025771A1 (en) * 2008-07-31 2010-02-04 Jan Hoentschel Performance enhancement in pmos and nmos transistors on the basis of silicon/carbon material
DE102008035816A1 (en) * 2008-07-31 2010-02-11 Advanced Micro Devices, Inc., Sunnyvale Enhanced performance in PMOS and NMOS transistors based on a silicon / carbon material
DE102008035816B4 (en) * 2008-07-31 2011-08-25 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 Increase performance in PMOS and NMOS transistors by using an embedded deformed semiconductor material
US8154084B2 (en) 2008-07-31 2012-04-10 Globalfoundries Inc. Performance enhancement in PMOS and NMOS transistors on the basis of silicon/carbon material
US8772878B2 (en) 2008-07-31 2014-07-08 Globalfoundries Inc. Performance enhancement in PMOS and NMOS transistors on the basis of silicon/carbon material
US9349655B2 (en) 2008-08-29 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for mechanical stress enhancement in semiconductor devices
CN102687265A (en) * 2009-07-31 2012-09-19 格罗方德半导体公司 Leakage control in field effect transistors based on an implantation species introduced locally at the STI edge
US20110070701A1 (en) * 2009-09-18 2011-03-24 Semiconductor Manufacturing International (Shanghai) Corporation Integration scheme for strained source/drain cmos using oxide hard mask
US8058120B2 (en) 2009-09-18 2011-11-15 Semiconductor Manufacturing International (Shanghai) Corporation Integration scheme for strained source/drain CMOS using oxide hard mask
US20110156053A1 (en) * 2009-12-25 2011-06-30 Denso Corporation Semiconductor device having d mode jfet and e mode jfet and method for manufacturing the same
US8373209B2 (en) 2009-12-25 2013-02-12 Denso Corporation Semiconductor device having D mode JFET and E mode JFET and method for manufacturing the same
US8592271B2 (en) 2011-03-24 2013-11-26 United Microelectronics Corp. Metal-gate CMOS device and fabrication method thereof
US8466502B2 (en) 2011-03-24 2013-06-18 United Microelectronics Corp. Metal-gate CMOS device
US8445363B2 (en) 2011-04-21 2013-05-21 United Microelectronics Corp. Method of fabricating an epitaxial layer
US8853041B2 (en) 2011-05-13 2014-10-07 United Microelectronics Corp. Method for fabricating semiconductor device
US8710596B2 (en) 2011-05-13 2014-04-29 United Microelectronics Corp. Semiconductor device
US8481391B2 (en) 2011-05-18 2013-07-09 United Microelectronics Corp. Process for manufacturing stress-providing structure and semiconductor device with such stress-providing structure
US9857677B2 (en) 2011-05-20 2018-01-02 United Microelectronics Corp. Dummy patterns
US8597860B2 (en) 2011-05-20 2013-12-03 United Microelectronics Corp. Dummy patterns and method for generating dummy patterns
US9269649B2 (en) 2011-05-20 2016-02-23 United Microelectronics Corp. Dummy patterns and method for generating dummy patterns
US9245822B2 (en) 2011-05-20 2016-01-26 United Microelectronics Corp. Dummy patterns and method for generating dummy patterns
US8716750B2 (en) 2011-07-25 2014-05-06 United Microelectronics Corp. Semiconductor device having epitaxial structures
US8575043B2 (en) 2011-07-26 2013-11-05 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8647941B2 (en) 2011-08-17 2014-02-11 United Microelectronics Corp. Method of forming semiconductor device
US8853013B2 (en) 2011-08-19 2014-10-07 United Microelectronics Corp. Method for fabricating field effect transistor with fin structure
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8477006B2 (en) 2011-08-30 2013-07-02 United Microelectronics Corp. Resistor and manufacturing method thereof
US8507350B2 (en) 2011-09-21 2013-08-13 United Microelectronics Corporation Fabricating method of semiconductor elements
US8497198B2 (en) 2011-09-23 2013-07-30 United Microelectronics Corp. Semiconductor process
US8853740B2 (en) 2011-10-17 2014-10-07 United Microelectronics Corp. Strained silicon channel semiconductor structure
US8476169B2 (en) 2011-10-17 2013-07-02 United Microelectronics Corp. Method of making strained silicon channel semiconductor structure
US8722501B2 (en) 2011-10-18 2014-05-13 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8691659B2 (en) 2011-10-26 2014-04-08 United Microelectronics Corp. Method for forming void-free dielectric layer
US8871575B2 (en) 2011-10-31 2014-10-28 United Microelectronics Corp. Method of fabricating field effect transistor with fin structure
US8754448B2 (en) 2011-11-01 2014-06-17 United Microelectronics Corp. Semiconductor device having epitaxial layer
US8927376B2 (en) 2011-11-01 2015-01-06 United Microelectronics Corp. Semiconductor device and method of forming epitaxial layer
US9006092B2 (en) 2011-11-03 2015-04-14 United Microelectronics Corp. Semiconductor structure having fluoride metal layer and process thereof
US9875901B2 (en) 2011-11-09 2018-01-23 United Microelectronics Corp. Manufacturing method of metal oxide semiconductor transistor
US9219140B2 (en) 2011-11-09 2015-12-22 United Microelectronics Corp. Metal oxide semiconductor transistor and manufacturing method thereof
US8975672B2 (en) 2011-11-09 2015-03-10 United Microelectronics Corp. Metal oxide semiconductor transistor and manufacturing method thereof
US8647953B2 (en) 2011-11-17 2014-02-11 United Microelectronics Corp. Method for fabricating first and second epitaxial cap layers
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
US8921206B2 (en) 2011-11-30 2014-12-30 United Microelectronics Corp. Semiconductor process
US9698229B2 (en) 2012-01-17 2017-07-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8536072B2 (en) 2012-02-07 2013-09-17 United Microelectronics Corp. Semiconductor process
US8987096B2 (en) 2012-02-07 2015-03-24 United Microelectronics Corp. Semiconductor process
US9006107B2 (en) 2012-03-11 2015-04-14 United Microelectronics Corp. Patterned structure of semiconductor device and fabricating method thereof
US9312359B2 (en) 2012-03-12 2016-04-12 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9136348B2 (en) 2012-03-12 2015-09-15 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9443970B2 (en) 2012-03-14 2016-09-13 United Microelectronics Corporation Semiconductor device with epitaxial structures and method for fabricating the same
US9202914B2 (en) 2012-03-14 2015-12-01 United Microelectronics Corporation Semiconductor device and method for fabricating the same
US8664069B2 (en) 2012-04-05 2014-03-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8884346B2 (en) 2012-04-05 2014-11-11 United Microelectronics Corp. Semiconductor structure
US9142649B2 (en) 2012-04-23 2015-09-22 United Microelectronics Corp. Semiconductor structure with metal gate and method of fabricating the same
US8866230B2 (en) 2012-04-26 2014-10-21 United Microelectronics Corp. Semiconductor devices
US8835243B2 (en) 2012-05-04 2014-09-16 United Microelectronics Corp. Semiconductor process
US8962433B2 (en) 2012-06-12 2015-02-24 United Microelectronics Corp. MOS transistor process
US8951876B2 (en) 2012-06-20 2015-02-10 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US9269811B2 (en) 2012-06-20 2016-02-23 United Microelectronics Corp. Spacer scheme for semiconductor device
US8999793B2 (en) 2012-06-22 2015-04-07 United Microelectronics Corp. Multi-gate field-effect transistor process
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US8501636B1 (en) 2012-07-24 2013-08-06 United Microelectronics Corp. Method for fabricating silicon dioxide layer
US8710632B2 (en) 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
US9064931B2 (en) 2012-10-11 2015-06-23 United Microelectronics Corp. Semiconductor structure having contact plug and metal gate transistor and method of making the same
US8927388B2 (en) 2012-11-15 2015-01-06 United Microelectronics Corp. Method of fabricating dielectric layer and shallow trench isolation
US8883621B2 (en) 2012-12-27 2014-11-11 United Microelectronics Corp. Semiconductor structure and method of fabricating MOS device
US9117925B2 (en) 2013-01-31 2015-08-25 United Microelectronics Corp. Epitaxial process
US9076870B2 (en) 2013-02-21 2015-07-07 United Microelectronics Corp. Method for forming fin-shaped structure
US9196352B2 (en) 2013-02-25 2015-11-24 United Microelectronics Corp. Static random access memory unit cell structure and static random access memory unit cell layout structure
US9502530B2 (en) 2013-03-13 2016-11-22 United Microelectronics Corp. Method of manufacturing semiconductor devices
US8753902B1 (en) 2013-03-13 2014-06-17 United Microelectronics Corp. Method of controlling etching process for forming epitaxial structure
US9214395B2 (en) 2013-03-13 2015-12-15 United Microelectronics Corp. Method of manufacturing semiconductor devices
US9449964B2 (en) 2013-03-22 2016-09-20 United Microelectronics Corp. Semiconductor process
US9093285B2 (en) 2013-03-22 2015-07-28 United Microelectronics Corp. Semiconductor structure and process thereof
US9034705B2 (en) 2013-03-26 2015-05-19 United Microelectronics Corp. Method of forming semiconductor device
US9331171B2 (en) 2013-05-02 2016-05-03 United Microelectronics Corp. Manufacturing method for forming semiconductor structure
US9147747B2 (en) 2013-05-02 2015-09-29 United Microelectronics Corp. Semiconductor structure with hard mask disposed on the gate structure
US9064893B2 (en) 2013-05-13 2015-06-23 United Microelectronics Corp. Gradient dopant of strained substrate manufacturing method of semiconductor device
US9230812B2 (en) 2013-05-22 2016-01-05 United Microelectronics Corp. Method for forming semiconductor structure having opening
US9263579B2 (en) 2013-05-27 2016-02-16 United Microelectronics Corp. Semiconductor process for modifying shape of recess
US8993433B2 (en) 2013-05-27 2015-03-31 United Microelectronics Corp. Manufacturing method for forming a self aligned contact
US9349812B2 (en) 2013-05-27 2016-05-24 United Microelectronics Corp. Semiconductor device with self-aligned contact and method of manufacturing the same
US9076652B2 (en) 2013-05-27 2015-07-07 United Microelectronics Corp. Semiconductor process for modifying shape of recess
US8853060B1 (en) 2013-05-27 2014-10-07 United Microelectronics Corp. Epitaxial process
US9064814B2 (en) 2013-06-19 2015-06-23 United Microelectronics Corp. Semiconductor structure having metal gate and manufacturing method thereof
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
US8895396B1 (en) 2013-07-11 2014-11-25 United Microelectronics Corp. Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)

Also Published As

Publication number Publication date
US9048300B2 (en) 2015-06-02
CN1941329A (en) 2007-04-04
US20120164803A1 (en) 2012-06-28
CN100442476C (en) 2008-12-10
US20130109142A1 (en) 2013-05-02

Similar Documents

Publication Publication Date Title
US9048300B2 (en) Strained-induced mobility enhancement nano-device structure and integrated process architecture for CMOS technologies
US20070196992A1 (en) In-situ doped silicon germanium and silicon carbide source drain region for strained silicon CMOS transistors
US8058120B2 (en) Integration scheme for strained source/drain CMOS using oxide hard mask
US7547595B2 (en) Integration scheme method and structure for transistors using strained silicon
US8551831B2 (en) Silicon germanium and polysilicon gate structure for strained silicon transistors
US7591659B2 (en) Method and structure for second spacer formation for strained silicon MOS transistors
US7781799B2 (en) Source/drain strained layers
US8536653B2 (en) Metal oxide semiconductor transistor
US7335566B2 (en) Polysilicon gate doping method and structure for strained silicon MOS transistors
US7326622B2 (en) Method of manufacturing semiconductor MOS transistor device
US7485929B2 (en) Semiconductor-on-insulator (SOI) strained active areas
US6764908B1 (en) Narrow width CMOS devices fabricated on strained lattice semiconductor substrates with maximized NMOS and PMOS drive currents
US7709336B2 (en) Metal hard mask method and structure for strained silicon MOS transistors
US6696328B2 (en) CMOS gate electrode using selective growth and a fabrication method thereof
US7557000B2 (en) Etching method and structure using a hard mask for strained silicon MOS transistors
US20090065807A1 (en) Semiconductor device and fabrication method for the same
US8106423B2 (en) Method and structure using a pure silicon dioxide hardmask for gate patterning for strained silicon MOS transistors
JP2009094225A (en) Method of manufacturing semiconductor device
JP4489467B2 (en) Method for forming semiconductor device
US20080173941A1 (en) Etching method and structure in a silicon recess for subsequent epitaxial growth for strained silicon mos transistors
US20070045674A1 (en) Semiconductor device and method of fabricating same
US7547605B2 (en) Microelectronic device and a method for its manufacture
US6511893B1 (en) Radiation hardened semiconductor device
US8178932B2 (en) Semiconductor device having transistors
KR0179860B1 (en) Method of manufacturing c-mos element

Legal Events

Date Code Title Description
AS Assignment

Owner name: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHEN, JOHN;YANG, SIMON;REEL/FRAME:018191/0876;SIGNING DATES FROM 20060109 TO 20060805

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION