US20060189109A1 - Methods of fabricating contact regions for FET incorporating SiGe - Google Patents

Methods of fabricating contact regions for FET incorporating SiGe Download PDF

Info

Publication number
US20060189109A1
US20060189109A1 US11/412,262 US41226206A US2006189109A1 US 20060189109 A1 US20060189109 A1 US 20060189109A1 US 41226206 A US41226206 A US 41226206A US 2006189109 A1 US2006189109 A1 US 2006189109A1
Authority
US
United States
Prior art keywords
layer
sige
channel
strained
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/412,262
Inventor
Eugene Fitzgerald
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Amber Wave Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Amber Wave Systems Inc filed Critical Amber Wave Systems Inc
Priority to US11/412,262 priority Critical patent/US20060189109A1/en
Publication of US20060189109A1 publication Critical patent/US20060189109A1/en
Assigned to AMBERWAVE SYSTEMS CORPORATION reassignment AMBERWAVE SYSTEMS CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FITZGERALD, EUGENE A.
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AMBERWAVE SYSTEMS CORPORATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/80Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier
    • H01L29/802Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier with heterojunction gate, e.g. transistors with semiconductor layer acting as gate insulating layer, MIS-like transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/0251Graded layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76256Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques using silicon etch back techniques, e.g. BESOI, ELTRAN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66431Unipolar field-effect transistors with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66893Unipolar field-effect transistors with a PN junction gate, i.e. JFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66893Unipolar field-effect transistors with a PN junction gate, i.e. JFET
    • H01L29/66916Unipolar field-effect transistors with a PN junction gate, i.e. JFET with a PN heterojunction gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7838Field effect transistors with field effect produced by an insulated gate without inversion channel, e.g. buried channel lateral MISFETs, normally-on lateral MISFETs, depletion-mode lateral MISFETs

Definitions

  • the invention relates to the field of relaxed SiGe platforms for high speed CMOS electronics and high speed analog circuits.
  • Si CMOS as a platform for digital integrated circuits has progressed predictably through the industry roadmap. The progress is created through device miniaturization, leading to higher performance, greater reliability, and lower cost. However, new bottlenecks in data flow are appearing as the interconnection hierarchy is expanded. Although digital integrated circuits have progressed at unprecedented rates, analog circuitry has hardly progressed at all. Furthermore, it appears that in the near future, serious economic and technological issues will confront the progress of digital integrated circuits.
  • One promising candidate material that improves digital integrated circuit technology and introduces new analog integrated circuit possibilities is relaxed SiGe material on Si substrates.
  • Relaxed SiGe alloys on Si can have thin layers of Si deposited on them, creating tension in the thin Si layers.
  • Tensile Si layers have many advantageous properties for the basic device in integrated circuits, the metal-oxide field effect transistor (MOSFET).
  • MOSFET metal-oxide field effect transistor
  • the channel in an electron channel device (n-channel), the channel can be removed from the surface or ‘buried’.
  • This ability to spatially separate the charge carriers from scattering centers such as ionized impurities and the ‘rough’ oxide interface enables the production of low noise, high performance analog devices and circuits.
  • Novel device structures in research laboratories have been fabricated on early, primitive versions of the relaxed buffer.
  • strained Si, surface channel nMOSFETs have been created that show enhancements of over 60% in intrinsic g m with electron mobility increases of over 75% (Rim et al, IEDM 98 Tech. Dig. p. 707).
  • Strained Si, buried channel devices demonstrating high transconductance and high mobility have also been fabricated (U. Konig, MRS Symposium Proceedings 533, 3 (1998)). Unfortunately, these devices possess a variety of problems with respect to commercialization.
  • the material quality that is generally available is insufficient for practical utilization, since the surface of SiGe on Si becomes very rough as the material is relaxed via dislocation introduction.
  • the invention describes a method of planarization and regrowth that allows all devices on relaxed SiGe to possess a significantly flatter surface. This reduction in surface roughness increases the yield for fine-line lithography, thus enabling the manufacture of strained Si devices.
  • Exemplary embodiments of the invention describe structures and methods to fabricate advanced strained-layer Si devices, and structures and methods to create circuits based on a multiplicity of devices, all fabricated from the same starting material platform. Starting from the same material platform is key to minimizing cost as well as to allowing as many circuit topologies to be built on this platform as possible.
  • the invention provides a material platform of planarized relaxed SiGe with regrown device layers.
  • the planarization and regrowth strategy allows device layers to have minimal surface roughness as compared to strategies in which device layers are grown without planarization.
  • This planarized and regrown platform is a host for strained Si devices that can possess optimal characteristics for both digital and analog circuits. Structures and processes are described that allow for the fabrication of high performance digital logic or analog circuits, but the same structure can be used to host a combination of digital and analog circuits, forming a single system-on-chip.
  • FIG. 1 is a schematic block diagram of a structure including a relaxed SiGe layer epitaxially grown on a Si substrate;
  • FIG. 2 is a schematic block diagram of an exemplary structure showing that the origin of the crosshatch pattern is the stress fields from injected misfit dislocations;
  • FIG. 3 is a table showing surface roughness data for relaxed SiGe buffers produced by dislocation injection via graded SiGe layers on Si substrates;
  • FIGS. 4A-4D show an exemplary process flow and resulting platform structure in accordance with the invention
  • FIGS. 5A-5D are schematic diagrams of the corresponding process flow and layer structure for a surface channel FET platform accordance with the invention.
  • FIGS. 6A-6D are schematic diagrams of the corresponding process flow and layer structure for a buried channel FET platform in accordance with the invention.
  • FIGS. 7A-7D are schematic diagrams of a process flow for a surface channel MOSFET in accordance with the invention.
  • FIGS. 8A and 8B are schematic block diagrams of surface channel devices with protective layers
  • FIGS. 9A and 9B are schematic block diagrams of surface channel devices with Si layers on Ge-rich layers for use in silicide formation
  • FIG. 10 is schematic diagram of a buried channel MOSFET after device isolation in accordance with the invention.
  • FIG. 11 is a schematic flow of the process, for any heterostructure FET device deposited on relaxed SiGe, in accordance with the invention.
  • FIGS. 12A-12D are schematic diagrams of a process flow in the case of forming the surface channel MOSFET in the top strained Si layer in accordance with the invention.
  • FIGS. 13A-13D are schematic diagrams of a process flow in the case of forming the surface channel MOSFET in the buried strained Si layer in accordance with the invention.
  • FIGS. 14A and 14B are schematic diagrams of surface and buried channel devices with Si 1-y Ge y channels on a relaxed Si 1-z Ge z layer.
  • FIG. 1 is a schematic block diagram of a structure 100 including a relaxed SiGe-layer epitaxially grown on a Si substrate 102 .
  • a compositionally graded buffer layer 104 is used to accommodate the lattice mismatch between the uniform SiGe layer 106 and the Si substrate. By spreading the lattice mismatch over a distance, the graded buffer minimizes the number of dislocations reaching the surface and thus provides a method for growing high-quality relaxed SiGe films on Si.
  • any method of growing a high-quality, relaxed SiGe layer on Si will produce roughness on the surface of the SiGe layer in a well-known crosshatch pattern.
  • This crosshatch pattern is typically a few hundred angstroms thickness over distances of microns.
  • the crosshatch pattern is a mild, undulating surface morphology with respect to the size of the electron or hole. For that reason, it is possible to create individual devices that achieve enhancements over their control Si device counterparts.
  • commercialization of these devices requires injection of the material into the Si CMOS process environment to achieve low cost, high performance targets. This processing environment requires that the material and device characteristics have minimal impact on the manufacturing process.
  • the crosshatch pattern on the surface of the wafer is one limiting characteristic of relaxed SiGe on Si that affects the yield and the ease of manufacture. Greater planarity is desired for high yield and ease in lithography.
  • the origin of the crosshatch pattern is the stress fields from the injected misfit-dislocations. This effect is depicted by the exemplary structure 200 shown in FIG. 2 .
  • the dislocations must be introduced in order to accommodate the lattice-mismatch between the SiGe alloy and the Si substrate.
  • the stress fields originate at the dislocations, and are terminated at the surface of the film. However, the termination at the surface creates crystal lattices that vary from place to place on the surface of the wafer. Since growth rate can be correlated to lattice constant size, different thicknesses of deposition occur at different points on the wafer. One may think that thick layer growth beyond the misfit dislocations will smooth the layer of these thickness differences. Unfortunately, the undulations on the surface have a relatively long wavelength; therefore, surface diffusion is typically not great enough to remove the morphology.
  • FIG. 3 is a table that displays surface roughness data for relaxed SiGe buffers produced by dislocation injection via graded SiGe layers on Si substrates. Note that the as-grown crosshatch pattern for relaxed Si 0.8 Ge 0.2 buffers creates a typical roughness of approximately 7.9 nm. This average roughness increases as the Ge content in the relaxed buffer is increased. Thus, for any SiGe layer that is relaxed through dislocation introduction during growth, the surface roughness is unacceptable for state-of-the-art fabrication facilities. After the process in which the relaxed SiGe is planarized, the average roughness is less than 2 nm (typically 0.57 nm), and after device layer deposition, the average roughness is 0.77 nm with a 1.5 ⁇ m regrowth thickness. Therefore, after the complete structure is fabricated, over one order of magnitude of roughness reduction can be achieved.
  • 2 nm typically 0.57 nm
  • the average roughness is 0.77 nm with a 1.5 ⁇ m regrowth thickness. Therefore, after the complete structure is
  • the regrowth device layers can be either greater than or less than the critical thickness of the regrowth layer.
  • thin layers can be deposited without fear of dislocation introduction at the interface.
  • any lattice-mismatch between the film and substrate will introduce misfit dislocations into the regrown heterostructure. These new dislocations can cause additional surface roughness.
  • the lattice-mismatch between the regrowth device layers and relaxed SiGe buffer is too great, the effort of planarizing the relaxed SiGe may be lost since massive dislocation introduction will roughen the surface.
  • the regrowth thickness There are two distinct possibilities with respect to the regrowth thickness and the quality of surface. If the regrowth layers are very thin, then exact lattice matching of the regrowth layer composition and the relaxed buffer composition is not necessary. In this case, the surface roughness will be very low, approximately equal to the post-planarization flatness. However, in many applications for devices, the regrowth layer thickness will be 1-2 ⁇ m or more. For a 1% difference in Ge concentration between the relaxed SiGe and the regrowth layer, the critical thickness is approximately 0.5 ⁇ m. Thus, if optimal flatness is desired, it is best to keep the regrowth layer below approximately 0.5 ⁇ m unless excellent control of the uniformity of Ge concentration across the wafer is achieved.
  • FIG. 3 shows that less precise matching, i.e., within 2% Ge, results in misfit dislocation introduction and introduction of a new crosshatch pattern.
  • the lattice mismatch is so small, the average roughness is still very low, approximately 0.77 nm. Thus, either lattice-matching or slight mismatch will result in excellent device layer surfaces for processing.
  • the relaxed SiGe alloy with surface roughness may not necessarily be a uniform composition relaxed SiGe layer on a graded composition layer.
  • this material layer structure has been shown to be an early example of high quality relaxed SiGe, there are some disadvantages to this structure.
  • SiGe alloys possess a much worse coefficient of thermal conductivity than pure Si.
  • it may be relatively difficult to guide the heat away from the device areas due to the thick graded composition layer and uniform composition layer.
  • FIGS. 4A-4D show an exemplary process flow and resulting platform structure in accordance with the invention.
  • the structure is produced by first forming a relaxed uniform SiGe alloy 400 via a compositionally graded layer 402 on a Si substrate 404 .
  • the SiGe layer 400 is then transferred to a second Si substrate 406 using conventional bonding.
  • the uniform SiGe alloy 400 on the graded layer 402 can be planarized to remove the crosshatch pattern, and that relaxed SiGe alloy can be bonded to the Si wafer.
  • the graded layer 402 and the original substrate 404 can be removed by a variety of conventional processes.
  • one process is to grind the original Si substrate away and selectively etch to the SiGe, either by a controlled dry or wet etch, or by embedding an etch stop layer.
  • the end result is a relaxed SiGe alloy 400 on Si without the thick graded layer. This structure is more suited for high power applications since the heat can be conducted away from the SiGe layer more efficiently.
  • the bond and substrate removal technique can also be used to produce SiGe on insulator substrates, or SGOI.
  • An SGOI wafer is produced using the same technique shown in FIGS. 4A-4D ; however, the second substrate is coated with a SiO 2 layer before bonding.
  • both wafers can be coated with SiO 2 to enable oxide-to-oxide bonding.
  • the resulting structure after substrate removal is a high quality, relaxed SiGe layer on an insulating film. Devices built on this platform can utilize the performance enhancements of both strained Si and the SOI architecture.
  • the SiGe layer is transferred to another host substrate, one may still need to planarize before regrowing the device layer structure.
  • the SiGe surface can be too rough for state of the art processing due to the substrate removal technique.
  • the relaxed SiGe is planarized, and the device layers are regrown on top of the high-quality relaxed SiGe surface.
  • FIGS. 5 and 6 show the process sequence and regrowth layers required to create embodiments of surface channel and buried channel FETs, respectively.
  • FIGS. 5A-5D are schematic diagrams of a process flow and resulting layer structure in accordance with the invention.
  • FIG. 5A shows the surface roughness 500 , which is typical of a relaxed SiGe alloy 502 on a substrate 504 , as an exaggerated wavy surface.
  • the substrate is labeled in a generic way, since the substrate could itself be Si, a relaxed compositionally graded SiGe layer on Si, or another material in which the relaxed SiGe has been transferred through a wafer bonding and removal technique.
  • the relaxed SiGe alloy 502 is planarized ( FIG. 5B ) to remove the substantial roughness, and then device regrowth layers 506 are epitaxially deposited ( FIG. 5C ).
  • a strained Si layer 508 of thickness less than 0.1 ⁇ m is then grown on top of the relaxed SiGe 502 with an optional sacrificial layer 510 , as shown in FIG. 5D .
  • the strained layer 508 is the layer that will be used as the channel in the final CMOS devices.
  • FIGS. 6A-6D are schematic diagrams of the corresponding process flow and layer structure for a buried channel FET platform in accordance with the invention.
  • the regrowth layers 606 include a lattice matched SiGe layer 602 , a strained Si channel layer 608 with a thickness of less than 0.05 ⁇ m, a SiGe separation or spacer layer 612 , a Si gate oxidation layer 614 , and an optional sacrificial layer 610 used to protect the heterostructure during the initial device processing steps.
  • FIGS. 7A-7D A simplified version of the process flow for a surface channel MOSFET in accordance with the invention is shown in FIGS. 7A-7D .
  • This surface channel MOSFET contains a relaxed SiGe layer 700 and a strained Si layer 702 .
  • the device isolation oxide 704 depicted in FIG. 7A , is typically formed first.
  • the SiN layer 706 which is on top of a thin pad oxide layer 708 , serves as a hard mask for either local oxidation of silicon (LOCOS) or shallow trench isolation (STI).
  • LOC local oxidation of silicon
  • STI shallow trench isolation
  • FIG. 7B is a schematic of the device area after the gate oxide 716 growth and the shallow-source drain implant.
  • the implant regions 710 are self-aligned by using a poly-Si gate 712 patterned with photoresist 714 as a masking layer.
  • deep source-drain implants 718 are positioned using conventional spacer 720 formation and the device is electrically contacted through the formation of silicide 722 at the gate and silicide/germanides 724 at the source and drain ( FIG. 7C ).
  • FIG. 7D is a schematic of the device after the first level of metal interconnects 726 have been deposited and etched.
  • the removal of surface material during processing becomes more critical than with standard Si.
  • the structure that is regrown consists primarily of nearly lattice-matched SiGe, and a thin surface layer of strained Si.
  • Many of the processes that are at the beginning of a Si fabrication sequence strip Si from the surface. If the processing is not carefully controlled, the entire strained Si layer can be removed before the gate oxidation. The resulting device will be a relaxed SiGe channel FET and thus the benefits of a strained Si channel will not be realized.
  • a logical solution to combat Si removal during initial processing is to make the strained Si layer thick enough to compensate for this removal.
  • thick Si layers are not possible for two reasons.
  • the enhanced electrical properties originate from the fact that the Si is strained and thick layers experience strain relief through the introduction of misfit dislocations.
  • the misfit dislocations themselves are undesirable in significant quantity, since they can scatter carriers and increase leakage currents in junctions.
  • FIGS. 8A and 8B show a strained Si heterostructure of a relaxed SiGe layer 800 and a strained Si channel layer 802 protected by a surface layer 804 of SiGe.
  • the surface SiGe layer 804 should have a Ge concentration similar to that of the relaxed SiGe layer 800 below, so that the thickness is not limited by critical thickness constraints.
  • the SiGe sacrificial layer is removed instead of the strained Si channel layer.
  • the thickness of the sacrificial layer can either be tuned to equal the removal thickness, or can be made greater than the removal thickness. In the latter case, the excess SiGe can be selectively removed before the gate oxidation step to reveal a clean, strained Si layer at the as grown thickness. If the particular fabrication facility prefers a Si terminated surface, a sacrificial Si layer may be deposited on top of the SiGe sacrificial cap layer.
  • FIG. 8B shows a structure where a layer 806 of SiO 2 and a surface layer 808 of either a poly-crystalline or an amorphous material are used as protective layers.
  • an oxide layer is either grown or deposited after the epitaxial growth of the strained Si layer.
  • a polycrystalline or amorphous layer of Si, SiGe, or Ge is deposited.
  • These semiconductor layers protect the strained-Si layer in the same manner as a SiGe cap during the processing steps before gate oxidation.
  • the poly/amorphous and oxide layers are selectively removed.
  • the sacrificial layers are shown as protection for a surface channel device, the same techniques can be employed in a buried channel heterostructure.
  • FIG. 7C Another way in which conventional Si processing is modified is during the source-drain silicide-germanide formation ( FIG. 7C ).
  • a metal typically Ti, Co, or Ni
  • the metal reacts with both Si and Ge simultaneously. Since the suicides have much lower free energy than the germanides, there is a tendency to form a silicide while the Ge is expelled. The expelled germanium creates agglomeration and increases the resistance of the contacts. This increase in series resistance offsets the benefits of the extra drive current from the heterostructure, and negates the advantages of the structure.
  • Ti and Ni can form phases in which the Ge is not rejected severely, thus allowing the formation of a good contact. Co is much more problematic.
  • a protective layer(s) at the device epitaxy stage can be applied instead of optimizing the SiGe-metal reaction.
  • the strained Si that will become the surface channel can be coated with a high-Ge-content SiGe alloy (higher Ge content than the initial relaxed SiGe), followed by strained Si.
  • Two approaches are possible using these surface contact layers. Both methods introduce thick Si at the surface and allow the conventional silicide technology to be practiced without encountering the problems with SiGe-metal reactions.
  • the first approach shown on a surface channel heterostructure 900 in FIG. 9A , uses a Ge-rich layer 906 thin enough that it is substantially strained.
  • the layer 906 is provided on a strained Si channel layer 904 and relaxed SiGe layer 902 .
  • the compressive Ge-rich layer 906 acts as a barrier to dislocations entering the strained Si channel 904 .
  • This barrier is beneficial since dislocations do not adversely affect the silicide process; thus, their presence in the subsequent Si layer 908 is of no consequence.
  • the dislocations were to penetrate to the channel, there would be adverse effects on the device.
  • a second approach, shown in FIG. 9B is to allow a Ge-rich layer 910 to intentionally exceed the critical thickness, thereby causing substantial relaxation in the Ge-rich layer.
  • an arbitrarily thick Si layer 912 can be applied on top of the relaxed Ge-rich layer. This layer will contain more defects than the strained channel, but the defects play no role in device operation since this Si is relevant only in the silicide reaction. In both cases, the process is free from the metal-SiGe reaction concerns, since the metal will react with Si-only.
  • Si/SiGe FET heterostructures to achieve enhanced performance is the compatibility with conventional Si techniques. Many of the processes are identical to Si CMOS processing, and once the front-end of the process, i.e., the processing of the Si/SiGe heterostructure, is complete, the entire back-end process is uninfluenced by the fact that Si/SiGe lies below.
  • FIG. 10 is a schematic block diagram of a buried channel MOSFET structure 1000 after the device isolation oxide 1016 has been formed using a SiN mask 1014 .
  • the strained channel 1002 on a first SiGe layer 1010 is separated from the surface by the growth of another SiGe layer 1004 , followed by another Si layer 1006 .
  • This Si layer is needed for the gate oxide 1008 since gate-oxide formation on SiGe produces a very high interface state density, thus creating non-ideal MOSFETs.
  • this Si layer is that if it is too thick, a substantial portion of the Si layer will remain after the gate oxidation. Carriers can populate this residual Si layer, creating a surface channel in parallel with the desired buried channel and leading to deleterious device properties.
  • the surface layer Si must be kept as thin as possible, typically less than 50 ⁇ and ideally in the range of 5-15 ⁇ .
  • a supply layer implant Another added feature that is necessary for a buried channel device is the supply layer implant.
  • the field experienced in the vertical direction when the device is turned on is strong enough to pull carriers from the buried channel 1002 and force them to populate a Si channel 1006 near the Si/SiO 2 interface 1012 , thus destroying any advantage of the buried channel.
  • a supply layer of dopant must be introduced either in the layer 1004 between the buried channel and the top Si layer 1006 , or below the buried channel in the underlying SiGe 1010 . In this way, the device is forced on with little or no applied voltage, and turned off by applying a voltage (depletion mode device).
  • FIG. 11 is a schematic flow of the process, for any heterostructure FET device deposited on relaxed SiGe, in accordance with the invention.
  • the main process steps are shown in the boxes, and optional steps or comments are shown in the circles.
  • the first three steps ( 1100 , 1102 , 1104 ) describe the fabrication of the strained silicon heterostructure.
  • the sequence includes production of relaxed SiGe on Si, planarization of the SiGe, and regrowth of the device layers.
  • MOS fabrication begins with device isolation ( 1112 ) using either STI ( 1110 ) or LOCOS ( 1108 ).
  • buried channel devices undergo a supply and threshold implant ( 1114 ), and any protective layers applied to either a buried or surface channel heterostructure must be selectively removed ( 1116 ).
  • the processing sequence after the gate oxidation ( 1118 ) is similar to conventional Si CMOS processing. These steps include gate deposition, doping, and definition ( 1120 ), self-aligned shallow source-drain implant ( 1122 ), spacer formation ( 1124 ), self-aligned deep source-drain implant ( 1126 ), salicide formation ( 1128 ), and pad isolation via metal deposition and etch ( 1130 ). The steps requiring significant alteration have been discussed.
  • FIG. 11 One particular advantage of the process of FIG. 11 is that it enables the use of surface channel and buried channel devices on the same platform.
  • FIGS. 12A-12D and FIGS. 13A-13D show a universal substrate layer configuration and a process that leads to the co-habitation of surface and buried channel MOSFETs on the same chip.
  • the universal substrate is one in which both surface channel and buried channel devices can be fabricated.
  • FIGS. 12 and 13 There are two possibilities in fabricating the surface channel device in this sequence, shown in FIGS. 12 and 13 .
  • the process flows for combining surface and buried channel are similar to the previous process described in FIG. 7 . Therefore, only the critical steps involved in exposing the proper gate areas are shown in FIGS. 12 and 13 .
  • FIGS. 12A and 13A depict the same basic heterostructure 1200 , 1300 for integrating surface channel and buried channel devices.
  • a surface strained Si layer 1202 , 1302 There is a surface strained Si layer 1202 , 1302 , a SiGe spacer layer 1204 , 1304 , a buried strained Si layer 1206 , 1306 , and a relaxed platform of SiGe 1208 , 1308 .
  • Two strained Si layers are necessary because the buried channel MOSFET requires a surface Si layer to form the gate oxide and a buried Si layer to form the device channel.
  • the figures also show a device isolation region 1210 that separates the buried channel device area 1212 , 1312 from the surface channel device area 1214 , 1314 .
  • FIG. 12B is a schematic diagram of a surface channel gate oxidation 1216 in the top Si layer 1202 .
  • a thicker top Si layer is desired, since after oxidation, a residual strained Si layer must be present to form the channel.
  • FIG. 12B also shows a possible position for the buried channel supply implant 1218 , which is usually implanted before the buried channel gate oxide is grown.
  • top Si layer is optimized for the surface channel device, it may be necessary to strip some of the top strained Si in the regions 1220 where buried channel devices are being created, as shown in FIG. 12C . This removal is necessary in order to minimize the surface Si thickness after gate oxide 1222 formation ( FIG. 12D ), and thus avoid the formation of a parallel device channel.
  • the top strained Si layer can be thin, i.e., designed optimally for the buried channel MOSFET.
  • FIG. 13B the top strained Si and SiGe layers are removed in the region 1312 where the surface channel MOSFETs are formed. Because Si and SiGe have different properties, a range of selective removal techniques can be used, such as wet or dry chemical etching. Selective oxidation can also be used since SiGe oxidizes at much higher rates than Si, especially under wet oxidation conditions.
  • FIG. 13C shows the gate oxidation 1314 of the surface channel device as well as the supply layer implant 1316 for the buried channel device.
  • 13D shows the position of the buried channel gate oxide 1318 . No thinning of the top Si layer is required prior to the oxidation since the epitaxial thickness is optimized for the buried channel device. Subsequent to these initial steps, the processing for each device proceeds as previously described.
  • Another key step in the process is the use of a localized implant to create the supply layer needed in the buried channel device.
  • a localized implant to create the supply layer needed in the buried channel device.
  • MOSFET structure when the channel is turned on, large vertical fields are present that bring carriers to the surface.
  • the band offset between the Si and SiGe that confines the electrons in the buried strained Si layer is not large enough to prevent carriers from being pulled out of the buried channel.
  • the buried channel MOSFET would appear useless.
  • the MOSFET would become a depletion-mode device, i.e. normally on and requiring bias to turn off the channel.
  • a supply layer implant can be created in the regions where the buried channel will be fabricated, thus easing process integration. If for some reason the supply layer implant is not possible, note that the process shown in FIG. 11 in which the surface channel is created on the buried Si layer is an acceptable process, since the dopant can be introduced into the top SiGe layer during epitaxial growth. The supply layer is then removed from the surface channel MOSFET areas when the top SiGe and strained Si layers are selectively etched away.
  • FIGS. 14A and 14B are schematic diagrams of surface 1400 and buried 1450 channel devices with Si 1-y Ge y channels 1402 on a relaxed Si 1-z Ge z layer 1404 .
  • the devices are shown after salicidation and thus contain a poly-Si gate 1410 , gate oxide 1408 , silicide regions 1412 , spacers 1414 , and doped regions 1416 .
  • a thin layer 1406 of Si must be deposited onto the Si 1-y Ge y layer 1402 to form the gate oxide 1408 , as previously described for buried channel devices.
  • the device layer sequence is unchanged and consists of a buried strained channel 1402 , a SiGe spacer layer 1418 , and a surface Si layer 1420 for oxidation.
  • the lattice constant of the channel layer must be less than that of the relaxed SiGe layer, i.e., y must be less than z. Since n-channel devices are sensitive to alloy scattering, the highest mobilities result when the Ge concentration in the channel is low. In order to have strain on this channel layer at a reasonable critical thickness, the underlying SiGe should have a Ge concentration in the range of 10-50%.
  • enhancement mode surface channel devices n and p channel, through implants as in typical Si CMOS technology
  • depletion-mode buried channel MOSFETs and MODFETs it is possible to create highly integrated digital/analog systems.
  • the enhancement mode devices can be fabricated into high performance CMOS, and the regions of an analog circuit requiring the high performance low-noise depletion mode device can be fabricated in the buried channel regions.
  • optimal communication stages, digital processing stages, etc. on a single platform.
  • These different regions are connected electrically in the backend of the Si CMOS chip, just as transistors are connected by the back-end technology today.
  • the only changes to the CMOS process are some parameters in the processes in the fabrication facility, and the new material, but otherwise, the entire manufacturing process is transparent to the change.
  • the economics favor such a platform for integrated Si CMOS systems on chip.

Abstract

Structures and methods for fabricating high speed digital, analog, and combined digital/analog systems using planarized relaxed SiGe as the materials platform. The relaxed SiGe allows for a plethora of strained Si layers that possess enhanced electronic properties. By allowing the MOSFET channel to be either at the surface or buried, one can create high-speed digital and/or analog circuits. The planarization before the device epitaxial layers are deposited ensures a flat surface for state-of-the-art lithography.

Description

    PRIORITY INFORMATION
  • This application claims priority from provisional application Ser. No. 60/273,112 filed Mar. 2, 2001.
  • BACKGROUND OF THE INVENTION
  • The invention relates to the field of relaxed SiGe platforms for high speed CMOS electronics and high speed analog circuits.
  • Si CMOS as a platform for digital integrated circuits has progressed predictably through the industry roadmap. The progress is created through device miniaturization, leading to higher performance, greater reliability, and lower cost. However, new bottlenecks in data flow are appearing as the interconnection hierarchy is expanded. Although digital integrated circuits have progressed at unprecedented rates, analog circuitry has hardly progressed at all. Furthermore, it appears that in the near future, serious economic and technological issues will confront the progress of digital integrated circuits.
  • The digital and communication chip markets need an enhancement to Si CMOS and the maturing roadmap. One promising candidate material that improves digital integrated circuit technology and introduces new analog integrated circuit possibilities is relaxed SiGe material on Si substrates. Relaxed SiGe alloys on Si can have thin layers of Si deposited on them, creating tension in the thin Si layers. Tensile Si layers have many advantageous properties for the basic device in integrated circuits, the metal-oxide field effect transistor (MOSFET). First, placing Si in tension increases the mobility of electrons moving parallel to the surface of the wafer, thus increasing the frequency of operation of the MOSFET and the associated circuit. Second, the band offset between the relaxed SiGe and the tensile Si will confine electrons in the Si layer. Therefore, in an electron channel device (n-channel), the channel can be removed from the surface or ‘buried’. This ability to spatially separate the charge carriers from scattering centers such as ionized impurities and the ‘rough’ oxide interface enables the production of low noise, high performance analog devices and circuits.
  • A key development in this field was the invention of relaxed SiGe buffers with low threading dislocation densities. The key background inventions in this area are described in U.S. Pat. No. 5,442,205 issued to Brasen et al. and U.S. Pat. No. 6,107,653 issued to Fitzgerald. These patents define the current best methods of fabricating high quality relaxed SiGe.
  • Novel device structures in research laboratories have been fabricated on early, primitive versions of the relaxed buffer. For example, strained Si, surface channel nMOSFETs have been created that show enhancements of over 60% in intrinsic gm with electron mobility increases of over 75% (Rim et al, IEDM 98 Tech. Dig. p. 707). Strained Si, buried channel devices demonstrating high transconductance and high mobility have also been fabricated (U. Konig, MRS Symposium Proceedings 533, 3 (1998)). Unfortunately, these devices possess a variety of problems with respect to commercialization. First, the material quality that is generally available is insufficient for practical utilization, since the surface of SiGe on Si becomes very rough as the material is relaxed via dislocation introduction. These dislocations are essential in the growth of relaxed SiGe layers on Si since they compensate for the stress induced by the lattice mismatch between the materials. For more than 10 years, researchers have tried to intrinsically control the surface morphology through epitaxial growth, but since the stress fields from the misfit dislocations affect the growth front, no intrinsic epitaxial solution is possible. The invention describes a method of planarization and regrowth that allows all devices on relaxed SiGe to possess a significantly flatter surface. This reduction in surface roughness increases the yield for fine-line lithography, thus enabling the manufacture of strained Si devices.
  • A second problem with the strained Si devices made to date is that researchers have been concentrating on devices optimized for very different applications. The surface channel devices have been explored to enhance conventional MOSFET devices, whereas the buried channel devices have been constructed in ways that mimic the buried channel devices previously available only in III-V materials systems, like AlGaAs/GaAs. Recognizing that the Si manufacturing infrastructure needs a materials platform that is compatible with Si, scalable, and capable of being used in the plethora of Si integrated circuit applications, the disclosed invention provides a platform that allows both the enhancement of circuits based on Si CMOS, as well as the fabrication of analog circuits. Thus, high performance analog or digital systems can be designed with this platform. An additional advantage is that both types of circuits can be fabricated in the CMOS process, and therefore a combined, integrated digital/analog system can be designed as a single-chip solution.
  • With these advanced SiGe material platforms, it is now possible to provide a variety of device and circuit topologies that take advantage of this new materials system. Exemplary embodiments of the invention describe structures and methods to fabricate advanced strained-layer Si devices, and structures and methods to create circuits based on a multiplicity of devices, all fabricated from the same starting material platform. Starting from the same material platform is key to minimizing cost as well as to allowing as many circuit topologies to be built on this platform as possible.
  • SUMMARY OF THE INVENTION
  • Accordingly, the invention provides a material platform of planarized relaxed SiGe with regrown device layers. The planarization and regrowth strategy allows device layers to have minimal surface roughness as compared to strategies in which device layers are grown without planarization. This planarized and regrown platform is a host for strained Si devices that can possess optimal characteristics for both digital and analog circuits. Structures and processes are described that allow for the fabrication of high performance digital logic or analog circuits, but the same structure can be used to host a combination of digital and analog circuits, forming a single system-on-chip.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic block diagram of a structure including a relaxed SiGe layer epitaxially grown on a Si substrate;
  • FIG. 2 is a schematic block diagram of an exemplary structure showing that the origin of the crosshatch pattern is the stress fields from injected misfit dislocations;
  • FIG. 3 is a table showing surface roughness data for relaxed SiGe buffers produced by dislocation injection via graded SiGe layers on Si substrates;
  • FIGS. 4A-4D show an exemplary process flow and resulting platform structure in accordance with the invention;
  • FIGS. 5A-5D are schematic diagrams of the corresponding process flow and layer structure for a surface channel FET platform accordance with the invention;
  • FIGS. 6A-6D are schematic diagrams of the corresponding process flow and layer structure for a buried channel FET platform in accordance with the invention;
  • FIGS. 7A-7D are schematic diagrams of a process flow for a surface channel MOSFET in accordance with the invention;
  • FIGS. 8A and 8B are schematic block diagrams of surface channel devices with protective layers;
  • FIGS. 9A and 9B are schematic block diagrams of surface channel devices with Si layers on Ge-rich layers for use in silicide formation;
  • FIG. 10 is schematic diagram of a buried channel MOSFET after device isolation in accordance with the invention;
  • FIG. 11 is a schematic flow of the process, for any heterostructure FET device deposited on relaxed SiGe, in accordance with the invention;
  • FIGS. 12A-12D are schematic diagrams of a process flow in the case of forming the surface channel MOSFET in the top strained Si layer in accordance with the invention;
  • FIGS. 13A-13D are schematic diagrams of a process flow in the case of forming the surface channel MOSFET in the buried strained Si layer in accordance with the invention; and
  • FIGS. 14A and 14B are schematic diagrams of surface and buried channel devices with Si1-yGey channels on a relaxed Si1-zGez layer.
  • DETAILED DESCRIPTION OF THE INVENTION
  • FIG. 1 is a schematic block diagram of a structure 100 including a relaxed SiGe-layer epitaxially grown on a Si substrate 102. In this structure, a compositionally graded buffer layer 104 is used to accommodate the lattice mismatch between the uniform SiGe layer 106 and the Si substrate. By spreading the lattice mismatch over a distance, the graded buffer minimizes the number of dislocations reaching the surface and thus provides a method for growing high-quality relaxed SiGe films on Si.
  • Any method of growing a high-quality, relaxed SiGe layer on Si will produce roughness on the surface of the SiGe layer in a well-known crosshatch pattern. This crosshatch pattern is typically a few hundred angstroms thickness over distances of microns. Thus, the crosshatch pattern is a mild, undulating surface morphology with respect to the size of the electron or hole. For that reason, it is possible to create individual devices that achieve enhancements over their control Si device counterparts. However, commercialization of these devices requires injection of the material into the Si CMOS process environment to achieve low cost, high performance targets. This processing environment requires that the material and device characteristics have minimal impact on the manufacturing process. The crosshatch pattern on the surface of the wafer is one limiting characteristic of relaxed SiGe on Si that affects the yield and the ease of manufacture. Greater planarity is desired for high yield and ease in lithography.
  • The origin of the crosshatch pattern is the stress fields from the injected misfit-dislocations. This effect is depicted by the exemplary structure 200 shown in FIG. 2. By definition, the dislocations must be introduced in order to accommodate the lattice-mismatch between the SiGe alloy and the Si substrate. The stress fields originate at the dislocations, and are terminated at the surface of the film. However, the termination at the surface creates crystal lattices that vary from place to place on the surface of the wafer. Since growth rate can be correlated to lattice constant size, different thicknesses of deposition occur at different points on the wafer. One may think that thick layer growth beyond the misfit dislocations will smooth the layer of these thickness differences. Unfortunately, the undulations on the surface have a relatively long wavelength; therefore, surface diffusion is typically not great enough to remove the morphology.
  • FIG. 3 is a table that displays surface roughness data for relaxed SiGe buffers produced by dislocation injection via graded SiGe layers on Si substrates. Note that the as-grown crosshatch pattern for relaxed Si0.8Ge0.2 buffers creates a typical roughness of approximately 7.9 nm. This average roughness increases as the Ge content in the relaxed buffer is increased. Thus, for any SiGe layer that is relaxed through dislocation introduction during growth, the surface roughness is unacceptable for state-of-the-art fabrication facilities. After the process in which the relaxed SiGe is planarized, the average roughness is less than 2 nm (typically 0.57 nm), and after device layer deposition, the average roughness is 0.77 nm with a 1.5 μm regrowth thickness. Therefore, after the complete structure is fabricated, over one order of magnitude of roughness reduction can be achieved.
  • The regrowth device layers can be either greater than or less than the critical thickness of the regrowth layer. In general, in any lattice-mismatched epitaxial growth, thin layers can be deposited without fear of dislocation introduction at the interface. At a great enough thickness, any lattice-mismatch between the film and substrate will introduce misfit dislocations into the regrown heterostructure. These new dislocations can cause additional surface roughness. Thus, if the lattice-mismatch between the regrowth device layers and relaxed SiGe buffer is too great, the effort of planarizing the relaxed SiGe may be lost since massive dislocation introduction will roughen the surface.
  • There are two distinct possibilities with respect to the regrowth thickness and the quality of surface. If the regrowth layers are very thin, then exact lattice matching of the regrowth layer composition and the relaxed buffer composition is not necessary. In this case, the surface roughness will be very low, approximately equal to the post-planarization flatness. However, in many applications for devices, the regrowth layer thickness will be 1-2 μm or more. For a 1% difference in Ge concentration between the relaxed SiGe and the regrowth layer, the critical thickness is approximately 0.5 μm. Thus, if optimal flatness is desired, it is best to keep the regrowth layer below approximately 0.5 μm unless excellent control of the uniformity of Ge concentration across the wafer is achieved. Although this composition matching is achievable in state-of-the-art tools, FIG. 3 shows that less precise matching, i.e., within 2% Ge, results in misfit dislocation introduction and introduction of a new crosshatch pattern. However, because the lattice mismatch is so small, the average roughness is still very low, approximately 0.77 nm. Thus, either lattice-matching or slight mismatch will result in excellent device layer surfaces for processing.
  • It is also noted that the relaxed SiGe alloy with surface roughness may not necessarily be a uniform composition relaxed SiGe layer on a graded composition layer. Although this material layer structure has been shown to be an early example of high quality relaxed SiGe, there are some disadvantages to this structure. For example, SiGe alloys possess a much worse coefficient of thermal conductivity than pure Si. Thus, for electronic devices located at the surface, it may be relatively difficult to guide the heat away from the device areas due to the thick graded composition layer and uniform composition layer.
  • Another exemplary embodiment of the invention, shown in FIGS. 4A-4D, solves this problem and creates a platform for high power SiGe devices. FIGS. 4A-4D show an exemplary process flow and resulting platform structure in accordance with the invention. The structure is produced by first forming a relaxed uniform SiGe alloy 400 via a compositionally graded layer 402 on a Si substrate 404. The SiGe layer 400 is then transferred to a second Si substrate 406 using conventional bonding. For example, the uniform SiGe alloy 400 on the graded layer 402 can be planarized to remove the crosshatch pattern, and that relaxed SiGe alloy can be bonded to the Si wafer. The graded layer 402 and the original substrate 404 can be removed by a variety of conventional processes. For example, one process is to grind the original Si substrate away and selectively etch to the SiGe, either by a controlled dry or wet etch, or by embedding an etch stop layer. The end result is a relaxed SiGe alloy 400 on Si without the thick graded layer. This structure is more suited for high power applications since the heat can be conducted away from the SiGe layer more efficiently.
  • The bond and substrate removal technique can also be used to produce SiGe on insulator substrates, or SGOI. An SGOI wafer is produced using the same technique shown in FIGS. 4A-4D; however, the second substrate is coated with a SiO2 layer before bonding. In an alternative embodiment, both wafers can be coated with SiO2 to enable oxide-to-oxide bonding. The resulting structure after substrate removal is a high quality, relaxed SiGe layer on an insulating film. Devices built on this platform can utilize the performance enhancements of both strained Si and the SOI architecture.
  • It will be appreciated that in the scenario where the SiGe layer is transferred to another host substrate, one may still need to planarize before regrowing the device layer structure. The SiGe surface can be too rough for state of the art processing due to the substrate removal technique. In this case, the relaxed SiGe is planarized, and the device layers are regrown on top of the high-quality relaxed SiGe surface.
  • Planarization of the surface via mechanical or other physical methods is required to flatten the surface and to achieve CMOS-quality devices. However, the field effect transistors (FETs) that allow for enhanced digital and analog circuits are very thin, and thus would be removed by the planarization step. Thus, a first part of the invention is to realize that relaxed SiGe growth and planarization, followed by device layer regrowth, is key to creating a high-performance, high yield enhanced CMOS platform. FIGS. 5 and 6 show the process sequence and regrowth layers required to create embodiments of surface channel and buried channel FETs, respectively.
  • FIGS. 5A-5D are schematic diagrams of a process flow and resulting layer structure in accordance with the invention. FIG. 5A shows the surface roughness 500, which is typical of a relaxed SiGe alloy 502 on a substrate 504, as an exaggerated wavy surface. Note that the substrate is labeled in a generic way, since the substrate could itself be Si, a relaxed compositionally graded SiGe layer on Si, or another material in which the relaxed SiGe has been transferred through a wafer bonding and removal technique. The relaxed SiGe alloy 502 is planarized (FIG. 5B) to remove the substantial roughness, and then device regrowth layers 506 are epitaxially deposited (FIG. 5C). It is desirable to lattice-match the composition of the regrowth layer 506 as closely as possible to the relaxed SiGe 502; however, a small amount of mismatch and dislocation introduction at the interface is tolerable since the surface remains substantially planar. For a surface channel device, a strained Si layer 508 of thickness less than 0.1 μm is then grown on top of the relaxed SiGe 502 with an optional sacrificial layer 510, as shown in FIG. 5D. The strained layer 508 is the layer that will be used as the channel in the final CMOS devices.
  • FIGS. 6A-6D are schematic diagrams of the corresponding process flow and layer structure for a buried channel FET platform in accordance with the invention. In this structure, the regrowth layers 606 include a lattice matched SiGe layer 602, a strained Si channel layer 608 with a thickness of less than 0.05 μm, a SiGe separation or spacer layer 612, a Si gate oxidation layer 614, and an optional sacrificial layer 610 used to protect the heterostructure during the initial device processing steps.
  • Once the device structure has been deposited, the rest of the process flow for device fabrication is very similar to that of bulk Si. A simplified version of the process flow for a surface channel MOSFET in accordance with the invention is shown in FIGS. 7A-7D. This surface channel MOSFET contains a relaxed SiGe layer 700 and a strained Si layer 702. The device isolation oxide 704, depicted in FIG. 7A, is typically formed first. In this step, the SiN layer 706, which is on top of a thin pad oxide layer 708, serves as a hard mask for either local oxidation of silicon (LOCOS) or shallow trench isolation (STI). Both techniques use a thick oxide (relative to device dimensions) to provide a high threshold voltage between devices; however, STI is better suited for sub-quarter-micron technologies. FIG. 7B is a schematic of the device area after the gate oxide 716 growth and the shallow-source drain implant. The implant regions 710 are self-aligned by using a poly-Si gate 712 patterned with photoresist 714 as a masking layer. Subsequently, deep source-drain implants 718 are positioned using conventional spacer 720 formation and the device is electrically contacted through the formation of silicide 722 at the gate and silicide/germanides 724 at the source and drain (FIG. 7C). FIG. 7D is a schematic of the device after the first level of metal interconnects 726 have been deposited and etched.
  • Since there are limited-thickness layers on top of the entire structure, the removal of surface material during processing becomes more critical than with standard Si. For surface channel devices the structure that is regrown consists primarily of nearly lattice-matched SiGe, and a thin surface layer of strained Si. Many of the processes that are at the beginning of a Si fabrication sequence strip Si from the surface. If the processing is not carefully controlled, the entire strained Si layer can be removed before the gate oxidation. The resulting device will be a relaxed SiGe channel FET and thus the benefits of a strained Si channel will not be realized.
  • A logical solution to combat Si removal during initial processing is to make the strained Si layer thick enough to compensate for this removal. However, thick Si layers are not possible for two reasons. First, the enhanced electrical properties originate from the fact that the Si is strained and thick layers experience strain relief through the introduction of misfit dislocations. Second, the misfit dislocations themselves are undesirable in significant quantity, since they can scatter carriers and increase leakage currents in junctions.
  • In order to prevent removal of strained Si layers at the surface, the cleaning procedures before gate oxidation must be minimized and/or protective layers must be applied. Protective layers are useful since their removal can be carefully controlled. Some examples of protective layers for surface channel devices are shown in FIGS. 8A and 8B. FIG. 8A shows a strained Si heterostructure of a relaxed SiGe layer 800 and a strained Si channel layer 802 protected by a surface layer 804 of SiGe. The surface SiGe layer 804 should have a Ge concentration similar to that of the relaxed SiGe layer 800 below, so that the thickness is not limited by critical thickness constraints. During the initial cleans, the SiGe sacrificial layer is removed instead of the strained Si channel layer. The thickness of the sacrificial layer can either be tuned to equal the removal thickness, or can be made greater than the removal thickness. In the latter case, the excess SiGe can be selectively removed before the gate oxidation step to reveal a clean, strained Si layer at the as grown thickness. If the particular fabrication facility prefers a Si terminated surface, a sacrificial Si layer may be deposited on top of the SiGe sacrificial cap layer.
  • FIG. 8B shows a structure where a layer 806 of SiO2 and a surface layer 808 of either a poly-crystalline or an amorphous material are used as protective layers. In this method, an oxide layer is either grown or deposited after the epitaxial growth of the strained Si layer. Subsequently, a polycrystalline or amorphous layer of Si, SiGe, or Ge is deposited. These semiconductor layers protect the strained-Si layer in the same manner as a SiGe cap during the processing steps before gate oxidation. Prior to gate oxidation, the poly/amorphous and oxide layers are selectively removed. Although the sacrificial layers are shown as protection for a surface channel device, the same techniques can be employed in a buried channel heterostructure.
  • Another way in which conventional Si processing is modified is during the source-drain silicide-germanide formation (FIG. 7C). In conventional Si processing, a metal (typically Ti, Co, or Ni) is reacted with the Si and, through standard annealing sequences, low resistivity suicides are formed. However, in this case, the metal reacts with both Si and Ge simultaneously. Since the suicides have much lower free energy than the germanides, there is a tendency to form a silicide while the Ge is expelled. The expelled germanium creates agglomeration and increases the resistance of the contacts. This increase in series resistance offsets the benefits of the extra drive current from the heterostructure, and negates the advantages of the structure.
  • Ti and Ni can form phases in which the Ge is not rejected severely, thus allowing the formation of a good contact. Co is much more problematic. However, as discussed above for the problem of Si removal, a protective layer(s) at the device epitaxy stage can be applied instead of optimizing the SiGe-metal reaction. For example, the strained Si that will become the surface channel can be coated with a high-Ge-content SiGe alloy (higher Ge content than the initial relaxed SiGe), followed by strained Si. Two approaches are possible using these surface contact layers. Both methods introduce thick Si at the surface and allow the conventional silicide technology to be practiced without encountering the problems with SiGe-metal reactions.
  • The first approach, shown on a surface channel heterostructure 900 in FIG. 9A, uses a Ge-rich layer 906 thin enough that it is substantially strained. The layer 906 is provided on a strained Si channel layer 904 and relaxed SiGe layer 902. In this case, if a subsequent Si layer 908 is beyond the critical thickness, the compressive Ge-rich layer 906 acts as a barrier to dislocations entering the strained Si channel 904. This barrier is beneficial since dislocations do not adversely affect the silicide process; thus, their presence in the subsequent Si layer 908 is of no consequence. However, if the dislocations were to penetrate to the channel, there would be adverse effects on the device.
  • A second approach, shown in FIG. 9B, is to allow a Ge-rich layer 910 to intentionally exceed the critical thickness, thereby causing substantial relaxation in the Ge-rich layer. In this scenario, an arbitrarily thick Si layer 912 can be applied on top of the relaxed Ge-rich layer. This layer will contain more defects than the strained channel, but the defects play no role in device operation since this Si is relevant only in the silicide reaction. In both cases, the process is free from the metal-SiGe reaction concerns, since the metal will react with Si-only. Once the silicide contacts have been formed, the rest of the sequence is a standard Si CMOS process flow, except that the thermal budget is carefully monitored since, for example, the silicide-germanicide (if that option is used) typically cannot tolerate as high a temperature as the conventional silicide. A major advantage of using Si/SiGe FET heterostructures to achieve enhanced performance is the compatibility with conventional Si techniques. Many of the processes are identical to Si CMOS processing, and once the front-end of the process, i.e., the processing of the Si/SiGe heterostructure, is complete, the entire back-end process is uninfluenced by the fact that Si/SiGe lies below.
  • Even though the starting heterostructure for the buried channel device is different from that of the surface channel device, its process flow is very similar to the surface channel flow shown in FIGS. 7A-7D. FIG. 10 is a schematic block diagram of a buried channel MOSFET structure 1000 after the device isolation oxide 1016 has been formed using a SiN mask 1014. In this case, the strained channel 1002 on a first SiGe layer 1010 is separated from the surface by the growth of another SiGe layer 1004, followed by another Si layer 1006. This Si layer is needed for the gate oxide 1008 since gate-oxide formation on SiGe produces a very high interface state density, thus creating non-ideal MOSFETs. One consequence of this Si layer, is that if it is too thick, a substantial portion of the Si layer will remain after the gate oxidation. Carriers can populate this residual Si layer, creating a surface channel in parallel with the desired buried channel and leading to deleterious device properties. Thus, the surface layer Si must be kept as thin as possible, typically less than 50 Å and ideally in the range of 5-15 Å.
  • Another added feature that is necessary for a buried channel device is the supply layer implant. The field experienced in the vertical direction when the device is turned on is strong enough to pull carriers from the buried channel 1002 and force them to populate a Si channel 1006 near the Si/SiO2 interface 1012, thus destroying any advantage of the buried channel. Thus, a supply layer of dopant must be introduced either in the layer 1004 between the buried channel and the top Si layer 1006, or below the buried channel in the underlying SiGe 1010. In this way, the device is forced on with little or no applied voltage, and turned off by applying a voltage (depletion mode device).
  • FIG. 11 is a schematic flow of the process, for any heterostructure FET device deposited on relaxed SiGe, in accordance with the invention. The main process steps are shown in the boxes, and optional steps or comments are shown in the circles. The first three steps (1100,1102,1104) describe the fabrication of the strained silicon heterostructure. The sequence includes production of relaxed SiGe on Si, planarization of the SiGe, and regrowth of the device layers. Once the strained heterostructure is complete (1106), MOS fabrication begins with device isolation (1112) using either STI (1110) or LOCOS (1108). Before proceeding to the gate oxidation, buried channel devices undergo a supply and threshold implant (1114), and any protective layers applied to either a buried or surface channel heterostructure must be selectively removed (1116). The processing sequence after the gate oxidation (1118) is similar to conventional Si CMOS processing. These steps include gate deposition, doping, and definition (1120), self-aligned shallow source-drain implant (1122), spacer formation (1124), self-aligned deep source-drain implant (1126), salicide formation (1128), and pad isolation via metal deposition and etch (1130). The steps requiring significant alteration have been discussed.
  • One particular advantage of the process of FIG. 11 is that it enables the use of surface channel and buried channel devices on the same platform. Consider FIGS. 12A-12D and FIGS. 13A-13D, which show a universal substrate layer configuration and a process that leads to the co-habitation of surface and buried channel MOSFETs on the same chip. The universal substrate is one in which both surface channel and buried channel devices can be fabricated. There are two possibilities in fabricating the surface channel device in this sequence, shown in FIGS. 12 and 13. The process flows for combining surface and buried channel are similar to the previous process described in FIG. 7. Therefore, only the critical steps involved in exposing the proper gate areas are shown in FIGS. 12 and 13.
  • FIGS. 12A and 13A depict the same basic heterostructure 1200,1300 for integrating surface channel and buried channel devices. There is a surface strained Si layer 1202,1302, a SiGe spacer layer 1204,1304, a buried strained Si layer 1206,1306, and a relaxed platform of SiGe 1208,1308. Two strained Si layers are necessary because the buried channel MOSFET requires a surface Si layer to form the gate oxide and a buried Si layer to form the device channel. The figures also show a device isolation region 1210 that separates the buried channel device area 1212,1312 from the surface channel device area 1214,1314.
  • Unlike the buried channel device, a surface channel MOSFET only requires one strained Si layer. As a result, the surface channel MOSFET can be fabricated either in the top strained Si layer, as shown in FIGS. 12B-12D, or the buried Si layer channel, as shown in FIGS. 13B-13D. FIG. 12B is a schematic diagram of a surface channel gate oxidation 1216 in the top Si layer 1202. In this scenario, a thicker top Si layer is desired, since after oxidation, a residual strained Si layer must be present to form the channel. FIG. 12B also shows a possible position for the buried channel supply implant 1218, which is usually implanted before the buried channel gate oxide is grown. Since the top Si layer is optimized for the surface channel device, it may be necessary to strip some of the top strained Si in the regions 1220 where buried channel devices are being created, as shown in FIG. 12C. This removal is necessary in order to minimize the surface Si thickness after gate oxide 1222 formation (FIG. 12D), and thus avoid the formation of a parallel device channel.
  • When a surface channel MOSFET is formed in the buried strained Si layer, the top strained Si layer can be thin, i.e., designed optimally for the buried channel MOSFET. In FIG. 13B, the top strained Si and SiGe layers are removed in the region 1312 where the surface channel MOSFETs are formed. Because Si and SiGe have different properties, a range of selective removal techniques can be used, such as wet or dry chemical etching. Selective oxidation can also be used since SiGe oxidizes at much higher rates than Si, especially under wet oxidation conditions. FIG. 13C shows the gate oxidation 1314 of the surface channel device as well as the supply layer implant 1316 for the buried channel device. Finally, FIG. 13D shows the position of the buried channel gate oxide 1318. No thinning of the top Si layer is required prior to the oxidation since the epitaxial thickness is optimized for the buried channel device. Subsequent to these initial steps, the processing for each device proceeds as previously described.
  • Another key step in the process is the use of a localized implant to create the supply layer needed in the buried channel device. In a MOSFET structure, when the channel is turned on, large vertical fields are present that bring carriers to the surface. The band offset between the Si and SiGe that confines the electrons in the buried strained Si layer is not large enough to prevent carriers from being pulled out of the buried channel. Thus, at first, the buried channel MOSFET would appear useless. However, if enough charge were present in the top SiGe layer, the MOSFET would become a depletion-mode device, i.e. normally on and requiring bias to turn off the channel. In the surface/buried channel device platform, a supply layer implant can be created in the regions where the buried channel will be fabricated, thus easing process integration. If for some reason the supply layer implant is not possible, note that the process shown in FIG. 11 in which the surface channel is created on the buried Si layer is an acceptable process, since the dopant can be introduced into the top SiGe layer during epitaxial growth. The supply layer is then removed from the surface channel MOSFET areas when the top SiGe and strained Si layers are selectively etched away.
  • In the processes described in FIGS. 10, 12 and 13, it is assumed that the desire is to fabricate a buried channel MOSFET. If the oxide of the buried channel device is removed, one can form a buried channel device with a metal gate (termed a MODFET or HEMT). The advantage of this device is that the transconductance can be much higher since there is a decrease in capacitance due to the missing oxide. However, there are two disadvantages to using this device. First, all thermal processes after gate definition have to be extremely low temperature, otherwise the metal will react with the semiconductor, forming an alloyed gate with a very low, or non-existent, barrier. Related to this issue is the second disadvantage. Due to the low thermal budget, the source and drain formation and contacts are typically done before the gate definition. Inverting these steps prevents the gate from being self-aligned to the source and drain, thus increasing the series resistance between the gate and the source and drain. Therefore, with a carefully designed buried channel MOSFET, the self-aligned nature can be a great advantage in device performance. Another benefit of the MOSFET structure is that the gate leakage is very low.
  • The combination of buried n-channel structures with n and p type surface channel MOSFETs has been emphasized heretofore. It is important to also emphasize that in buried n-channel devices as well as in surface channel devices, the channels need not be pure Si. Si1-yGey channels can be used to increase the stability during processing. FIGS. 14A and 14B are schematic diagrams of surface 1400 and buried 1450 channel devices with Si1-yGey channels 1402 on a relaxed Si1-zGez layer 1404. The devices are shown after salicidation and thus contain a poly-Si gate 1410, gate oxide 1408, silicide regions 1412, spacers 1414, and doped regions 1416. In the surface channel device 1400, a thin layer 1406 of Si must be deposited onto the Si1-yGey layer 1402 to form the gate oxide 1408, as previously described for buried channel devices. In the buried Si1-yGey channel device 1450, the device layer sequence is unchanged and consists of a buried strained channel 1402, a SiGe spacer layer 1418, and a surface Si layer 1420 for oxidation.
  • To maintain tensile strain in the channel of an NMOS device, the lattice constant of the channel layer must be less than that of the relaxed SiGe layer, i.e., y must be less than z. Since n-channel devices are sensitive to alloy scattering, the highest mobilities result when the Ge concentration in the channel is low. In order to have strain on this channel layer at a reasonable critical thickness, the underlying SiGe should have a Ge concentration in the range of 10-50%.
  • Experimental data indicates that p channels are less sensitive to alloy scattering. Thus, surface MOSFETs with alloy channels are also possible. In addition, the buried channel devices can be p-channel devices simply by having the Ge concentration in the channel, y, greater than the Ge concentration in the relaxed SiGe alloy, z, and by switching the supply dopant from n-type to p-type. This configuration can be used to form Ge channel devices when y=1 and 0.5<z<0.9.
  • With the ability to mix enhancement mode surface channel devices (n and p channel, through implants as in typical Si CMOS technology) and depletion-mode buried channel MOSFETs and MODFETs, it is possible to create highly integrated digital/analog systems. The enhancement mode devices can be fabricated into high performance CMOS, and the regions of an analog circuit requiring the high performance low-noise depletion mode device can be fabricated in the buried channel regions. Thus, it is possible to construct optimal communication stages, digital processing stages, etc. on a single platform. These different regions are connected electrically in the backend of the Si CMOS chip, just as transistors are connected by the back-end technology today. Thus, the only changes to the CMOS process are some parameters in the processes in the fabrication facility, and the new material, but otherwise, the entire manufacturing process is transparent to the change. Thus, the economics favor such a platform for integrated Si CMOS systems on chip.
  • Although the present invention has been shown and described with respect to several preferred embodiments thereof, various changes, omissions and additions to the form and detail thereof, may be made therein, without departing from the spirit and scope of the invention.

Claims (21)

1-36. (canceled)
37. A method of fabricating contact regions for a FET, the method comprising:
providing a substrate comprising at least one of Si, SiGe, and Ge;
providing a gate stack disposed above the substrate, the gate stack defining a channel region disposed thereunder,
epitaxially depositing a SiGe layer in at least one area of the substrate adjacent to the gate stack, the SiGe layer being at least partially relaxed by the introduction of crystalline defects therein;
providing a metal layer over the SiGe layer; and
reacting the metal layer with the SiGe layer to form a region comprising at least one of silicide and silicide/germanicide.
38. The method of claim 37 wherein the metal layer comprises Ti or Co.
39. The method of claim 37 wherein the metal layer comprises Ni.
40. The method of claim 37 wherein the channel region comprises a strained semiconductor material.
41. The method of claim 40 wherein the strained semiconductor material comprises SiGe or Ge.
42. The method of claim 40 wherein the strained semiconductor material comprises Si.
43. The method of claim 37, wherein the step of reacting the metal layer with the SiGe layer comprises thermal annealing.
44. The method of claim 37, wherein an average surface roughness of the channel region is less than approximately 2 nm.
45. The method of claim 44, wherein the surface roughness is less than approximately 0.77 nm.
46. The method of claim 37, wherein the crystalline defects substantially do not propagate into the channel region from the SiGe layer.
47. The method of claim 37, wherein the substrate has a first Ge concentration and the SiGe layer has a second Ge concentration exceeding the first Ge concentration.
48. The method of claim 37, further comprising forming a shallow trench isolation region such that the region comprising at least one of silicide and silicide/germanicide is disposed proximate to the shallow trench isolation region.
49. A method of fabricating contact regions for a FET, the method comprising:
providing a substrate comprising at least one of Si, SiGe, and Ge;
providing a gate stack disposed above the substrate, the gate stack defining a channel region disposed thereunder, the channel region comprising a strained semiconductor material;
epitaxially depositing a strained SiGe layer in at least one area of the substrate adjacent to the gate stack;
providing a metal layer over the strained SiGe layer; and
reacting the metal layer with the SiGe layer to form a region comprising at least one of silicide and silicide/germanicide.
50. The method of claim 49 wherein the metal layer comprises Ti or Co.
51. The method of claim 49 wherein the metal layer comprises Ni.
52. The method of claim 49 wherein the strained semiconductor material comprises SiGe or Ge.
53. The method of claim 49 wherein the strained semiconductor material comprises Si.
54. The method of claim 49, wherein an average surface roughness of the channel region is less than approximately 2 nm.
55. The method of claim 54, wherein the surface roughness is less than approximately 0.77 nm.
56. The method of claim 49, wherein the substrate has a first Ge concentration and the SiGe layer has a second Ge concentration exceeding the first Ge concentration.
US11/412,262 2001-03-02 2006-04-27 Methods of fabricating contact regions for FET incorporating SiGe Abandoned US20060189109A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/412,262 US20060189109A1 (en) 2001-03-02 2006-04-27 Methods of fabricating contact regions for FET incorporating SiGe

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US27311201P 2001-03-02 2001-03-02
US09/906,534 US6830976B2 (en) 2001-03-02 2001-07-16 Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US10/854,556 US8822282B2 (en) 2001-03-02 2004-05-26 Methods of fabricating contact regions for FET incorporating SiGe
US11/412,262 US20060189109A1 (en) 2001-03-02 2006-04-27 Methods of fabricating contact regions for FET incorporating SiGe

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/854,556 Continuation US8822282B2 (en) 2001-03-02 2004-05-26 Methods of fabricating contact regions for FET incorporating SiGe

Publications (1)

Publication Number Publication Date
US20060189109A1 true US20060189109A1 (en) 2006-08-24

Family

ID=26955943

Family Applications (4)

Application Number Title Priority Date Filing Date
US09/906,534 Expired - Lifetime US6830976B2 (en) 2001-03-02 2001-07-16 Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US10/854,556 Expired - Fee Related US8822282B2 (en) 2001-03-02 2004-05-26 Methods of fabricating contact regions for FET incorporating SiGe
US11/412,262 Abandoned US20060189109A1 (en) 2001-03-02 2006-04-27 Methods of fabricating contact regions for FET incorporating SiGe
US14/447,021 Expired - Lifetime US9515196B2 (en) 2001-03-02 2014-07-30 Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US09/906,534 Expired - Lifetime US6830976B2 (en) 2001-03-02 2001-07-16 Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US10/854,556 Expired - Fee Related US8822282B2 (en) 2001-03-02 2004-05-26 Methods of fabricating contact regions for FET incorporating SiGe

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/447,021 Expired - Lifetime US9515196B2 (en) 2001-03-02 2014-07-30 Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits

Country Status (1)

Country Link
US (4) US6830976B2 (en)

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050194658A1 (en) * 2003-10-31 2005-09-08 Chung-Hu Ke Strained silicon structure
US20080135873A1 (en) * 2006-12-08 2008-06-12 Amberwave Systems Corporation Inducement of Strain in a Semiconductor Layer
US20100255646A1 (en) * 2007-09-14 2010-10-07 Transphorm Inc. Iii-nitride devices with recessed gates
US20110121314A1 (en) * 2007-09-17 2011-05-26 Transphorm Inc. Enhancement mode gallium nitride power devices
US8237198B2 (en) 2008-12-10 2012-08-07 Transphorm Inc. Semiconductor heterostructure diodes
US8289065B2 (en) 2008-09-23 2012-10-16 Transphorm Inc. Inductive load power switching circuits
US8389977B2 (en) 2009-12-10 2013-03-05 Transphorm Inc. Reverse side engineered III-nitride devices
US8390000B2 (en) 2009-08-28 2013-03-05 Transphorm Inc. Semiconductor devices with field plates
US8519438B2 (en) 2008-04-23 2013-08-27 Transphorm Inc. Enhancement mode III-N HEMTs
US8598937B2 (en) 2011-10-07 2013-12-03 Transphorm Inc. High power semiconductor electronic components with increased reliability
US8643062B2 (en) 2011-02-02 2014-02-04 Transphorm Inc. III-N device structures and methods
US8685816B2 (en) * 2012-06-11 2014-04-01 Globalfoundries Inc. Methods of forming semiconductor devices by forming semiconductor channel region materials prior to forming isolation structures
US8716141B2 (en) 2011-03-04 2014-05-06 Transphorm Inc. Electrode configurations for semiconductor devices
US8742459B2 (en) 2009-05-14 2014-06-03 Transphorm Inc. High voltage III-nitride semiconductor devices
US8742460B2 (en) 2010-12-15 2014-06-03 Transphorm Inc. Transistors with isolation regions
US8772842B2 (en) 2011-03-04 2014-07-08 Transphorm, Inc. Semiconductor diodes with low reverse bias currents
US8901604B2 (en) 2011-09-06 2014-12-02 Transphorm Inc. Semiconductor devices with guard rings
US9093366B2 (en) 2012-04-09 2015-07-28 Transphorm Inc. N-polar III-nitride transistors
US9111905B2 (en) * 2012-03-29 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor and method of forming the same
US9165766B2 (en) 2012-02-03 2015-10-20 Transphorm Inc. Buffer layer structures suited for III-nitride devices with foreign substrates
US9171730B2 (en) 2013-02-15 2015-10-27 Transphorm Inc. Electrodes for semiconductor devices and methods of forming the same
US9184275B2 (en) 2012-06-27 2015-11-10 Transphorm Inc. Semiconductor devices with integrated hole collectors
US9245992B2 (en) 2013-03-15 2016-01-26 Transphorm Inc. Carbon doping semiconductor devices
US9257547B2 (en) 2011-09-13 2016-02-09 Transphorm Inc. III-N device structures having a non-insulating substrate
US9318593B2 (en) 2014-07-21 2016-04-19 Transphorm Inc. Forming enhancement mode III-nitride devices
US9443938B2 (en) 2013-07-19 2016-09-13 Transphorm Inc. III-nitride transistor including a p-type depleting layer
US9536967B2 (en) 2014-12-16 2017-01-03 Transphorm Inc. Recessed ohmic contacts in a III-N device
US9536966B2 (en) 2014-12-16 2017-01-03 Transphorm Inc. Gate structures for III-N devices
US9590060B2 (en) 2013-03-13 2017-03-07 Transphorm Inc. Enhancement-mode III-nitride devices
US10224401B2 (en) 2016-05-31 2019-03-05 Transphorm Inc. III-nitride devices including a graded depleting layer
US10249542B2 (en) 2017-01-12 2019-04-02 International Business Machines Corporation Self-aligned doping in source/drain regions for low contact resistance
US11322599B2 (en) 2016-01-15 2022-05-03 Transphorm Technology, Inc. Enhancement mode III-nitride devices having an Al1-xSixO gate insulator

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7227176B2 (en) * 1998-04-10 2007-06-05 Massachusetts Institute Of Technology Etch stop layer system
US6887762B1 (en) * 1998-11-12 2005-05-03 Intel Corporation Method of fabricating a field effect transistor structure with abrupt source/drain junctions
US6602613B1 (en) 2000-01-20 2003-08-05 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
EP1309989B1 (en) 2000-08-16 2007-01-10 Massachusetts Institute Of Technology Process for producing semiconductor article using graded expitaxial growth
US20020100942A1 (en) * 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6649480B2 (en) 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6830976B2 (en) * 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6703688B1 (en) 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6723661B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
WO2003025984A2 (en) 2001-09-21 2003-03-27 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
WO2003028106A2 (en) 2001-09-24 2003-04-03 Amberwave Systems Corporation Rf circuits including transistors having strained material layers
US6600170B1 (en) * 2001-12-17 2003-07-29 Advanced Micro Devices, Inc. CMOS with strained silicon channel NMOS and silicon germanium channel PMOS
US6995430B2 (en) 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US20030227057A1 (en) 2002-06-07 2003-12-11 Lochtefeld Anthony J. Strained-semiconductor-on-insulator device structures
US6900521B2 (en) * 2002-06-10 2005-05-31 Micron Technology, Inc. Vertical transistors and output prediction logic circuits containing same
US6982474B2 (en) 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes
US6680496B1 (en) * 2002-07-08 2004-01-20 Amberwave Systems Corp. Back-biasing to populate strained layer quantum wells
US6841457B2 (en) * 2002-07-16 2005-01-11 International Business Machines Corporation Use of hydrogen implantation to improve material properties of silicon-germanium-on-insulator material made by thermal diffusion
US7375385B2 (en) 2002-08-23 2008-05-20 Amberwave Systems Corporation Semiconductor heterostructures having reduced dislocation pile-ups
JP4344517B2 (en) * 2002-12-27 2009-10-14 富士通株式会社 Semiconductor substrate and manufacturing method thereof
US6878611B2 (en) * 2003-01-02 2005-04-12 International Business Machines Corporation Patterned strained silicon for high performance circuits
US6963078B2 (en) * 2003-03-15 2005-11-08 International Business Machines Corporation Dual strain-state SiGe layers for microelectronics
JP2004363199A (en) * 2003-06-02 2004-12-24 Sumitomo Mitsubishi Silicon Corp Process for producing semiconductor substrate
US9673280B2 (en) * 2003-06-12 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Cobalt silicidation process for substrates comprised with a silicon-germanium layer
US6831350B1 (en) 2003-10-02 2004-12-14 Freescale Semiconductor, Inc. Semiconductor structure with different lattice constant materials and method for forming the same
US6919258B2 (en) * 2003-10-02 2005-07-19 Freescale Semiconductor, Inc. Semiconductor device incorporating a defect controlled strained channel structure and method of making the same
US7087965B2 (en) * 2004-04-22 2006-08-08 International Business Machines Corporation Strained silicon CMOS on hybrid crystal orientations
US20060113603A1 (en) * 2004-12-01 2006-06-01 Amberwave Systems Corporation Hybrid semiconductor-on-insulator structures and related methods
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
CA2589539A1 (en) * 2004-12-07 2006-06-15 Thunderbird Technologies, Inc. Strained silicon, gate engineered fermi-fets
US7348225B2 (en) * 2005-10-27 2008-03-25 International Business Machines Corporation Structure and method of fabricating FINFET with buried channel
JP4281815B2 (en) * 2006-03-27 2009-06-17 セイコーエプソン株式会社 Liquid cartridge
US20080054300A1 (en) * 2006-06-30 2008-03-06 Philip Gene Nikkel Body contact structure and method for the reduction of drain lag and gate lag in field effect transistors
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
JP5257401B2 (en) * 2010-04-28 2013-08-07 株式会社Sumco Method for manufacturing strained silicon SOI substrate
US8124470B1 (en) * 2010-09-29 2012-02-28 International Business Machines Corporation Strained thin body semiconductor-on-insulator substrate and device
CN102082096A (en) * 2010-10-09 2011-06-01 北京大学 Method for preparing Ge or SiGe nanowire field effect transistor
DE102010064280B4 (en) * 2010-12-28 2012-08-30 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG A method of reducing the defect rates in PFET transistors comprising a Si / GE semiconductor material by providing a gradual Ge concentration, and corresponding PFET transistors
US8962400B2 (en) * 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8609518B2 (en) * 2011-07-22 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Re-growing source/drain regions from un-relaxed silicon layer
US9466670B2 (en) * 2014-03-12 2016-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Sandwich epi channel for device enhancement
CN103940885B (en) * 2014-03-18 2017-11-28 复旦大学 Ion-sensitive field effect transistor and its preparation technology
US9276117B1 (en) * 2014-08-19 2016-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method and FinFET device
US9640620B2 (en) 2014-11-03 2017-05-02 Texas Instruments Incorporated High power transistor with oxide gate barriers
TWI723993B (en) * 2015-05-11 2021-04-11 美商應用材料股份有限公司 Horizontal gate all around and finfet device isolation
US10529738B2 (en) * 2016-04-28 2020-01-07 Globalfoundries Singapore Pte. Ltd. Integrated circuits with selectively strained device regions and methods for fabricating same
US20170350752A1 (en) * 2016-06-01 2017-12-07 Ventsislav Metodiev Lavchiev Light emitting structures and systems on the basis of group iv material(s) for the ultraviolet and visible spectral ranges
TWI621273B (en) * 2017-04-27 2018-04-11 立錡科技股份有限公司 High Voltage Depletion Mode MOS Device with Adjustable Threshold Voltage and Manufacturing Method Thereof
US20220254633A1 (en) * 2019-05-16 2022-08-11 Nippon Telegraph And Telephone Corporation Semiconductor Layered Structure
US11443980B2 (en) 2019-09-27 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device with metal pad extending into top metal layer

Citations (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4522662A (en) * 1983-08-12 1985-06-11 Hewlett-Packard Company CVD lateral epitaxial growth of silicon over insulators
US4749441A (en) * 1986-12-11 1988-06-07 General Motors Corporation Semiconductor mushroom structure fabrication
US4786615A (en) * 1987-08-31 1988-11-22 Motorola Inc. Method for improved surface planarity in selective epitaxial silicon
US4963506A (en) * 1989-04-24 1990-10-16 Motorola Inc. Selective deposition of amorphous and polycrystalline silicon
US4994866A (en) * 1988-01-07 1991-02-19 Fujitsu Limited Complementary semiconductor device
US5091767A (en) * 1991-03-18 1992-02-25 At&T Bell Laboratories Article comprising a lattice-mismatched semiconductor heterostructure
US5108946A (en) * 1989-05-19 1992-04-28 Motorola, Inc. Method of forming planar isolation regions
US5212112A (en) * 1991-05-23 1993-05-18 At&T Bell Laboratories Selective epitaxy of silicon in silicon dioxide apertures with suppression of unwanted formation of facets
US5225703A (en) * 1990-06-11 1993-07-06 Mitsubishi Denki Kabushiki Kaisha Dual field effect transistor structure employing a single source region
US5243207A (en) * 1991-03-15 1993-09-07 Texas Instruments Incorporated Method to integrate HBTs and FETs
US5294564A (en) * 1989-03-31 1994-03-15 Thomson-Csf Method for the directed modulation of the composition or doping of semiconductors, notably for the making of planar type monolithic electronic components, use of the method and corresponding products
US5571373A (en) * 1994-05-18 1996-11-05 Memc Electronic Materials, Inc. Method of rough polishing semiconductor wafers to reduce surface roughness
US5633202A (en) * 1994-09-30 1997-05-27 Intel Corporation High tensile nitride layer
US5698869A (en) * 1994-09-13 1997-12-16 Kabushiki Kaisha Toshiba Insulated-gate transistor having narrow-bandgap-source
US5705421A (en) * 1994-11-24 1998-01-06 Sony Corporation A SOI substrate fabricating method
US5710450A (en) * 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
US5849612A (en) * 1992-01-17 1998-12-15 Seiko Instruments Inc. MOS poly-si thin film transistor with a flattened channel interface and method of producing same
US5976939A (en) * 1995-07-03 1999-11-02 Intel Corporation Low damage doping technique for self-aligned source and drain regions
US6008128A (en) * 1997-07-18 1999-12-28 Shin-Etsu Handotai Co., Ltd. Method for smoothing surface of silicon single crystal substrate
US6030889A (en) * 1994-09-11 2000-02-29 International Business Machines Corporation Substrate-holding fixture of non-wettable material
US6030887A (en) * 1998-02-26 2000-02-29 Memc Electronic Materials, Inc. Flattening process for epitaxial semiconductor wafers
US6159852A (en) * 1998-02-13 2000-12-12 Micron Technology, Inc. Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor
US6214679B1 (en) * 1999-12-30 2001-04-10 Intel Corporation Cobalt salicidation method on a silicon germanium film
US20010003269A1 (en) * 1998-04-10 2001-06-14 Kenneth C. Wu Etch stop layer system
US6313016B1 (en) * 1998-12-22 2001-11-06 Daimlerchrysler Ag Method for producing epitaxial silicon germanium layers
US20010040292A1 (en) * 2000-01-28 2001-11-15 Seung-Ho Hahn Semiconductor device having a contact plug formed by a dual epitaxial layer and method for fabricating the same
US6326281B1 (en) * 1998-09-23 2001-12-04 Texas Instruments Incorporated Integrated circuit isolation
US6342421B1 (en) * 1994-09-13 2002-01-29 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
US20020011628A1 (en) * 1997-12-03 2002-01-31 Takeshi Takagi Semiconductor device
US6344375B1 (en) * 1998-07-28 2002-02-05 Matsushita Electric Industrial Co., Ltd Substrate containing compound semiconductor, method for manufacturing the same and semiconductor device using the same
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US6369438B1 (en) * 1998-12-24 2002-04-09 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6368927B1 (en) * 1999-06-29 2002-04-09 Hyunadi Electronics Industries, Ltd. Method of manufacturing transistor having elevated source and drain regions
US6376318B1 (en) * 1999-06-30 2002-04-23 Hyundai Electronics Industries Co., Ltd. Method of manufacturing a semiconductor device
US6391798B1 (en) * 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US20020072130A1 (en) * 2000-08-16 2002-06-13 Zhi-Yuan Cheng Process for producing semiconductor article using graded expital growth
US20020079427A1 (en) * 2000-12-26 2002-06-27 Dan-Xia Xu High speed and high efficiency Si-based photodetectors using waveguides formed with silicides for near IR applications
US20020084000A1 (en) * 1997-06-24 2002-07-04 Eugene A. Fitzgerald Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
US6444578B1 (en) * 2001-02-21 2002-09-03 International Business Machines Corporation Self-aligned silicide process for reduction of Si consumption in shallow junction and thin SOI electronic devices
US20020123197A1 (en) * 2000-12-04 2002-09-05 Fitzgerald Eugene A. Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel mosfets
US6500694B1 (en) * 2000-03-22 2002-12-31 Ziptronix, Inc. Three dimensional device integration method and integrated device
US20030025131A1 (en) * 2001-08-06 2003-02-06 Massachusetts Institute Of Technology Formation of planar strained layers
US6521508B1 (en) * 1999-12-31 2003-02-18 Hyundai Electronics Industries Co., Ltd. Method of manufacturing a contact plug in a semiconductor device using selective epitaxial growth of silicon process
US6593625B2 (en) * 2001-06-12 2003-07-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US6607948B1 (en) * 1998-12-24 2003-08-19 Kabushiki Kaisha Toshiba Method of manufacturing a substrate using an SiGe layer
US6617654B2 (en) * 2000-10-12 2003-09-09 Mitsubishi Denki Kabushiki Kaisha Semiconductor device with sidewall spacers and elevated source/drain region
US6689211B1 (en) * 1999-04-09 2004-02-10 Massachusetts Institute Of Technology Etch stop layer system
US6690043B1 (en) * 1999-11-26 2004-02-10 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6709929B2 (en) * 2001-06-25 2004-03-23 North Carolina State University Methods of forming nano-scale electronic and optoelectronic devices using non-photolithographically defined nano-channel templates
US6723621B1 (en) * 1997-06-30 2004-04-20 International Business Machines Corporation Abrupt delta-like doping in Si and SiGe films by UHV-CVD
US6727550B2 (en) * 2001-07-06 2004-04-27 Kabushiki Kaisha Toshiba Integrated circuit device
US6743651B2 (en) * 2002-04-23 2004-06-01 International Business Machines Corporation Method of forming a SiGe-on-insulator substrate using separation by implantation of oxygen
US20040121564A1 (en) * 2002-12-23 2004-06-24 Motorola Inc. Method of forming semiconductor devices through epitaxy
US20040129982A1 (en) * 2000-05-25 2004-07-08 Renesas Technology Corporation Semiconductor device and manufacturing method
US6765227B1 (en) * 2001-02-26 2004-07-20 Advanced Micro Devices, Inc. Semiconductor-on-insulator (SOI) wafer having a Si/SiGe/Si active layer and method of fabrication using wafer bonding
US20040175893A1 (en) * 2003-03-07 2004-09-09 Applied Materials, Inc. Apparatuses and methods for forming a substantially facet-free epitaxial film
US6818938B1 (en) * 2002-12-10 2004-11-16 National Semiconductor Corporation MOS transistor and method of forming the transistor with a channel region in a layer of composite material
US6818537B2 (en) * 2001-10-08 2004-11-16 Hynix Semiconductor Inc. Method of manufacturing a contact plug for a semiconductor device
US6855649B2 (en) * 2001-06-12 2005-02-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US6876053B1 (en) * 1999-08-13 2005-04-05 Intel Corporation Isolation structure configurations for modifying stresses in semiconductor devices
US6879053B1 (en) * 2002-10-22 2005-04-12 Youtility, Inc. Transformerless, load adaptive speed controller
US6881630B2 (en) * 1999-10-07 2005-04-19 Samsung Electronics Co., Ltd. Methods for fabricating field effect transistors having elevated source/drain regions
US6881360B2 (en) * 2001-03-22 2005-04-19 Heraeus Kulzer Gmbh & Co. Kg Process for producing a prosthesis and a prosthesis material
US6887762B1 (en) * 1998-11-12 2005-05-03 Intel Corporation Method of fabricating a field effect transistor structure with abrupt source/drain junctions
US6887773B2 (en) * 2002-06-19 2005-05-03 Luxtera, Inc. Methods of incorporating germanium within CMOS process
US6890835B1 (en) * 2000-10-19 2005-05-10 International Business Machines Corporation Layer transfer of low defect SiGe using an etch-back process
US6936509B2 (en) * 2001-01-25 2005-08-30 International Business Machines Corporation STI pull-down to control SiGe facet growth
US20050250298A1 (en) * 2004-04-23 2005-11-10 Matthias Bauer In situ doped epitaxial films
US20050277272A1 (en) * 2004-06-10 2005-12-15 Applied Materials, Inc. Low temperature epitaxial growth of silicon-containing films using UV radiation
US20050280026A1 (en) * 2004-06-16 2005-12-22 Isaacson David M Strained silicon-on-silicon by wafer bonding and layer transfer
US6992355B2 (en) * 2002-04-05 2006-01-31 Micron Technology, Inc. Semiconductor-on-insulator constructions
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US20060057825A1 (en) * 2002-12-18 2006-03-16 Agere Systems Inc. Semiconductor devices with reduced active region defects and unique contacting schemes
US20080014725A1 (en) * 2001-02-12 2008-01-17 Asm America, Inc. Deposition over mixed substrates using trisilane

Family Cites Families (253)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4010045A (en) * 1973-12-13 1977-03-01 Ruehrwein Robert A Process for production of III-V compound crystals
JPH0656887B2 (en) 1982-02-03 1994-07-27 株式会社日立製作所 Semiconductor device and manufacturing method thereof
US4649859A (en) 1985-02-19 1987-03-17 The United States Of America As Represented By The United States Department Of Energy Reactor design for uniform chemical vapor deposition-grown films without substrate rotation
US4803539A (en) * 1985-03-29 1989-02-07 International Business Machines Corporation Dopant control of metal silicide formation
JPS6292361A (en) 1985-10-17 1987-04-27 Toshiba Corp Complementary type semiconductor device
DE3542482A1 (en) 1985-11-30 1987-06-04 Licentia Gmbh MODULATION-Doped FIELD EFFECT TRANSISTOR
US4717681A (en) * 1986-05-19 1988-01-05 Texas Instruments Incorporated Method of making a heterojunction bipolar transistor with SIPOS
US5298452A (en) * 1986-09-12 1994-03-29 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US4987462A (en) * 1987-01-06 1991-01-22 Texas Instruments Incorporated Power MISFET
US4755478A (en) 1987-08-13 1988-07-05 International Business Machines Corporation Method of forming metal-strapped polysilicon gate electrode for FET device
US5130269A (en) * 1988-04-27 1992-07-14 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same
DE3816358A1 (en) * 1988-05-13 1989-11-23 Eurosil Electronic Gmbh NON-VOLATILE STORAGE CELL AND METHOD FOR THE PRODUCTION THEREOF
US5198689A (en) 1988-11-30 1993-03-30 Fujitsu Limited Heterojunction bipolar transistor
US5250445A (en) 1988-12-20 1993-10-05 Texas Instruments Incorporated Discretionary gettering of semiconductor circuits
US5241197A (en) 1989-01-25 1993-08-31 Hitachi, Ltd. Transistor provided with strained germanium layer
US5217923A (en) 1989-02-13 1993-06-08 Kabushiki Kaisha Toshiba Method of fabricating a semiconductor device having silicided source/drain regions
US4997776A (en) * 1989-03-06 1991-03-05 International Business Machines Corp. Complementary bipolar transistor structure and method for manufacture
US5013681A (en) 1989-09-29 1991-05-07 The United States Of America As Represented By The Secretary Of The Navy Method of producing a thin silicon-on-insulator layer
US5202284A (en) * 1989-12-01 1993-04-13 Hewlett-Packard Company Selective and non-selective deposition of Si1-x Gex on a Si subsrate that is partially masked with SiO2
DE69032597T2 (en) * 1990-02-20 1999-03-25 Toshiba Kawasaki Kk Bipolar transistor with heterojunction
US5089872A (en) * 1990-04-27 1992-02-18 North Carolina State University Selective germanium deposition on silicon and resulting structures
US5316958A (en) 1990-05-31 1994-05-31 International Business Machines Corporation Method of dopant enhancement in an epitaxial silicon layer by using germanium
US5158907A (en) * 1990-08-02 1992-10-27 At&T Bell Laboratories Method for making semiconductor devices with low dislocation defects
US5155571A (en) 1990-08-06 1992-10-13 The Regents Of The University Of California Complementary field effect transistors having strained superlattice structure
US5034348A (en) 1990-08-16 1991-07-23 International Business Machines Corp. Process for forming refractory metal silicide layers of different thicknesses in an integrated circuit
JPH0691249B2 (en) 1991-01-10 1994-11-14 インターナショナル・ビジネス・マシーンズ・コーポレイション Modulation-doped MISFET and manufacturing method thereof
DE4101167A1 (en) 1991-01-17 1992-07-23 Daimler Benz Ag CMOS FET circuit layout - has common gate and drain electrodes in vertical or lateral configuration
US5240876A (en) 1991-02-22 1993-08-31 Harris Corporation Method of fabricating SOI wafer with SiGe as an etchback film in a BESOI process
US5221413A (en) 1991-04-24 1993-06-22 At&T Bell Laboratories Method for making low defect density semiconductor heterostructure and devices made thereby
US5442205A (en) * 1991-04-24 1995-08-15 At&T Corp. Semiconductor heterostructure devices with strained semiconductor layers
CA2062134C (en) 1991-05-31 1997-03-25 Ibm Low Defect Densiry/Arbitrary Lattice Constant Heteroepitaxial Layers
JPH07187892A (en) 1991-06-28 1995-07-25 Internatl Business Mach Corp <Ibm> Silicon and its formation
US5166084A (en) 1991-09-03 1992-11-24 Motorola, Inc. Process for fabricating a silicon on insulator field effect transistor
US5291439A (en) * 1991-09-12 1994-03-01 International Business Machines Corporation Semiconductor memory cell and memory array with inversion layer
FR2681472B1 (en) 1991-09-18 1993-10-29 Commissariat Energie Atomique PROCESS FOR PRODUCING THIN FILMS OF SEMICONDUCTOR MATERIAL.
US5208182A (en) 1991-11-12 1993-05-04 Kopin Corporation Dislocation density reduction in gallium arsenide on silicon heterostructures
US5207864A (en) 1991-12-30 1993-05-04 Bell Communications Research Low-temperature fusion of dissimilar semiconductors
JP3191972B2 (en) 1992-01-31 2001-07-23 キヤノン株式会社 Method for manufacturing semiconductor substrate and semiconductor substrate
US5467305A (en) * 1992-03-12 1995-11-14 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5426069A (en) * 1992-04-09 1995-06-20 Dalsa Inc. Method for making silicon-germanium devices using germanium implantation
US5334861A (en) 1992-05-19 1994-08-02 Motorola Inc. Semiconductor memory cell
US5212110A (en) 1992-05-26 1993-05-18 Motorola, Inc. Method for forming isolation regions in a semiconductor device
US5242847A (en) 1992-07-27 1993-09-07 North Carolina State University At Raleigh Selective deposition of doped silion-germanium alloy on semiconductor substrate
US5461250A (en) 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
JP3286921B2 (en) 1992-10-09 2002-05-27 富士通株式会社 Silicon substrate compound semiconductor device
JPH06140624A (en) 1992-10-22 1994-05-20 Furukawa Electric Co Ltd:The Schottky junction element
US5386132A (en) * 1992-11-02 1995-01-31 Wong; Chun C. D. Multimedia storage system with highly compact memory device
US5418743A (en) * 1992-12-07 1995-05-23 Nippon Steel Corporation Method of writing into non-volatile semiconductor memory
US5523243A (en) 1992-12-21 1996-06-04 International Business Machines Corporation Method of fabricating a triple heterojunction bipolar transistor
US5444302A (en) 1992-12-25 1995-08-22 Hitachi, Ltd. Semiconductor device including multi-layer conductive thin film of polycrystalline material
US5523592A (en) 1993-02-03 1996-06-04 Hitachi, Ltd. Semiconductor optical device, manufacturing method for the same, and opto-electronic integrated circuit using the same
JP3093904B2 (en) 1993-02-16 2000-10-03 富士通株式会社 Method for growing compound semiconductor crystal
US5346848A (en) 1993-06-01 1994-09-13 Motorola, Inc. Method of bonding silicon and III-V semiconductor materials
US5413679A (en) 1993-06-30 1995-05-09 The United States Of America As Represented By The Secretary Of The Navy Method of producing a silicon membrane using a silicon alloy etch stop layer
US5310451A (en) 1993-08-19 1994-05-10 International Business Machines Corporation Method of forming an ultra-uniform silicon-on-insulator layer
US5792679A (en) 1993-08-30 1998-08-11 Sharp Microelectronics Technology, Inc. Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant
JPH0794420A (en) 1993-09-20 1995-04-07 Fujitsu Ltd Manufacture of compound semiconductor crystal substrate
US5461243A (en) * 1993-10-29 1995-10-24 International Business Machines Corporation Substrate for tensilely strained semiconductor
JP2980497B2 (en) 1993-11-15 1999-11-22 株式会社東芝 Method of manufacturing dielectric-isolated bipolar transistor
JP3514500B2 (en) 1994-01-28 2004-03-31 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
JP2669368B2 (en) * 1994-03-16 1997-10-27 日本電気株式会社 Method for manufacturing compound semiconductor laminated structure on Si substrate
US5496771A (en) * 1994-05-19 1996-03-05 International Business Machines Corporation Method of making overpass mask/insulator for local interconnects
US5534713A (en) 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5479033A (en) 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
US6218677B1 (en) 1994-08-15 2001-04-17 Texas Instruments Incorporated III-V nitride resonant tunneling
US5496750A (en) * 1994-09-19 1996-03-05 Texas Instruments Incorporated Elevated source/drain junction metal oxide semiconductor field-effect transistor using blanket silicon deposition
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
WO1996015550A1 (en) 1994-11-10 1996-05-23 Lawrence Semiconductor Research Laboratory, Inc. Silicon-germanium-carbon compositions and processes thereof
US5539214A (en) 1995-02-06 1996-07-23 Regents Of The University Of California Quantum bridges fabricated by selective etching of superlattice structures
US5777347A (en) 1995-03-07 1998-07-07 Hewlett-Packard Company Vertical CMOS digital multi-valued restoring logic device
US5920088A (en) 1995-06-16 1999-07-06 Interuniversitair Micro-Electronica Centrum (Imec Vzw) Vertical MISFET devices
JP3403877B2 (en) 1995-10-25 2003-05-06 三菱電機株式会社 Semiconductor memory device and manufacturing method thereof
US5714413A (en) 1995-12-11 1998-02-03 Intel Corporation Method of making a transistor having a deposited dual-layer spacer structure
EP0809865B1 (en) 1995-12-15 2000-07-12 Koninklijke Philips Electronics N.V. SEMICONDUCTOR FIELD EFFECT DEVICE COMPRISING A SiGe LAYER
FR2742924B1 (en) 1995-12-22 1998-03-20 Jorge Luis Regolini METHOD FOR THE SELECTIVE DEPOSITION OF A REFRACTORY METAL SILICIDE ON SILICON AND METALLIC SILICON WAFER BY THIS PROCESS
JPH09205152A (en) * 1996-01-25 1997-08-05 Sony Corp Cmos semiconductor device of two-layer gate electrode structure and its manufacture
JP3734559B2 (en) 1996-03-15 2006-01-11 富士通株式会社 Manufacturing method of semiconductor device
US6403975B1 (en) 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5943560A (en) 1996-04-19 1999-08-24 National Science Council Method to fabricate the thin film transistor
JP3217015B2 (en) 1996-07-18 2001-10-09 インターナショナル・ビジネス・マシーンズ・コーポレーション Method for forming field effect transistor
JPH1041400A (en) 1996-07-26 1998-02-13 Sony Corp Semiconductor device and manufacture thereof
US6191432B1 (en) * 1996-09-02 2001-02-20 Kabushiki Kaisha Toshiba Semiconductor device and memory device
TW335558B (en) 1996-09-03 1998-07-01 Ibm High temperature superconductivity in strained SiSiGe
US6399970B2 (en) 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US5847419A (en) 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
EP0838858B1 (en) 1996-09-27 2002-05-15 Infineon Technologies AG CMOS integrated circuit and method of manufacturing the same
JP3461274B2 (en) 1996-10-16 2003-10-27 株式会社東芝 Semiconductor device
US6140687A (en) 1996-11-28 2000-10-31 Matsushita Electric Industrial Co., Ltd. High frequency ring gate MOSFET
US5808344A (en) 1996-12-13 1998-09-15 International Business Machines Corporation Single-transistor logic and CMOS inverters
JPH10242081A (en) 1996-12-26 1998-09-11 Sony Corp Manufacture of semiconductor device
JPH10209293A (en) 1997-01-22 1998-08-07 Sony Corp Manufacture of semiconductor device
US20020019127A1 (en) * 1997-02-14 2002-02-14 Micron Technology, Inc. Interconnect structure and method of making
US5714777A (en) * 1997-02-19 1998-02-03 International Business Machines Corporation Si/SiGe vertical junction field effect transistor
JPH10270685A (en) * 1997-03-27 1998-10-09 Sony Corp Field-effect transistor and manufacture thereof, semiconductor device and manufacture thereof and logic circuit containing semiconductor device thereof and semiconductor substrate
EP0867701A1 (en) * 1997-03-28 1998-09-30 Interuniversitair Microelektronica Centrum Vzw Method of fabrication of an infrared radiation detector and more particularly an infrared sensitive bolometer
US5891769A (en) 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US5786614A (en) 1997-04-08 1998-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Separated floating gate for EEPROM application
US6191007B1 (en) * 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
US5906951A (en) 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
US6033974A (en) * 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
DE19720008A1 (en) 1997-05-13 1998-11-19 Siemens Ag Integrated CMOS circuit arrangement and method for its production
US5877070A (en) * 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US6373088B2 (en) 1997-06-16 2002-04-16 Texas Instruments Incorporated Edge stress reduction by noncoincident layers
FR2765395B1 (en) 1997-06-30 1999-09-03 Sgs Thomson Microelectronics METHOD FOR PRODUCING A GRID OF MOS TRANSISTORS WITH A HIGH GERMANIUM CONTENT
US5936274A (en) 1997-07-08 1999-08-10 Micron Technology, Inc. High density flash memory
US5933741A (en) 1997-08-18 1999-08-03 Vanguard International Semiconductor Corporation Method of making titanium silicide source/drains and tungsten silicide gate electrodes for field effect transistors
US5869359A (en) * 1997-08-20 1999-02-09 Prabhakar; Venkatraman Process for forming silicon on insulator devices having elevated source and drain regions
US6160303A (en) 1997-08-29 2000-12-12 Texas Instruments Incorporated Monolithic inductor with guard rings
US6033995A (en) * 1997-09-16 2000-03-07 Trw Inc. Inverted layer epitaxial liftoff process
US6242327B1 (en) * 1997-09-19 2001-06-05 Fujitsu Limited Compound semiconductor device having a reduced source resistance
US5966622A (en) 1997-10-08 1999-10-12 Lucent Technologies Inc. Process for bonding crystalline substrates with different crystal lattices
US6316357B1 (en) 1997-10-08 2001-11-13 Industrial Technology Research Institute Method for forming metal silicide by laser irradiation
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
US6232138B1 (en) 1997-12-01 2001-05-15 Massachusetts Institute Of Technology Relaxed InxGa(1-x)as buffers
US6154475A (en) 1997-12-04 2000-11-28 The United States Of America As Represented By The Secretary Of The Air Force Silicon-based strain-symmetrized GE-SI quantum lasers
JP3447939B2 (en) 1997-12-10 2003-09-16 株式会社東芝 Nonvolatile semiconductor memory and data reading method
EP0926739A1 (en) 1997-12-24 1999-06-30 Texas Instruments Incorporated A structure of and method for forming a mis field effect transistor
FR2773177B1 (en) 1997-12-29 2000-03-17 France Telecom PROCESS FOR OBTAINING A SINGLE-CRYSTAL GERMANIUM OR SILICON LAYER ON A SILICON OR SINGLE-CRYSTAL GERMANIUM SUBSTRATE, RESPECTIVELY, AND MULTILAYER PRODUCTS OBTAINED
US6121100A (en) 1997-12-31 2000-09-19 Intel Corporation Method of fabricating a MOS transistor with a raised source/drain extension
US6013134A (en) 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
US6153495A (en) 1998-03-09 2000-11-28 Intersil Corporation Advanced methods for making semiconductor devices by low temperature direct bonding
JP3219051B2 (en) 1998-05-08 2001-10-15 日本電気株式会社 Method for manufacturing semiconductor device
JP4258034B2 (en) 1998-05-27 2009-04-30 ソニー株式会社 Semiconductor device and manufacturing method of semiconductor device
US6372356B1 (en) 1998-06-04 2002-04-16 Xerox Corporation Compliant substrates for growing lattice mismatched films
US6291326B1 (en) * 1998-06-23 2001-09-18 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
JP3403076B2 (en) 1998-06-30 2003-05-06 株式会社東芝 Semiconductor device and manufacturing method thereof
JP2975932B1 (en) 1998-07-09 1999-11-10 日本放送協会 Digital signal receiver
JP2000031491A (en) 1998-07-14 2000-01-28 Hitachi Ltd Semiconductor device, its manufacture, semiconductor substrate and its manufacture
US6335546B1 (en) * 1998-07-31 2002-01-01 Sharp Kabushiki Kaisha Nitride semiconductor structure, method for producing a nitride semiconductor structure, and light emitting device
US6368733B1 (en) 1998-08-06 2002-04-09 Showa Denko K.K. ELO semiconductor substrate
JP2000124325A (en) 1998-10-16 2000-04-28 Nec Corp Semiconductor device and manufacture thereof
US6329063B2 (en) 1998-12-11 2001-12-11 Nova Crystals, Inc. Method for producing high quality heteroepitaxial growth using stress engineering and innovative substrates
US6130453A (en) 1999-01-04 2000-10-10 International Business Machines Corporation Flash memory structure with floating gate in vertical trench
EP1020900B1 (en) 1999-01-14 2009-08-05 Panasonic Corporation Semiconductor device and method for fabricating the same
US6162688A (en) 1999-01-14 2000-12-19 Advanced Micro Devices, Inc. Method of fabricating a transistor with a dielectric underlayer and device incorporating same
WO2000042231A2 (en) * 1999-01-15 2000-07-20 The Regents Of The University Of California Polycrystalline silicon germanium films for forming micro-electromechanical systems
US6074919A (en) 1999-01-20 2000-06-13 Advanced Micro Devices, Inc. Method of forming an ultrathin gate dielectric
US6235568B1 (en) * 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6133124A (en) 1999-02-05 2000-10-17 Advanced Micro Devices, Inc. Device improvement by source to drain resistance lowering through undersilicidation
US6133799A (en) 1999-02-25 2000-10-17 International Business Machines Corporation Voltage controlled oscillator utilizing threshold voltage control of silicon on insulator MOSFETS
US6315384B1 (en) 1999-03-08 2001-11-13 Hewlett-Packard Company Thermal inkjet printhead and high-efficiency polycrystalline silicon resistor system for use therein
ATE394794T1 (en) 1999-03-12 2008-05-15 Ibm HIGH VELOCITY GE CHANNEL HETEROSTRUCTURE FOR FIELD EFFECT ARRANGEMENTS
US6187657B1 (en) * 1999-03-24 2001-02-13 Advanced Micro Devices, Inc. Dual material gate MOSFET technique
US6103559A (en) 1999-03-30 2000-08-15 Amd, Inc. (Advanced Micro Devices) Method of making disposable channel masking for both source/drain and LDD implant and subsequent gate fabrication
JP4521542B2 (en) 1999-03-30 2010-08-11 ルネサスエレクトロニクス株式会社 Semiconductor device and semiconductor substrate
US6429124B1 (en) 1999-04-14 2002-08-06 Micron Technology, Inc. Local interconnect structures for integrated circuits and methods for making the same
US6251755B1 (en) 1999-04-22 2001-06-26 International Business Machines Corporation High resolution dopant/impurity incorporation in semiconductors via a scanned atomic force probe
KR100396692B1 (en) 1999-06-16 2003-09-02 주식회사 하이닉스반도체 Method for Manufacturing of Semiconductor device
TW591132B (en) * 1999-06-17 2004-06-11 Taiwan Semiconductor Mfg Method of growing SiGe epitaxy
US6492711B1 (en) * 1999-06-22 2002-12-10 Matsushita Electric Industrial Co., Ltd. Heterojunction bipolar transistor and method for fabricating the same
US6281532B1 (en) 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6228694B1 (en) 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
KR100332108B1 (en) * 1999-06-29 2002-04-10 박종섭 Transistor in a semiconductor device and method of manufacuring the same
US6151248A (en) 1999-06-30 2000-11-21 Sandisk Corporation Dual floating gate EEPROM cell array with steering gates shared by adjacent cells
JP2001036054A (en) 1999-07-19 2001-02-09 Mitsubishi Electric Corp Manufacture of soi substrate
US6323108B1 (en) 1999-07-27 2001-11-27 The United States Of America As Represented By The Secretary Of The Navy Fabrication ultra-thin bonded semiconductor layers
US6242324B1 (en) 1999-08-10 2001-06-05 The United States Of America As Represented By The Secretary Of The Navy Method for fabricating singe crystal materials over CMOS devices
US6204529B1 (en) * 1999-08-27 2001-03-20 Hsing Lan Lung 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate
US6235567B1 (en) 1999-08-31 2001-05-22 International Business Machines Corporation Silicon-germanium bicmos on soi
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US6249022B1 (en) 1999-10-22 2001-06-19 United Microelectronics Corp. Trench flash memory with nitride spacers for electron trapping
US6096647A (en) 1999-10-25 2000-08-01 Chartered Semiconductor Manufacturing Ltd. Method to form CoSi2 on shallow junction by Si implantation
US6287913B1 (en) * 1999-10-26 2001-09-11 International Business Machines Corporation Double polysilicon process for providing single chip high performance logic and compact embedded memory structure
US6591321B1 (en) 1999-11-09 2003-07-08 International Business Machines Corporation Multiprocessor system bus protocol with group addresses, responses, and priorities
US7391087B2 (en) 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
US6352909B1 (en) * 2000-01-06 2002-03-05 Silicon Wafer Technologies, Inc. Process for lift-off of a layer from a substrate
US6271726B1 (en) 2000-01-10 2001-08-07 Conexant Systems, Inc. Wideband, variable gain amplifier
US6294448B1 (en) 2000-01-18 2001-09-25 Taiwan Semiconductor Manufacturing Company Method to improve TiSix salicide formation
US6750130B1 (en) 2000-01-20 2004-06-15 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
US6602613B1 (en) 2000-01-20 2003-08-05 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
EP1252659A1 (en) 2000-01-20 2002-10-30 Amberwave Systems Corporation Strained-silicon metal oxide semiconductor field effect transistors
US6261929B1 (en) 2000-02-24 2001-07-17 North Carolina State University Methods of forming a plurality of semiconductor layers using spaced trench arrays
US6316301B1 (en) 2000-03-08 2001-11-13 Sun Microsystems, Inc. Method for sizing PMOS pull-up devices
JP4698793B2 (en) 2000-04-03 2011-06-08 ルネサスエレクトロニクス株式会社 Semiconductor device
US6362071B1 (en) * 2000-04-05 2002-03-26 Motorola, Inc. Method for forming a semiconductor device with an opening in a dielectric layer
US6268257B1 (en) 2000-04-25 2001-07-31 Advanced Micro Devices, Inc. Method of forming a transistor having a low-resistance gate electrode
US6306698B1 (en) 2000-04-25 2001-10-23 Advanced Micro Devices, Inc. Semiconductor device having metal silicide regions of differing thicknesses above the gate electrode and the source/drain regions, and method of making same
US6319799B1 (en) * 2000-05-09 2001-11-20 Board Of Regents, The University Of Texas System High mobility heterojunction transistor and method
DE10025264A1 (en) 2000-05-22 2001-11-29 Max Planck Gesellschaft Field effect transistor based on embedded cluster structures and method for its production
US6573160B2 (en) 2000-05-26 2003-06-03 Motorola, Inc. Method of recrystallizing an amorphous region of a semiconductor
US6555839B2 (en) 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6313486B1 (en) 2000-06-15 2001-11-06 Board Of Regents, The University Of Texas System Floating gate transistor having buried strained silicon germanium channel layer
EP1295319A2 (en) 2000-06-22 2003-03-26 Massachusetts Institute Of Technology Etch stop layer system for sige devices
US6406986B1 (en) 2000-06-26 2002-06-18 Advanced Micro Devices, Inc. Fabrication of a wide metal silicide on a narrow polysilicon gate structure
US7503975B2 (en) 2000-06-27 2009-03-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and fabrication method therefor
KR100407684B1 (en) * 2000-06-28 2003-12-01 주식회사 하이닉스반도체 Method of manufacturing a semiconductor device
US6429061B1 (en) 2000-07-26 2002-08-06 International Business Machines Corporation Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation
JP2004519090A (en) 2000-08-07 2004-06-24 アンバーウェーブ システムズ コーポレイション Gate technology for strained surface channel and strained buried channel MOSFET devices
US6420937B1 (en) * 2000-08-29 2002-07-16 Matsushita Electric Industrial Co., Ltd. Voltage controlled oscillator with power amplifier
US6524935B1 (en) 2000-09-29 2003-02-25 International Business Machines Corporation Preparation of strained Si/SiGe on insulator by hydrogen induced layer transfer technique
JP3998408B2 (en) * 2000-09-29 2007-10-24 株式会社東芝 Semiconductor device and manufacturing method thereof
US6503833B1 (en) * 2000-11-15 2003-01-07 International Business Machines Corporation Self-aligned silicide (salicide) process for strained silicon MOSFET ON SiGe and structure formed thereby
DE10056871B4 (en) 2000-11-16 2007-07-12 Advanced Micro Devices, Inc., Sunnyvale Improved gate contact field effect transistor and method of making the same
US7312485B2 (en) 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US20020100942A1 (en) * 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
EP1399970A2 (en) 2000-12-04 2004-03-24 Amberwave Systems Corporation Cmos inverter circuits utilizing strained silicon surface channel mosfets
JP2003086708A (en) * 2000-12-08 2003-03-20 Hitachi Ltd Semiconductor device and manufacturing method thereof
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US6774010B2 (en) 2001-01-25 2004-08-10 International Business Machines Corporation Transferable device-containing layer for silicon-on-insulator applications
US20020123180A1 (en) 2001-03-01 2002-09-05 Peter Rabkin Transistor and memory cell with ultra-short gate feature and method of fabricating the same
US6593641B1 (en) 2001-03-02 2003-07-15 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6677192B1 (en) * 2001-03-02 2004-01-13 Amberwave Systems Corporation Method of fabricating a relaxed silicon germanium platform having planarizing for high speed CMOS electronics and high speed analog circuits
US6703688B1 (en) * 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6646322B2 (en) * 2001-03-02 2003-11-11 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6724008B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6723661B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6830976B2 (en) * 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6900103B2 (en) * 2001-03-02 2005-05-31 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6603156B2 (en) 2001-03-31 2003-08-05 International Business Machines Corporation Strained silicon on insulator structures
WO2002082514A1 (en) 2001-04-04 2002-10-17 Massachusetts Institute Of Technology A method for semiconductor device fabrication
US6555880B2 (en) 2001-06-07 2003-04-29 International Business Machines Corporation Self-aligned silicide process utilizing ion implants for reduced silicon consumption and control of the silicide formation temperature and structure formed thereby
WO2002103760A2 (en) * 2001-06-14 2002-12-27 Amberware Systems Corporation Method of selective removal of sige alloys
US6717213B2 (en) * 2001-06-29 2004-04-06 Intel Corporation Creation of high mobility channels in thin-body SOI devices
US6974735B2 (en) * 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
WO2003028106A2 (en) 2001-09-24 2003-04-03 Amberwave Systems Corporation Rf circuits including transistors having strained material layers
JP3952735B2 (en) 2001-10-25 2007-08-01 ソニー株式会社 Manufacturing method of semiconductor device
US6621131B2 (en) * 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6703271B2 (en) * 2001-11-30 2004-03-09 Taiwan Semiconductor Manufacturing Company Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
US6624478B2 (en) 2002-01-30 2003-09-23 International Business Machines Corporation High mobility transistors in SOI and method for forming
US6492216B1 (en) * 2002-02-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Method of forming a transistor with a strained channel
US6649492B2 (en) 2002-02-11 2003-11-18 International Business Machines Corporation Strained Si based layer made by UHV-CVD, and devices therein
US6562703B1 (en) 2002-03-13 2003-05-13 Sharp Laboratories Of America, Inc. Molecular hydrogen implantation method for forming a relaxed silicon germanium layer with high germanium content
WO2003079415A2 (en) 2002-03-14 2003-09-25 Amberwave Systems Corporation Methods for fabricating strained layers on semiconductor substrates
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
US20030227057A1 (en) 2002-06-07 2003-12-11 Lochtefeld Anthony J. Strained-semiconductor-on-insulator device structures
US7615829B2 (en) * 2002-06-07 2009-11-10 Amberwave Systems Corporation Elevated source and drain elements for strained-channel heterojuntion field-effect transistors
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
WO2003105206A1 (en) 2002-06-10 2003-12-18 Amberwave Systems Corporation Growing source and drain elements by selecive epitaxy
US6764908B1 (en) 2002-06-19 2004-07-20 Advanced Micro Devices, Inc. Narrow width CMOS devices fabricated on strained lattice semiconductor substrates with maximized NMOS and PMOS drive currents
US6982474B2 (en) 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes
US7473947B2 (en) * 2002-07-12 2009-01-06 Intel Corporation Process for ultra-thin body SOI devices that incorporate EPI silicon tips and article made thereby
US6812086B2 (en) * 2002-07-16 2004-11-02 Intel Corporation Method of making a semiconductor transistor
US6828632B2 (en) * 2002-07-18 2004-12-07 Micron Technology, Inc. Stable PD-SOI devices and methods
US6699765B1 (en) * 2002-08-29 2004-03-02 Micrel, Inc. Method of fabricating a bipolar transistor using selective epitaxially grown SiGe base layer
US6787864B2 (en) * 2002-09-30 2004-09-07 Advanced Micro Devices, Inc. Mosfets incorporating nickel germanosilicided gate and methods for their formation
US6743684B2 (en) 2002-10-11 2004-06-01 Texas Instruments Incorporated Method to produce localized halo for MOS transistor
US6657223B1 (en) 2002-10-29 2003-12-02 Advanced Micro Devices, Inc. Strained silicon MOSFET having silicon source/drain regions and method for its fabrication
US6703648B1 (en) * 2002-10-29 2004-03-09 Advanced Micro Devices, Inc. Strained silicon PMOS having silicon germanium source/drain extensions and method for its fabrication
US6855990B2 (en) * 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US20040119101A1 (en) 2002-12-23 2004-06-24 Gerhard Schrom Contact layout for MOSFETs under tensile strain
US7001837B2 (en) 2003-01-17 2006-02-21 Advanced Micro Devices, Inc. Semiconductor with tensile strained substrate and method of making the same
US6825086B2 (en) 2003-01-17 2004-11-30 Sharp Laboratories Of America, Inc. Strained-silicon channel CMOS with sacrificial shallow trench isolation oxide liner
US6921913B2 (en) 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
US6909186B2 (en) * 2003-05-01 2005-06-21 International Business Machines Corporation High performance FET devices and methods therefor
US6982433B2 (en) * 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
US6974733B2 (en) * 2003-06-16 2005-12-13 Intel Corporation Double-gate transistor with enhanced carrier mobility
JP2005011915A (en) * 2003-06-18 2005-01-13 Hitachi Ltd Semiconductor device, semiconductor circuit module and its manufacturing method
US20040262683A1 (en) * 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US20050112048A1 (en) * 2003-11-25 2005-05-26 Loucas Tsakalakos Elongated nano-structures and related devices
US6949482B2 (en) * 2003-12-08 2005-09-27 Intel Corporation Method for improving transistor performance through reducing the salicide interface resistance
US6929992B1 (en) 2003-12-17 2005-08-16 Advanced Micro Devices, Inc. Strained silicon MOSFETs having NMOS gates with work functions for compensating NMOS threshold voltage shift
US7172933B2 (en) * 2004-06-10 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Recessed polysilicon gate structure for a strained silicon MOSFET device
KR100642747B1 (en) * 2004-06-22 2006-11-10 삼성전자주식회사 Fabricating method of CMOS transistor and CMOS transistor fabricated by the same method

Patent Citations (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4522662A (en) * 1983-08-12 1985-06-11 Hewlett-Packard Company CVD lateral epitaxial growth of silicon over insulators
US4749441A (en) * 1986-12-11 1988-06-07 General Motors Corporation Semiconductor mushroom structure fabrication
US6391798B1 (en) * 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US4786615A (en) * 1987-08-31 1988-11-22 Motorola Inc. Method for improved surface planarity in selective epitaxial silicon
US4994866A (en) * 1988-01-07 1991-02-19 Fujitsu Limited Complementary semiconductor device
US5294564A (en) * 1989-03-31 1994-03-15 Thomson-Csf Method for the directed modulation of the composition or doping of semiconductors, notably for the making of planar type monolithic electronic components, use of the method and corresponding products
US4963506A (en) * 1989-04-24 1990-10-16 Motorola Inc. Selective deposition of amorphous and polycrystalline silicon
US5108946A (en) * 1989-05-19 1992-04-28 Motorola, Inc. Method of forming planar isolation regions
US5225703A (en) * 1990-06-11 1993-07-06 Mitsubishi Denki Kabushiki Kaisha Dual field effect transistor structure employing a single source region
US5243207A (en) * 1991-03-15 1993-09-07 Texas Instruments Incorporated Method to integrate HBTs and FETs
US5091767A (en) * 1991-03-18 1992-02-25 At&T Bell Laboratories Article comprising a lattice-mismatched semiconductor heterostructure
US5304834A (en) * 1991-05-23 1994-04-19 At&T Bell Laboratories Selective epitaxy of silicon in silicon dioxide apertures with suppression of unwanted formation of facets
US5212112A (en) * 1991-05-23 1993-05-18 At&T Bell Laboratories Selective epitaxy of silicon in silicon dioxide apertures with suppression of unwanted formation of facets
US5849612A (en) * 1992-01-17 1998-12-15 Seiko Instruments Inc. MOS poly-si thin film transistor with a flattened channel interface and method of producing same
US5571373A (en) * 1994-05-18 1996-11-05 Memc Electronic Materials, Inc. Method of rough polishing semiconductor wafers to reduce surface roughness
US6030889A (en) * 1994-09-11 2000-02-29 International Business Machines Corporation Substrate-holding fixture of non-wettable material
US5698869A (en) * 1994-09-13 1997-12-16 Kabushiki Kaisha Toshiba Insulated-gate transistor having narrow-bandgap-source
US6342421B1 (en) * 1994-09-13 2002-01-29 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
US5633202A (en) * 1994-09-30 1997-05-27 Intel Corporation High tensile nitride layer
US5705421A (en) * 1994-11-24 1998-01-06 Sony Corporation A SOI substrate fabricating method
US5710450A (en) * 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
US5976939A (en) * 1995-07-03 1999-11-02 Intel Corporation Low damage doping technique for self-aligned source and drain regions
US20020084000A1 (en) * 1997-06-24 2002-07-04 Eugene A. Fitzgerald Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
US20040262631A1 (en) * 1997-06-24 2004-12-30 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
US6723621B1 (en) * 1997-06-30 2004-04-20 International Business Machines Corporation Abrupt delta-like doping in Si and SiGe films by UHV-CVD
US6008128A (en) * 1997-07-18 1999-12-28 Shin-Etsu Handotai Co., Ltd. Method for smoothing surface of silicon single crystal substrate
US20020011628A1 (en) * 1997-12-03 2002-01-31 Takeshi Takagi Semiconductor device
US6159852A (en) * 1998-02-13 2000-12-12 Micron Technology, Inc. Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor
US6030887A (en) * 1998-02-26 2000-02-29 Memc Electronic Materials, Inc. Flattening process for epitaxial semiconductor wafers
US20010003269A1 (en) * 1998-04-10 2001-06-14 Kenneth C. Wu Etch stop layer system
US6344375B1 (en) * 1998-07-28 2002-02-05 Matsushita Electric Industrial Co., Ltd Substrate containing compound semiconductor, method for manufacturing the same and semiconductor device using the same
US6326281B1 (en) * 1998-09-23 2001-12-04 Texas Instruments Incorporated Integrated circuit isolation
US6887762B1 (en) * 1998-11-12 2005-05-03 Intel Corporation Method of fabricating a field effect transistor structure with abrupt source/drain junctions
US6313016B1 (en) * 1998-12-22 2001-11-06 Daimlerchrysler Ag Method for producing epitaxial silicon germanium layers
US6369438B1 (en) * 1998-12-24 2002-04-09 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6607948B1 (en) * 1998-12-24 2003-08-19 Kabushiki Kaisha Toshiba Method of manufacturing a substrate using an SiGe layer
US20040227154A1 (en) * 1999-03-12 2004-11-18 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US6858502B2 (en) * 1999-03-12 2005-02-22 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US6689211B1 (en) * 1999-04-09 2004-02-10 Massachusetts Institute Of Technology Etch stop layer system
US6368927B1 (en) * 1999-06-29 2002-04-09 Hyunadi Electronics Industries, Ltd. Method of manufacturing transistor having elevated source and drain regions
US6376318B1 (en) * 1999-06-30 2002-04-23 Hyundai Electronics Industries Co., Ltd. Method of manufacturing a semiconductor device
US6876053B1 (en) * 1999-08-13 2005-04-05 Intel Corporation Isolation structure configurations for modifying stresses in semiconductor devices
US6881630B2 (en) * 1999-10-07 2005-04-19 Samsung Electronics Co., Ltd. Methods for fabricating field effect transistors having elevated source/drain regions
US6690043B1 (en) * 1999-11-26 2004-02-10 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6214679B1 (en) * 1999-12-30 2001-04-10 Intel Corporation Cobalt salicidation method on a silicon germanium film
US6521508B1 (en) * 1999-12-31 2003-02-18 Hyundai Electronics Industries Co., Ltd. Method of manufacturing a contact plug in a semiconductor device using selective epitaxial growth of silicon process
US20010040292A1 (en) * 2000-01-28 2001-11-15 Seung-Ho Hahn Semiconductor device having a contact plug formed by a dual epitaxial layer and method for fabricating the same
US6500694B1 (en) * 2000-03-22 2002-12-31 Ziptronix, Inc. Three dimensional device integration method and integrated device
US20040129982A1 (en) * 2000-05-25 2004-07-08 Renesas Technology Corporation Semiconductor device and manufacturing method
US20020072130A1 (en) * 2000-08-16 2002-06-13 Zhi-Yuan Cheng Process for producing semiconductor article using graded expital growth
US6617654B2 (en) * 2000-10-12 2003-09-09 Mitsubishi Denki Kabushiki Kaisha Semiconductor device with sidewall spacers and elevated source/drain region
US6890835B1 (en) * 2000-10-19 2005-05-10 International Business Machines Corporation Layer transfer of low defect SiGe using an etch-back process
US6881632B2 (en) * 2000-12-04 2005-04-19 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained surface channel MOSFETS
US20020123197A1 (en) * 2000-12-04 2002-09-05 Fitzgerald Eugene A. Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel mosfets
US20020079427A1 (en) * 2000-12-26 2002-06-27 Dan-Xia Xu High speed and high efficiency Si-based photodetectors using waveguides formed with silicides for near IR applications
US6936509B2 (en) * 2001-01-25 2005-08-30 International Business Machines Corporation STI pull-down to control SiGe facet growth
US20080014725A1 (en) * 2001-02-12 2008-01-17 Asm America, Inc. Deposition over mixed substrates using trisilane
US6444578B1 (en) * 2001-02-21 2002-09-03 International Business Machines Corporation Self-aligned silicide process for reduction of Si consumption in shallow junction and thin SOI electronic devices
US6765227B1 (en) * 2001-02-26 2004-07-20 Advanced Micro Devices, Inc. Semiconductor-on-insulator (SOI) wafer having a Si/SiGe/Si active layer and method of fabrication using wafer bonding
US6881360B2 (en) * 2001-03-22 2005-04-19 Heraeus Kulzer Gmbh & Co. Kg Process for producing a prosthesis and a prosthesis material
US6593625B2 (en) * 2001-06-12 2003-07-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US6855649B2 (en) * 2001-06-12 2005-02-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US20050156180A1 (en) * 2001-06-25 2005-07-21 Zhibo Zhang Optoelectronic devices having arrays of quantum-dot compound semiconductor superlattices therein
US6709929B2 (en) * 2001-06-25 2004-03-23 North Carolina State University Methods of forming nano-scale electronic and optoelectronic devices using non-photolithographically defined nano-channel templates
US6727550B2 (en) * 2001-07-06 2004-04-27 Kabushiki Kaisha Toshiba Integrated circuit device
US20030025131A1 (en) * 2001-08-06 2003-02-06 Massachusetts Institute Of Technology Formation of planar strained layers
US6818537B2 (en) * 2001-10-08 2004-11-16 Hynix Semiconductor Inc. Method of manufacturing a contact plug for a semiconductor device
US6992355B2 (en) * 2002-04-05 2006-01-31 Micron Technology, Inc. Semiconductor-on-insulator constructions
US6743651B2 (en) * 2002-04-23 2004-06-01 International Business Machines Corporation Method of forming a SiGe-on-insulator substrate using separation by implantation of oxygen
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US6887773B2 (en) * 2002-06-19 2005-05-03 Luxtera, Inc. Methods of incorporating germanium within CMOS process
US6879053B1 (en) * 2002-10-22 2005-04-12 Youtility, Inc. Transformerless, load adaptive speed controller
US6818938B1 (en) * 2002-12-10 2004-11-16 National Semiconductor Corporation MOS transistor and method of forming the transistor with a channel region in a layer of composite material
US20060057825A1 (en) * 2002-12-18 2006-03-16 Agere Systems Inc. Semiconductor devices with reduced active region defects and unique contacting schemes
US20040121564A1 (en) * 2002-12-23 2004-06-24 Motorola Inc. Method of forming semiconductor devices through epitaxy
US20040175893A1 (en) * 2003-03-07 2004-09-09 Applied Materials, Inc. Apparatuses and methods for forming a substantially facet-free epitaxial film
US20050250298A1 (en) * 2004-04-23 2005-11-10 Matthias Bauer In situ doped epitaxial films
US20050277272A1 (en) * 2004-06-10 2005-12-15 Applied Materials, Inc. Low temperature epitaxial growth of silicon-containing films using UV radiation
US20050280026A1 (en) * 2004-06-16 2005-12-22 Isaacson David M Strained silicon-on-silicon by wafer bonding and layer transfer

Cited By (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050194658A1 (en) * 2003-10-31 2005-09-08 Chung-Hu Ke Strained silicon structure
US7208754B2 (en) * 2003-10-31 2007-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Strained silicon structure
US20080135873A1 (en) * 2006-12-08 2008-06-12 Amberwave Systems Corporation Inducement of Strain in a Semiconductor Layer
US7897493B2 (en) 2006-12-08 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Inducement of strain in a semiconductor layer
US20100255646A1 (en) * 2007-09-14 2010-10-07 Transphorm Inc. Iii-nitride devices with recessed gates
US7939391B2 (en) * 2007-09-14 2011-05-10 Transphorm Inc. III-Nitride devices with recessed gates
US8633518B2 (en) 2007-09-17 2014-01-21 Transphorm Inc. Gallium nitride power devices
US8193562B2 (en) 2007-09-17 2012-06-05 Tansphorm Inc. Enhancement mode gallium nitride power devices
US20110121314A1 (en) * 2007-09-17 2011-05-26 Transphorm Inc. Enhancement mode gallium nitride power devices
US9343560B2 (en) 2007-09-17 2016-05-17 Transphorm Inc. Gallium nitride power devices
US8344424B2 (en) 2007-09-17 2013-01-01 Transphorm Inc. Enhancement mode gallium nitride power devices
US9196716B2 (en) 2008-04-23 2015-11-24 Transphorm Inc. Enhancement mode III-N HEMTs
US9437708B2 (en) 2008-04-23 2016-09-06 Transphorm Inc. Enhancement mode III-N HEMTs
US9941399B2 (en) 2008-04-23 2018-04-10 Transphorm Inc. Enhancement mode III-N HEMTs
US8519438B2 (en) 2008-04-23 2013-08-27 Transphorm Inc. Enhancement mode III-N HEMTs
US8841702B2 (en) 2008-04-23 2014-09-23 Transphorm Inc. Enhancement mode III-N HEMTs
US8816751B2 (en) 2008-09-23 2014-08-26 Transphorm Inc. Inductive load power switching circuits
US9690314B2 (en) 2008-09-23 2017-06-27 Transphorm Inc. Inductive load power switching circuits
US8289065B2 (en) 2008-09-23 2012-10-16 Transphorm Inc. Inductive load power switching circuits
US8531232B2 (en) 2008-09-23 2013-09-10 Transphorm Inc. Inductive load power switching circuits
US8493129B2 (en) 2008-09-23 2013-07-23 Transphorm Inc. Inductive load power switching circuits
US8237198B2 (en) 2008-12-10 2012-08-07 Transphorm Inc. Semiconductor heterostructure diodes
US9041065B2 (en) 2008-12-10 2015-05-26 Transphorm Inc. Semiconductor heterostructure diodes
US8541818B2 (en) 2008-12-10 2013-09-24 Transphorm Inc. Semiconductor heterostructure diodes
US9293561B2 (en) 2009-05-14 2016-03-22 Transphorm Inc. High voltage III-nitride semiconductor devices
US8742459B2 (en) 2009-05-14 2014-06-03 Transphorm Inc. High voltage III-nitride semiconductor devices
US9831315B2 (en) 2009-08-28 2017-11-28 Transphorm Inc. Semiconductor devices with field plates
US9373699B2 (en) 2009-08-28 2016-06-21 Transphorm Inc. Semiconductor devices with field plates
US8692294B2 (en) 2009-08-28 2014-04-08 Transphorm Inc. Semiconductor devices with field plates
US8390000B2 (en) 2009-08-28 2013-03-05 Transphorm Inc. Semiconductor devices with field plates
US9111961B2 (en) 2009-08-28 2015-08-18 Transphorm Inc. Semiconductor devices with field plates
US9496137B2 (en) 2009-12-10 2016-11-15 Transphorm Inc. Methods of forming reverse side engineered III-nitride devices
US8389977B2 (en) 2009-12-10 2013-03-05 Transphorm Inc. Reverse side engineered III-nitride devices
US10199217B2 (en) 2009-12-10 2019-02-05 Transphorm Inc. Methods of forming reverse side engineered III-nitride devices
US9147760B2 (en) 2010-12-15 2015-09-29 Transphorm Inc. Transistors with isolation regions
US9437707B2 (en) 2010-12-15 2016-09-06 Transphorm Inc. Transistors with isolation regions
US8742460B2 (en) 2010-12-15 2014-06-03 Transphorm Inc. Transistors with isolation regions
US8895421B2 (en) 2011-02-02 2014-11-25 Transphorm Inc. III-N device structures and methods
US9224671B2 (en) 2011-02-02 2015-12-29 Transphorm Inc. III-N device structures and methods
US8643062B2 (en) 2011-02-02 2014-02-04 Transphorm Inc. III-N device structures and methods
US8895423B2 (en) 2011-03-04 2014-11-25 Transphorm Inc. Method for making semiconductor diodes with low reverse bias currents
US9142659B2 (en) 2011-03-04 2015-09-22 Transphorm Inc. Electrode configurations for semiconductor devices
US8716141B2 (en) 2011-03-04 2014-05-06 Transphorm Inc. Electrode configurations for semiconductor devices
US8772842B2 (en) 2011-03-04 2014-07-08 Transphorm, Inc. Semiconductor diodes with low reverse bias currents
US9224805B2 (en) 2011-09-06 2015-12-29 Transphorm Inc. Semiconductor devices with guard rings
US8901604B2 (en) 2011-09-06 2014-12-02 Transphorm Inc. Semiconductor devices with guard rings
US9257547B2 (en) 2011-09-13 2016-02-09 Transphorm Inc. III-N device structures having a non-insulating substrate
US8598937B2 (en) 2011-10-07 2013-12-03 Transphorm Inc. High power semiconductor electronic components with increased reliability
US9171836B2 (en) 2011-10-07 2015-10-27 Transphorm Inc. Method of forming electronic components with increased reliability
US8860495B2 (en) 2011-10-07 2014-10-14 Transphorm Inc. Method of forming electronic components with increased reliability
US9685323B2 (en) 2012-02-03 2017-06-20 Transphorm Inc. Buffer layer structures suited for III-nitride devices with foreign substrates
US9165766B2 (en) 2012-02-03 2015-10-20 Transphorm Inc. Buffer layer structures suited for III-nitride devices with foreign substrates
US10276682B2 (en) 2012-03-29 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor
US9985103B2 (en) 2012-03-29 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming high electron mobility transistor
US9111905B2 (en) * 2012-03-29 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor and method of forming the same
US9508807B2 (en) 2012-03-29 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming high electron mobility transistor
US10790375B2 (en) 2012-03-29 2020-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor
US9093366B2 (en) 2012-04-09 2015-07-28 Transphorm Inc. N-polar III-nitride transistors
US9490324B2 (en) 2012-04-09 2016-11-08 Transphorm Inc. N-polar III-nitride transistors
US8685816B2 (en) * 2012-06-11 2014-04-01 Globalfoundries Inc. Methods of forming semiconductor devices by forming semiconductor channel region materials prior to forming isolation structures
US9184275B2 (en) 2012-06-27 2015-11-10 Transphorm Inc. Semiconductor devices with integrated hole collectors
US9634100B2 (en) 2012-06-27 2017-04-25 Transphorm Inc. Semiconductor devices with integrated hole collectors
US9171730B2 (en) 2013-02-15 2015-10-27 Transphorm Inc. Electrodes for semiconductor devices and methods of forming the same
US9520491B2 (en) 2013-02-15 2016-12-13 Transphorm Inc. Electrodes for semiconductor devices and methods of forming the same
US10043898B2 (en) 2013-03-13 2018-08-07 Transphorm Inc. Enhancement-mode III-nitride devices
US10535763B2 (en) 2013-03-13 2020-01-14 Transphorm Inc. Enhancement-mode III-nitride devices
US9590060B2 (en) 2013-03-13 2017-03-07 Transphorm Inc. Enhancement-mode III-nitride devices
US9245992B2 (en) 2013-03-15 2016-01-26 Transphorm Inc. Carbon doping semiconductor devices
US9865719B2 (en) 2013-03-15 2018-01-09 Transphorm Inc. Carbon doping semiconductor devices
US9245993B2 (en) 2013-03-15 2016-01-26 Transphorm Inc. Carbon doping semiconductor devices
US9842922B2 (en) 2013-07-19 2017-12-12 Transphorm Inc. III-nitride transistor including a p-type depleting layer
US9443938B2 (en) 2013-07-19 2016-09-13 Transphorm Inc. III-nitride transistor including a p-type depleting layer
US10043896B2 (en) 2013-07-19 2018-08-07 Transphorm Inc. III-Nitride transistor including a III-N depleting layer
US9318593B2 (en) 2014-07-21 2016-04-19 Transphorm Inc. Forming enhancement mode III-nitride devices
US9935190B2 (en) 2014-07-21 2018-04-03 Transphorm Inc. Forming enhancement mode III-nitride devices
US9536967B2 (en) 2014-12-16 2017-01-03 Transphorm Inc. Recessed ohmic contacts in a III-N device
US9536966B2 (en) 2014-12-16 2017-01-03 Transphorm Inc. Gate structures for III-N devices
US11322599B2 (en) 2016-01-15 2022-05-03 Transphorm Technology, Inc. Enhancement mode III-nitride devices having an Al1-xSixO gate insulator
US10224401B2 (en) 2016-05-31 2019-03-05 Transphorm Inc. III-nitride devices including a graded depleting layer
US10629681B2 (en) 2016-05-31 2020-04-21 Transphorm Technology, Inc. III-nitride devices including a graded depleting layer
US11121216B2 (en) 2016-05-31 2021-09-14 Transphorm Technology, Inc. III-nitride devices including a graded depleting layer
US10249542B2 (en) 2017-01-12 2019-04-02 International Business Machines Corporation Self-aligned doping in source/drain regions for low contact resistance

Also Published As

Publication number Publication date
US6830976B2 (en) 2004-12-14
US20140342523A1 (en) 2014-11-20
US9515196B2 (en) 2016-12-06
US20020123167A1 (en) 2002-09-05
US8822282B2 (en) 2014-09-02
US20040219726A1 (en) 2004-11-04

Similar Documents

Publication Publication Date Title
US9515196B2 (en) Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US7501351B2 (en) Relaxed SiGe platform for high speed CMOS electronics and high speed analog circuits
US6723661B2 (en) Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6724008B2 (en) Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6646322B2 (en) Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6677192B1 (en) Method of fabricating a relaxed silicon germanium platform having planarizing for high speed CMOS electronics and high speed analog circuits
US6593641B1 (en) Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6900103B2 (en) Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
WO2002071495A1 (en) Relaxed silicon germanium platform for high speed cmos electronics and high speed analog circuits
JP3376211B2 (en) Semiconductor device, method of manufacturing semiconductor substrate, and method of manufacturing semiconductor device
US7504311B2 (en) Structure and method of integrating compound and elemental semiconductors for high-performance CMOS
US7141820B2 (en) Structures with planar strained layers
US5155571A (en) Complementary field effect transistors having strained superlattice structure
US7915100B2 (en) Hybrid orientation CMOS with partial insulation process
US5879996A (en) Silicon-germanium devices for CMOS formed by ion implantation and solid phase epitaxial regrowth
JP3512701B2 (en) Semiconductor device and manufacturing method thereof
US20050233552A1 (en) Strained channel on insulator device
KR20050106432A (en) Dual strain-state sige layers for microelectronics
KR20040077504A (en) MULTIPLE GATE MOSFET STRUCTURE WITH STRAINED Si FIN BODY
JP2000031491A (en) Semiconductor device, its manufacture, semiconductor substrate and its manufacture
WO2002071491A1 (en) Relaxed silicon germanium platform for high speed cmos electronics and high speed analog circuits
US8053844B2 (en) Hybrid orientation scheme for standard orthogonal circuits
WO2002071488A1 (en) Relaxed silicon germanium platform for high speed cmos electronics and high speed analog circuits
WO2002071493A2 (en) Relaxed silicon germanium platform for high speed cmos electronics and high speed analog
KR100839752B1 (en) Manufacture method of semiconductor device structure using self-aligned epitaxial layers

Legal Events

Date Code Title Description
AS Assignment

Owner name: AMBERWAVE SYSTEMS CORPORATION, NEW HAMPSHIRE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:FITZGERALD, EUGENE A.;REEL/FRAME:020307/0126

Effective date: 20010927

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:AMBERWAVE SYSTEMS CORPORATION;REEL/FRAME:023775/0111

Effective date: 20091122

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,T

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:AMBERWAVE SYSTEMS CORPORATION;REEL/FRAME:023775/0111

Effective date: 20091122