US20060160475A1 - Chemical mechanical polishing compositions for metal and associated materials and method of using same - Google Patents

Chemical mechanical polishing compositions for metal and associated materials and method of using same Download PDF

Info

Publication number
US20060160475A1
US20060160475A1 US11/386,307 US38630706A US2006160475A1 US 20060160475 A1 US20060160475 A1 US 20060160475A1 US 38630706 A US38630706 A US 38630706A US 2006160475 A1 US2006160475 A1 US 2006160475A1
Authority
US
United States
Prior art keywords
mechanical polishing
chemical mechanical
slurry
polishing slurry
semiconductor wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/386,307
Inventor
Ying Ma
William Wojtczak
Cary Regulski
Thomas Baum
David Bernhard
Deepak Verma
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/386,307 priority Critical patent/US20060160475A1/en
Publication of US20060160475A1 publication Critical patent/US20060160475A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • B24B37/0056Control means for lapping machines or devices taking regard of the pH-value of lapping agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents

Definitions

  • the present invention relates generally to the chemical mechanical polishing of semiconductor devices systems and methods, and more particularly, to a formulation and method for use in polishing metal films in semiconductor interconnection processes.
  • the present invention relates to a chemical mechanical polishing composition for surfaces of a semiconductor wafer, and more particularly, to a chemical mechanical polishing slurry and a method for using the slurry to remove and polish copper containing materials, barrier materials and dielectric materials layered on semiconductor wafer surfaces.
  • the semiconductor wafer typically includes a substrate, such as silicon, upon which dielectric materials, barrier materials, and metal conductors and interconnects are layered. These different materials have insulating, conductive or semi-conductive properties.
  • Integrated circuits are formed by patterning regions into the substrate and depositing thereon multiple layers of dielectric material, barrier material, and metals.
  • a layer of metal and a layer of a masking material called photoresist are deposited on a silicon wafer. Unwanted metal is then etched away with an appropriate chemical, leaving the desired pattern of wires or vias. Next, the spaces between the wires or vias are filled with silicon dioxide (an insulator), and finally the entire wafer surface is polished to remove excess insulator.
  • the damascene method is used wherein an oxide layer is first deposited and the pattern of wires or vias is formed by etching the oxide. The metal is then deposited second.
  • Step coverage is defined as a measure of how well a film conforms over an underlying step and is expressed by the ratio of the minimum thickness of a film as it crosses a step to the nominal thickness of the film over horizontal regions.
  • the layers that are removed and polished consist of a copper layer (about 1-1.5 ⁇ m thick) on top of a thin copper seed layer (about 0.05-0.15 ⁇ m thick). These copper layers are separated from the dielectric material surface by a layer of barrier material (about 50-300 ⁇ thick).
  • CMP Chemical Mechanical Polishing or Planarization
  • a chemical process such as oxidation or chelation.
  • CMP involves applying slurry, a solution of an abrasive and an active chemistry, to a silicon wafer or polishing pad that buffs the surface of a semiconductor wafer to achieve the removal, planarization, and polishing process.
  • One key to obtaining good uniformity across the wafer surface is by a polishing formulation that has the appropriate selectivity for the material(s) to be removed. Good slurry distribution and uniform distribution of mechanical force are also key to obtaining good planarity. If appropriate film removal selectivity is not maintained dishing of copper and/or erosion of the dielectric may occur. Dishing may occur when the copper and barrier removal rates are disparate or when the chemistry is too active for the metal interconnect layer. Erosion occurs when the local dielectric removal rate is much higher than the metal rate (see FIGS. 1-5 ).
  • Typical commercial CMP slurries used to remove overfill material and polish semiconductor wafer surfaces have a barrier material removal rate below 500 ⁇ /min. Further, these slurries have a copper to barrier material removal rate selectivity of greater than 4:1. This disparity in removal rates during the removal and polishing of the barrier material results in significant dishing of copper on the surface of the semiconductor wafer and/or poor removal of the barrier material.
  • copper CMP often employs a two-step slurry approach.
  • the slurry used in the first step is typically used to remove and planarize bulk copper and as such has a high copper removal rate, (e.g. 4000 ⁇ /min) and a comparatively low barrier material removal rate (e.g. 500 ⁇ /min).
  • the slurry used in the second step is used for the barrier breakthrough step and finishing and as such has a relatively high barrier material removal rate (e.g. 1000 ⁇ /min), comparable or lower removal rate for copper and low removal rate on the dielectric material, (e.g. the rates for copper and dielectric (thermal oxide) should be lower than 500 ⁇ /min).
  • Fumed or precipitated silica or alumina may also agglomerate to form larger, dense, hard particles or agglomerated precipitates over time. (See U.S. Pat. No. 5,527,423 to Neville, et al.). This produces defects in the form of scratches, voids, defects or pits on the polished wafer surface.
  • the abrasives are inorganic oxide particles that have high hardness.
  • defects in the form of micro-scratches are produced on copper surfaces during and after polishing.
  • the scratches occur due to the solid abrasive, in particular alumina, which is the main material used as a metal polishing abrasive. Slurry remains behind in the micro-scratches causing the semiconductor device to fail. Micro scratches and poor planarization efficiency result in integrated circuits with increased defects and a lower yield.
  • a still further object of the present invention is to provide a stable first-step polishing slurry comprising a moderately hard abrasive having a minimal particle size distribution.
  • the present invention is directed to a stable, chemical mechanical polishing slurry comprising a first slurry, which has a high removal rate on copper and a low removal rate on barrier material and a second slurry, which has a high removal rate on barrier material and a low to moderate removal rate on copper and the associated dielectric material.
  • the first slurry composition comprises at least a moderately hard organic polymeric abrasive, an oxidizing agent and an activating agent and the second slurry comprises at least a silica abrasive and an oxidizing agent.
  • a method for chemical mechanical polishing of copper, barrier material and dielectric material with the polishing slurry of the present invention is also disclosed as the present invention.
  • the stable slurry and method of using the slurry provide for removal of material and polishing of semiconductor wafer surfaces with significantly no dishing, or oxide erosion, with significantly no surface defects and good planarization efficiency.
  • FIG. 1 is a cross-sectional view of a semiconductor wafer prior to chemical mechanical polishing.
  • FIG. 2 is a cross sectional view of the semiconductor wafer of FIG. 1 following chemical mechanical polishing with the first slurry, according to one embodiment of the present invention.
  • FIG. 3 is a cross sectional view of the semiconductor of FIG. 1 following chemical mechanical polishing with the first slurry, according to another embodiment of the present invention.
  • FIG. 4 is a cross sectional view of the semiconductor wafer of FIG. 2 or FIG. 3 following chemical mechanical polishing with the second slurry, according to the present invention.
  • FIG. 5 is a cross sectional view of a semiconductor wafer illustrating copper dishing.
  • FIG. 6 is a cross sectional view of a semiconductor wafer illustrating oxide erosion.
  • the present invention presents a novel, stable chemical-mechanical polishing (CMP) slurry that overcomes the deficiencies in the prior art while providing a manufacturable process that may be implemented in the semiconductor-manufacturing arena with little or no alterations to the current CMP systems and footprints.
  • CMP chemical-mechanical polishing
  • the stable CMP slurry and method of using such slurry provide for removal of material and polishing of semiconductor wafer surfaces with significantly improved defectivity including reduced dishing or oxide erosion, with significantly no surface defects and good planarization efficiency.
  • the present invention provides a chemical mechanical polishing slurry composition and method of using such slurry for removing and polishing the bulk copper layer of a damascene processing step in the manufacturing of an integrated circuit. Further, the following invention provides a two-step CMP slurry comprising a first slurry formulation, which has a high removal rate on copper and a low removal rate on barrier material and a second slurry formulation that has a high removal rate on barrier material and a low to moderate removal rate on copper and the associated dielectric material.
  • FIG. 1 illustrates a semiconductor wafer 10 prior to CMP.
  • substrate 11 may be made of any conventional semiconductor materials, including silicon, germanium or silicon-germanium.
  • dielectric material 12 which is preferentially silicon oxide, low k dielectrics comprised substantially of silicon oxide, a carbon containing silicon oxide or a fluoride doped silicon glass (FSG).
  • barrier material 13 is typically about 50 to 300 ⁇ thick.
  • the barrier material 13 may be any material conventionally used, but is typically chosen from the group of tungsten nitride, tantalum, tantalum nitride, titanium nitride, silicon doped tantalum nitride or silicon doped titanium nitride.
  • a layer of copper 14 covers the barrier material layer 13 , and extends into trenches 14 a, 14 b, and 14 c.
  • the copper layer 14 is usually about 1-to 1.5 ⁇ m thick and the copper layer 14 in FIG. 1 may include a thin copper seed layer, which is usually about 0.05-0.15 ⁇ m thick.
  • the invention is a CMP slurry designed to polish copper 14 and associated barrier materials 13 such as tungsten nitride, tantalum, tantalum nitride, silicon doped tantalum nitride, titanium nitride and silicon doped titanium nitride.
  • the chemical mechanical polishing slurry of the present invention is comprised of two parts.
  • the first slurry is a copper selective slurry formulation used to remove the bulk copper down to the barrier layer ( FIG. 2 ).
  • the first slurry has a high removal rate of copper and a low removal rate of barrier material.
  • the second slurry formulation is selective to the barrier layer and removes the barrier material down to the dielectric material.
  • the second slurry has a high removal rate of barrier and a low to moderate removal rate of copper and dielectric.
  • FIG. 3 The various removal rates of the first and second slurries on various materials are shown in Table 1. In this way, two slurries together comprise a combined package to polish copper metallization schemes for integrated circuit manufacturing.
  • the present invention includes a method for chemical mechanical polishing copper 14 , barrier material 13 and dielectric material 12 , comprising the following steps: (1) providing a first chemical mechanical polishing slurry comprising an organic polymeric abrasive, wherein said first slurry has a high removal rate on copper 14 and a low removal rate on barrier material 13 ; (2) chemical mechanical polishing a semiconductor wafer surface 10 with the first slurry; (3) providing a second chemical mechanical polishing slurry that has a high removal rate on barrier material 13 a low to moderate removal rate on copper 14 and a low to moderate removal rate on the dielectric material 12 ; and (4) chemical mechanical polishing the semiconductor wafer surface 10 with the second slurry.
  • polishing instrument parameters such as down force (DF), flow rate (FR), table speed (TS), quill speed (QS), and pad type can be adjusted to effect the results of the CMP slurry.
  • DF down force
  • FR flow rate
  • TS table speed
  • QS quill speed
  • pad type can be adjusted to effect the results of the CMP slurry.
  • the down force is in a range from about 3 to 10 psi
  • the flow rate is in a range of from about 120 to 200 mL/min
  • the table speed is in a range of from about 35 to 200 rpm
  • a quill speed in a range of from about 30 to 200 rpm
  • an IC 1000 pad type an IC 1000 pad type.
  • the polishing pad serves as a means of applying mechanical friction to the wafer surface.
  • the optimum hardness of the pad is different depending on the object on which CMP is being performed.
  • a hard polishing pad is preferred, such as the type manufactured by Rodel, 3804 East Watkins Street, Phoenix, Ariz. 85034 and sold under the brand name IC 1000.
  • FIG. 2 illustrates the semiconductor wafer 10 of FIG. 1 , after steps (1) and (2) of the present method for CMP have been carried out, and the semiconductor wafer surface has been polished with the first slurry.
  • FIG. 2 is compared to FIG. 1 , the top copper layer 14 in FIG. 1 has been preferentially removed, and only the copper in the trenches ( FIG. 2 ) 18 a, 18 b, and 18 c is left.
  • the barrier material layer 17 is substantially in tact, and the dielectric material 16 based on substrate 15 is still unexposed.
  • FIG. 3 illustrates the semiconductor wafer 10 of FIG. 1 , after steps (1) and (2) of the present method for CMP have been carried out, and the semiconductor wafer surface has been over-polished with the first slurry in order to remove all the bulk copper on top of the barrier layer, while leaving the barrier layer relatively in tact.
  • the top copper layer 14 in FIG. 1 has been preferentially removed, and only the copper in the trenches ( FIG. 3 ) 20 a, 20 b, and 20 c is left.
  • the barrier material layer 21 is substantially in tact, and the dielectric material 22 based on substrate 23 is still unexposed.
  • FIG. 4 illustrates the semiconductor wafer 10 of FIGS. 1, 2 and 3 , after steps (3) and (4) of the present method for CMP have been carried out, and the semiconductor wafer surface has been polished with the second slurry.
  • the barrier material layer 24 has been removed down to the dielectric material 25 .
  • the second slurry also removed just enough of the copper in trenches 26 a, 26 b, and 26 c so that the surface of the semiconductor wafer 10 is flat and planar.
  • the second slurry also serves to polish the newly exposed surface, including the dielectric material 25 , the barrier material 24 a, 24 b, 24 c, and the copper 26 a, 26 b, 26 c. All of these materials are based on substrate 27 .
  • FIG. 5 shows a semiconductor wafer to which a CMP slurry has been applied, which had a higher selectivity for copper 28 a, 28 b, 28 c than for the barrier material 29 a, 29 b, 29 c or dielectric material 30 .
  • FIG. 5 shows a semiconductor wafer to which a CMP slurry has been applied, which had a higher selectivity for copper 28 a, 28 b, 28 c than for the barrier material 29 a, 29 b, 29 c or dielectric material 30 .
  • disparate amounts of copper are removed from the surface of the semiconductor wafer.
  • This is known as copper dishing and is shown by the dish-like troughs 31 a, 31 b, and 31 c in the trenches of copper 28 a, 28 b, 28 c.
  • the CMP slurry of the present invention and method of using this slurry greatly reduces copper dishing.
  • FIG. 6 shows a semiconductor wafer to which a CMP slurry has been applied, which has a higher selectivity for the dielectric material 32 than for the barrier material 33 a, 33 b, 33 c, or copper 34 a, 34 b, 34 c.
  • oxide erosion is shown by the indentions and/or reduction of the dielectric material 32 a, 32 b.
  • the CMP slurry of the present invention and method of using this slurry greatly reduces oxide erosion.
  • the present invention provides a novel first-step slurry polishing composition comprising an organic polymeric abrasive having little or no agglomeration over time.
  • Stage 1 agglomeration involves agglomerated particles held together primarily by van der Waals forces.
  • Stage 2 agglomeration can occur after stage 1 agglomeration, wherein the particles then fuse together over time, causing the particles to be primarily held together not by van der Waals forces, but rather by covalent (or similar-type high energy) bonding between the particles.
  • the present first step slurry formulation avoids stage 1 and stage 2 agglomeration.
  • the present invention provides a CMP slurry comprising a first step slurry composition useful for removal, planarization and polishing of metal layers from a semiconductor substrate.
  • the composition comprises a novel organic polymeric abrasive that is moderately hard and does not agglomerate in the formulation over time or during use.
  • the moderately hard nature of the polymeric abrasive provides for the removal of the softer copper layer while keeping the harder barrier and thermal oxide layer relatively intact.
  • the abrasive component of the first step slurry may comprise any polymeric material
  • the abrasive component may comprise any organic polymeric abrasive having a Moh's hardness of between 2 and 8 and more preferably between 2 and 6.
  • the abrasive particles may be in any shape or form that sufficiently abrades the metal and/or metal oxide layer from the substrate surface.
  • Specific examples of polymeric materials useful as abrasive components include but are not limited to poly (styrene), poly (ethers), poly (siloxanes), poly (vinyl acetate), poly (vinyl alcohol) and substituted versions and mixtures thereof. (See, http://www.24carat.co.uk/hardnessmohsscale.html for a description of Moh's hardness, incorporated herein by reference in its entirety.)
  • the CMP slurry comprises a first slurry polishing formulation useful for removal and polishing of copper containing materials from a semiconductor substrate, wherein the formulation comprises poly (methyl methacrylate) as the abrasive.
  • the poly (methyl methacrylate) particles have a particle size distribution in the range of from about 3 to 100 nm, more preferably from about 15 to 80 nm and most preferably from about 30 to 60 nm.
  • the mean particle size distribution of the poly (methyl methacrylate) particles is in a range of from about 20 to 80 nm, more preferably from about 30 to 60 nm and most preferably the mean particles size is between about 40 and 45 nm.
  • the specific gravity of the particles is between 1 and 1.5 g/mL.
  • the poly (methyl methacrylate) or other polymeric abrasive particles of the present invention have an aggregate size distribution of less than 1.0 micron and an average or mean aggregate diameter of less than about 45 nm. These abrasive particles have a much milder hardness compared to alumina and silica abrasives.
  • the first step slurry can employ polymeric constituents as the abrasive particles in the size range of 3 to 100 nm. These particles coupled with the step 1 formulation chemistry described below, allow the first-step slurry to achieve a high copper removal rate but a minimal barrier material removal rate.
  • Poly (methyl methacrylate) with a narrow size distribution, minimizes micro scratch defects and provides superior removal rates on copper materials, greater than about 1000 ⁇ /min, more preferably greater than about 2000 ⁇ /min and most preferably greater than about 4000 ⁇ /min and low removal rates for barrier and dielectric. Further, such abrasives with a mean size of less than about 45 nm provide very good planarization efficiency without increased defectivity.
  • the CMP slurry comprises a first step slurry polishing formulation for removing a copper containing layer from a substrate, said formulation comprising a polymeric abrasive, oxidizing agent and activating agent.
  • the formulation has a pH in a range of from about 0.1 to 6.9, more preferably in the range of from about 1 to 5 and most preferably, the pH of the polishing formulation is in the range of from about 2 to 4.8.
  • the pH of the solution is critical to achieving acceptable removal rates.
  • oxidizing agent is defined as any substance which removes metal electrons and raises the atomic valence and includes but is not limited to hydrogen peroxide (H 2 O 2 ), ferric nitrate (Fe(NO 3 ) 3 ), potassium iodate (KIO 3 ), potassium permanganate (KMnO 4 ), nitric acid (HNO 3 ), ammonium chlorite (NH 4 ClO 2 ), ammonium chlorate (NH 4 ClO 3 ), ammonium iodate (NH 4 IO 3 ), ammonium perborate (NH 4 BO 3 ), ammonium perchlorate (NH 4 ClO 4 ), ammonium periodate (NH 4 IO 3 ), ammonium persulfate ((NH 4 ) 2 S 2 O 8 ), tetramethylammonium chlorite ((N(CH 3 ) 4 )ClO 2 ), tetramethylammonium chlorate ((N(CH 3 ) 4 )ClO 2 ), t
  • the term activating agent is defined as any substance that in the presence of a water containing solution solubilizes or etches the oxidized copper material.
  • Copper activating agents useful in the present invention include but are not limited to mineral acids (i.e. hydrochloric acid, nitric acid), inorganic acids (i.e. phosphoric acid) and organic acids (i.e. citric acid, acetic acid and maleic acid).
  • the activating agent of the present first step slurry is citric acid.
  • the first step slurry composition of the present invention may comprise, a polymeic abrasive, an oxidizing agent, an activating agent, a corrosion inhibitor and optionally a cleaning agent.
  • the first-step slurry composition comprises from about 0.1 to 50 percent colloidal poly (methyl methacrylate), from about 0.1 to 25 percent oxidizing agent; from about 0 to 5 percent activating agent; from about 0 to 3 percent corrosion inhibitor and from about 0 to 3 percent cleaning agent.
  • the pH of the first-step slurry composition is between about 0.1 to 6.9 and more preferably between about 1 to 5.
  • a corrosion inhibitor is defined as a substance that reacts with the fresh copper surface and/or oxidized copper thin film to passivate the copper layer and prevent excessive etching of the copper surface during CMP.
  • the first slurry of the present invention is sufficiently benign to the metal surface being polished to have a static metal etch rate of less than 500 ⁇ , more preferably less than 200 ⁇ , and most preferably less than 50 ⁇ .
  • a cleaning agent is defined as a substance that slowly etches, or chelates to the copper, leading to soluble copper complexes that can be readily removed during polishing.
  • the corrosion inhibitor may be a carboxylic acid. More specifically, the carboxylic acid may be chosen from the group of glycine, oxalic acid, malonic acid, succinic acid and nitrilotriacetic acid. Alternatively, the carboxylic acid may be a dicarboxylic acid that preferentially has a nitrogen containing functional group. Preferably, the corrosion inhibitor is iminodiacetic acid. Other substances useful as passivating agents include but are not limited to benzotriazole (BTA), tolytriazole, BTA derivatives, such as BTA carboxylic acids, cystine, haloacetic acids, glucose and dodecyl mercaptan.
  • BTA benzotriazole
  • BTA derivatives such as BTA carboxylic acids, cystine, haloacetic acids, glucose and dodecyl mercaptan.
  • the first step slurry formulation may further comprise potassium or ammonium hydroxide or other buffering agents in such amounts as to adjust the pH to the desired level.
  • the first step slurry formulation is an aqueous mixture comprising (by weight percentage) 5 percent poly (methyl methacrylate) as the abrasive or 12.5 percent colloidal poly (methyl methacrylate) as abrasive; 0.1 percent of citric acid as activating agent; and 0.2 percent iminodiacetic acid as passivating agent.
  • Ammonium hydroxide was used to adjust the pH to the desired level.
  • the abrasive used in the preferred first-step slurry formulation of the present invention may be stabilized with a surfactant.
  • the surfactant may be cationic or anionic.
  • Preferably the surfactant is anionic.
  • the surface charges that the surfactant imparts to the particles cause steric repulsion among the abrasive particles in order to keep the particles suspended in a colloidal state within the slurry composition.
  • Anionic surfactants are ionic compounds, with the anion being the surface-active portion.
  • the surfactant is selected from the group consisting of alkyl sulfates, carboxylates and alkyl phosphates having carbon backbones in the range of from C 10 to C 14 .
  • the surfactant is a sulfate. More prefereably the surfactant is sodium laurel sulfate.
  • stage 2 agglomeration may be inhibited.
  • the surfactant and/or polyelectrolyte will tend to remain in close proximity to the slurry particles, thereby sterically hindering the particles from coming sufficiently close to one another to enable stage 2 agglomeration.
  • Step coverage is defined as a measure of how well a film conforms over an underlying step and is expressed by the ratio of the minimum thickness of a film as it crosses a step to the nominal thickness of the film over horizontal regions.
  • organic polymeric abrasive particles of the present invention have been directed to poly (methyl methacrylate), it is understood that the teachings herein have applicability to other organic polymeric materials such as poly (styrene), poly (ethers), poly (siloxanes), poly (vinyl acetate), poly (vinyl alcohol), poly (ethylene), poly (imides) and substituted versions thereof. Further, the organic polymeric abrasive particles may be utilized to polish other metal surfaces such as tungsten, aluminum and titanium as well as under layers such as titanium, titanium nitride and alloys of titanium/tungsten.
  • the present invention provides a chemical mechanical polishing first-step slurry formulation for removing and polishing the bulk copper layer of a damascene processing step in the manufacturing of an integrated circuit.
  • the bulk copper material layer has been removed exposing the underlying barrier layer.
  • a second-step slurry is useful to remove the barrier layer and to complete the planarization of the wafer surface.
  • the second step polishing which is also called a barrier break through step
  • a high removal rate for barrier i.e. Ta or TaN
  • a high selectivity of barrier to copper and to dielectric is desirable.
  • the second step slurry formulation achieves removal rates of >1000 ⁇ /min for the barrier material.
  • the present invention provides a two-step polishing formulation comprising first and second-step slurry compositions, wherein said first-step slurry comprises an organic polymeric abrasive and said second-step slurry comprises an abrasive, and an oxidizing agent, wherein the abrasive component is selected from the group consisting of silica, alumina, ceria and mixtures thereof.
  • the instant invention relates to a second-step polishing slurry formulation comprising silica as abrasive particles, an oxidizing agent, and a passivating agent.
  • the chemistry of the second-step slurry should be stable and have a pH in the range of about 4 to 10.
  • the particles of the second step slurry formulation are precipitated silica.
  • the precipitated particles usually range from about 3 to 100 nm in size and can be spherical.
  • An alternative to precipitated silica particles in the second-step slurry is fumed silica.
  • the fumed silica has a mean particle size of less than 700 nm.
  • colloidal silica particles of the type described.
  • the colloidal silica particles can range from about 3 to 70 nm in size, and can be spherical.
  • the particles should have a narrow size distribution. More specifically, about 99.9% of the spherical colloidal particles should be within about 3 sigma of a mean particle size with negligible particles larger than about 500 nm.
  • the second step slurry can employ either precipitated spherical silica particles in the size range of 3 to 100 nm, or fumed silica with mean particle size less than about 700 nm. These particles coupled with an oxidizing agent allow the second-step slurry to achieve high barrier material removal rates and low copper and dielectric removal rates (as shown in Table 1 heretofore). Colloidal silica, with a narrow size distribution, minimizes micro scratch defects and provides superior removal rates on barrier materials, greater than about 1000 ⁇ /min, and low removal rates for copper and barrier. Further, spherical silica abrasives with a mean size of less than about 100 nm provide very good planarization efficiency (See Table 1, hereinabove).
  • the preferred oxidizing agent for the second step slurry is hydrogen peroxide (H 2 O 2 ).
  • the oxidizing agent may be selected from the group consisting of: ferric nitrate (Fe(NO 3 ) 3 ), potassium iodate (KIO 3 ), potassium permanganate (KmnO 4 ), nitric acid (HNO 3 ), ammonium chlorite (NH 4 ClO 2 ), ammonium chlorate (NH 4 ClO 3 ), ammonium iodate (NH 4 IO 3 ), ammonium perborate (NH 4 BO 3 ), ammonium perchlorate (NH 4 ClO 4 ), ammonium periodate (NH 4 IO 3 ), ammonium persulfate ((NH 4 ) 2 S 2 O 8 ), tetramethylammonium chlorite ((N(CH 3 ) 4 )ClO 2 ), tetramethylammonium chlorate ((N(CH 3 ) 4 )ClO 3 ),
  • the passivating agent as used in the second step slurry is defined as any substance which when mixed with the second-step slurry composition, suppresses etching, while permitting a sufficient CMP rate to be obtained.
  • the passivating agent in the second step slurry formulation is benzotriazole (referred to hereafter as BTA) or iminodiacetic acid (referred to hereafter as IDA).
  • BTA benzotriazole
  • IDA iminodiacetic acid
  • Other substances useful as passivating agents include but are not limited to: tolytriazole, BTA derivatives, such as BTA carboxylic acids, cystine, haloacetic acids, glucose and dodecyl mercaptan.
  • the cleaning agent or complexing agent should be a carboxylic acid. More specifically, the carboxylic acid may be chosen from the group of glycine, oxalic acid, malonic acid, succinic acid, nitrilotriacetic acid, and citric acid. Alternatively, the complexing agent may be a dicarboxylic acid that preferentially has a nitrogen containing functional group or an amine. In the most preferred form, the complexing agent for the second-step slurry composition is iminodiacetic acid or ethylenediamine.
  • the second step-slurry composition may further comprise potassium or ammonium hydroxide or any weak organic base, such as tetramethyl ammonia, tetraethyl ammonia, and amines in such amounts as to adjust the pH to the desired level.
  • the pH of the second-step slurry composition is from about 7.1 to 10 and more preferably, from about 7.9 to 8.8.
  • the second step-slurry composition comprises from about 0 to 30 percent oxidizer, about 1 to 10 percent colloidal silica or precipitated silica, about 0.01 to 5 percent complexing agent, and about 0 to 5 percent passivating agent, and water.
  • the second step-slurry composition comprises from about 0 to 15 percent oxidizer, about 5 to 10 percent colloidal silica or precipitated silica, about 0.05 to 1 percent complexing agent, and about 0.02 to 0.1 percent passivating agent, and water.
  • the second-step slurry composition comprises 0.05 percent ethylenediamine as complexing agent and 5 percent colloidal silica.
  • the present invention is directed to removal of copper, copper containing materials and related barriers, it is not thus limited to such.
  • the present invention is useful for polishing and planarizing materials useful as interconnects and related barriers in semiconductor related applications including but not limited to aluminum, aluminum alloys, aluminum compounds having aluminum as its principal component, tungsten, tungsten alloys, tungsten compounds having tungsten as its principal component, (i.e., tungsten nitride), tantalum, tantalum nitride, silicon doped tantalum nitride, titanium, titanium alloys and titanium compounds having titanium as its principal component (i.e., titanium nitride and silicon doped titanium nitride).
  • the pH, oxidizing agents, modifying agents, abrasive particle composition and size distribution, and weight percent were evaluated to establish a baseline for removal rates and selectivity for the second step slurry formulations.
  • Table 3 outlines twelve formulations and polishing conditions for the second step polishing slurry tested on Ta, TaN, Cu and thermal oxide blanket wafers (Table 4).
  • the various formulations comprise between 0 to 13 percent hydrogen peroxide (H 2 O 2 ) as oxidizing agent, 0 to 0.05 percent ethylenediamine as complexing agent, between 0 to 0.1 percent BTA, or between 0 to 0.2 percent iminodiacetic acid as passivating agent, and between 5 to 10 percent colloidal silica or 5 to 10 percent precipitated silica.
  • the pH of the formulations ranged from 6.8 to 8.8.
  • the polishing conditions ranged from table speed (TS) of 45 to 125 rpm, quill speed (QS) of 42 to 116 rpm, down force (DF) of 3.5 to 4 psi, and a flow rate (FR) of 160 mL/min.
  • TS table speed
  • QS quill speed
  • DF down force
  • FR flow rate
  • Ta:Cu 166:1
  • the Slurry 12 formulation which employed a 5 wt % colloidal silica, 0.5 wt. % H 2 O 2 , 0.1.wt. % BTA with a pH of 8 obtained a Ta:Cu:PETEOS of 60:1:30.
  • the poly (methyl methacrylate) colloid of the step one slurry formulation shows outstanding chemical and mechanical stability.
  • the particles did not show any significant changes in terms of particle size and particle size distribution after aging two months, that is, the mean particle size remains about 45 nm and the range of distribution is from about 5 nm to 100 nm.
  • first and second slurries described herein may also be used in a method of chemical mechanical polishing as described above. Also, while this invention has been disclosed and discussed primarily in terms of specific embodiments thereof, it is not intended to be limited thererto. Other modifications and embodiments will be apparent to the skilled worker in the art.

Abstract

A chemical mechanical polishing slurry composition and method for using the slurry composition for polishing copper, barrier material and dielectric material that comprises first and second-step slurries. The first-step slurry has a high removal rate on copper and a low removal rate on barrier material. The second-step slurry has a high removal rate on barrier material and a low removal rate on copper and dielectric material. The first slurry comprises at least an organic polymeric abrasive.

Description

    TECHNICAL FIELD OF THE INVENTION
  • The present invention relates generally to the chemical mechanical polishing of semiconductor devices systems and methods, and more particularly, to a formulation and method for use in polishing metal films in semiconductor interconnection processes.
  • BACKGROUND OF THE INVENTION
  • The present invention relates to a chemical mechanical polishing composition for surfaces of a semiconductor wafer, and more particularly, to a chemical mechanical polishing slurry and a method for using the slurry to remove and polish copper containing materials, barrier materials and dielectric materials layered on semiconductor wafer surfaces.
  • Semiconductor wafers are used to form integrated circuits. The semiconductor wafer typically includes a substrate, such as silicon, upon which dielectric materials, barrier materials, and metal conductors and interconnects are layered. These different materials have insulating, conductive or semi-conductive properties. Integrated circuits are formed by patterning regions into the substrate and depositing thereon multiple layers of dielectric material, barrier material, and metals.
  • In order to meet the higher speeds required in large scale integration (LSI), semiconductor manufacturers are looking to copper and its alloys as interconnect materials due to its decreased resistivity. Copper is also less vulnerable to electromigration than other metals such as aluminum and less likely to fracture under stress.
  • In conventional deposition, a layer of metal and a layer of a masking material called photoresist are deposited on a silicon wafer. Unwanted metal is then etched away with an appropriate chemical, leaving the desired pattern of wires or vias. Next, the spaces between the wires or vias are filled with silicon dioxide (an insulator), and finally the entire wafer surface is polished to remove excess insulator. In copper deposition the damascene method is used wherein an oxide layer is first deposited and the pattern of wires or vias is formed by etching the oxide. The metal is then deposited second.
  • As successive layers are deposited across previously patterned layers of an integrated circuit, elevational disparity or topography develops across the surface of each layer. If left unattended, the elevational disparities in each level of an integrated circuit can lead to various problems. For example, when dielectric, conductive, or semiconductive material is deposited over a topological surface having elevationally raised and recessed regions, step coverage problems may arise. Step coverage is defined as a measure of how well a film conforms over an underlying step and is expressed by the ratio of the minimum thickness of a film as it crosses a step to the nominal thickness of the film over horizontal regions.
  • Typically for copper technology, the layers that are removed and polished consist of a copper layer (about 1-1.5 μm thick) on top of a thin copper seed layer (about 0.05-0.15 μm thick). These copper layers are separated from the dielectric material surface by a layer of barrier material (about 50-300 Å thick).
  • In order to obtain the correct patterning, excess material used to form the layers on the substrate must be removed and or planarized. Further, to obtain efficient circuits, it is important to have a flat or planar semiconductor wafer surface. Thus, it is necessary to polish certain surfaces of a semiconductor wafer.
  • Chemical Mechanical Polishing or Planarization (“CMP”) is a process in which material is removed from a surface of a semiconductor wafer, and the surface is polished (planarized) by coupling a physical process such as abrasion with a chemical process such as oxidation or chelation. In its most rudimentary form, CMP involves applying slurry, a solution of an abrasive and an active chemistry, to a silicon wafer or polishing pad that buffs the surface of a semiconductor wafer to achieve the removal, planarization, and polishing process.
  • One key to obtaining good uniformity across the wafer surface is by a polishing formulation that has the appropriate selectivity for the material(s) to be removed. Good slurry distribution and uniform distribution of mechanical force are also key to obtaining good planarity. If appropriate film removal selectivity is not maintained dishing of copper and/or erosion of the dielectric may occur. Dishing may occur when the copper and barrier removal rates are disparate or when the chemistry is too active for the metal interconnect layer. Erosion occurs when the local dielectric removal rate is much higher than the metal rate (see FIGS. 1-5).
  • Typical commercial CMP slurries used to remove overfill material and polish semiconductor wafer surfaces have a barrier material removal rate below 500 Å/min. Further, these slurries have a copper to barrier material removal rate selectivity of greater than 4:1. This disparity in removal rates during the removal and polishing of the barrier material results in significant dishing of copper on the surface of the semiconductor wafer and/or poor removal of the barrier material.
  • As a potential solution, copper CMP often employs a two-step slurry approach. The slurry used in the first step is typically used to remove and planarize bulk copper and as such has a high copper removal rate, (e.g. 4000 Å/min) and a comparatively low barrier material removal rate (e.g. 500 Å/min). The slurry used in the second step is used for the barrier breakthrough step and finishing and as such has a relatively high barrier material removal rate (e.g. 1000 Å/min), comparable or lower removal rate for copper and low removal rate on the dielectric material, (e.g. the rates for copper and dielectric (thermal oxide) should be lower than 500 Å/min).
  • Currently, commercially available copper slurries use particles such as fumed or precipitated silica or alumina as abrasives. These abrasives typically have large particle size distributions when suspended in the slurries. Particles of fumed alumina and silica have diameters around 700 nm and particle size distributions of >1 μm. Wide particle size distribution may significantly impact the planarization efficiency.
  • Fumed or precipitated silica or alumina may also agglomerate to form larger, dense, hard particles or agglomerated precipitates over time. (See U.S. Pat. No. 5,527,423 to Neville, et al.). This produces defects in the form of scratches, voids, defects or pits on the polished wafer surface.
  • Further, the abrasives are inorganic oxide particles that have high hardness. As a result, defects in the form of micro-scratches are produced on copper surfaces during and after polishing. The scratches occur due to the solid abrasive, in particular alumina, which is the main material used as a metal polishing abrasive. Slurry remains behind in the micro-scratches causing the semiconductor device to fail. Micro scratches and poor planarization efficiency result in integrated circuits with increased defects and a lower yield.
  • Current second step slurries suffer from a low selectivity of barrier to metal, the best selectivity being about 6 to 1, while the selectivity of barrier to dielectric is in the range of from about 2 to 27. (See U.S. Pat. Nos. 6,063,306 to Kaufman, et al.; 5,676,587 to Landers, et al.; 6,001,730 to Farkas, et al.; and 6,242,351 to Li, et al.).
  • Therefore, it is one object of the present invention to provide an improved slurry composition using an abrasive having a moderate hardness to remove a softer metal oxide layer while keeping the harder barrier layer and thermal oxide layer relatively intact.
  • It is a further object of this invention to provide an improved two step slurry approach using a first polishing slurry having a high removal rate on metal and a high selectivity of metal to barrier and a second slurry also called a barrier break-through step having a high removal rate on barrier and a low to moderate removal rate on copper and dielectric.
  • It is a further object of the present invention to provide a stable first-step polishing slurry comprising an abrasive that does not agglomerate over time to form hard, dense sediment.
  • A still further object of the present invention is to provide a stable first-step polishing slurry comprising a moderately hard abrasive having a minimal particle size distribution.
  • These and other objects and advantages of the invention will be apparent to those skilled in the art upon reading the following detailed description and upon reference to the drawings.
  • SUMMARY OF THE INVENTION
  • The present invention is directed to a stable, chemical mechanical polishing slurry comprising a first slurry, which has a high removal rate on copper and a low removal rate on barrier material and a second slurry, which has a high removal rate on barrier material and a low to moderate removal rate on copper and the associated dielectric material. The first slurry composition comprises at least a moderately hard organic polymeric abrasive, an oxidizing agent and an activating agent and the second slurry comprises at least a silica abrasive and an oxidizing agent. Also disclosed as the present invention, is a method for chemical mechanical polishing of copper, barrier material and dielectric material with the polishing slurry of the present invention. As will become apparent from the discussion that follows, the stable slurry and method of using the slurry provide for removal of material and polishing of semiconductor wafer surfaces with significantly no dishing, or oxide erosion, with significantly no surface defects and good planarization efficiency.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a cross-sectional view of a semiconductor wafer prior to chemical mechanical polishing.
  • FIG. 2 is a cross sectional view of the semiconductor wafer of FIG. 1 following chemical mechanical polishing with the first slurry, according to one embodiment of the present invention.
  • FIG. 3 is a cross sectional view of the semiconductor of FIG. 1 following chemical mechanical polishing with the first slurry, according to another embodiment of the present invention.
  • FIG. 4 is a cross sectional view of the semiconductor wafer of FIG. 2 or FIG. 3 following chemical mechanical polishing with the second slurry, according to the present invention.
  • FIG. 5 is a cross sectional view of a semiconductor wafer illustrating copper dishing.
  • FIG. 6 is a cross sectional view of a semiconductor wafer illustrating oxide erosion.
  • DETAILED DESCRIPTION OF THE INVENTION AND PREFERRED EMBODIMENTS THEREOF
  • The disclosure of the following United States patent application, which is commonly owned by the assignee of the present application is hereby incorporated herein by reference in its entirety:
  • U.S. patent application Ser. No. 09/562,298 filed on May 1, 2000 in the names of Thomas H. Baum, et al.
  • The present invention presents a novel, stable chemical-mechanical polishing (CMP) slurry that overcomes the deficiencies in the prior art while providing a manufacturable process that may be implemented in the semiconductor-manufacturing arena with little or no alterations to the current CMP systems and footprints. As will become apparent from the examples that follow, the stable CMP slurry and method of using such slurry provide for removal of material and polishing of semiconductor wafer surfaces with significantly improved defectivity including reduced dishing or oxide erosion, with significantly no surface defects and good planarization efficiency.
  • The present invention provides a chemical mechanical polishing slurry composition and method of using such slurry for removing and polishing the bulk copper layer of a damascene processing step in the manufacturing of an integrated circuit. Further, the following invention provides a two-step CMP slurry comprising a first slurry formulation, which has a high removal rate on copper and a low removal rate on barrier material and a second slurry formulation that has a high removal rate on barrier material and a low to moderate removal rate on copper and the associated dielectric material.
  • FIG. 1 illustrates a semiconductor wafer 10 prior to CMP. As shown, substrate 11 may be made of any conventional semiconductor materials, including silicon, germanium or silicon-germanium. Layered on top of the substrate 11 is dielectric material 12, which is preferentially silicon oxide, low k dielectrics comprised substantially of silicon oxide, a carbon containing silicon oxide or a fluoride doped silicon glass (FSG). Layered on the dielectric material 12, is barrier material 13. The barrier material layer 13 is typically about 50 to 300 Å thick. The barrier material 13 may be any material conventionally used, but is typically chosen from the group of tungsten nitride, tantalum, tantalum nitride, titanium nitride, silicon doped tantalum nitride or silicon doped titanium nitride. Finally, a layer of copper 14 covers the barrier material layer 13, and extends into trenches 14 a, 14 b, and 14 c. The copper layer 14 is usually about 1-to 1.5 μm thick and the copper layer 14 in FIG. 1 may include a thin copper seed layer, which is usually about 0.05-0.15 μm thick.
  • The invention is a CMP slurry designed to polish copper 14 and associated barrier materials 13 such as tungsten nitride, tantalum, tantalum nitride, silicon doped tantalum nitride, titanium nitride and silicon doped titanium nitride. The chemical mechanical polishing slurry of the present invention is comprised of two parts. The first slurry is a copper selective slurry formulation used to remove the bulk copper down to the barrier layer (FIG. 2). The first slurry has a high removal rate of copper and a low removal rate of barrier material. The second slurry formulation is selective to the barrier layer and removes the barrier material down to the dielectric material. The second slurry has a high removal rate of barrier and a low to moderate removal rate of copper and dielectric. (FIG. 3). The various removal rates of the first and second slurries on various materials are shown in Table 1. In this way, two slurries together comprise a combined package to polish copper metallization schemes for integrated circuit manufacturing.
    TABLE 1
    Removal Rates of the First and Second
    Slurries on Different Materials*
    Selectivity Selectivity
    First Slurry First Step Second Slurry Second Step
    Removal Rates Cu to Removal Rates Ta to
    Layer (Å/min) Material (Å/min) Material
    Copper 4100 1 60:1
    Tantalum <8.2 >500 60
    Tantalum <8.2 >500 81
    Nitride
    Thermal <8.2 >500 31  2:1
    Oxide

    *(For the first step, Down Force = 4 psi, Flow Rate = 160 mL/min, Table Speed = 125 rpm, Quill Speed = 116 rpm, Pad Type = IC 1000 Subpad: Suba IV, For the second step, Down Force = 4 psi, Flow Rate = 160 mL/min, Table Speed = 45 rpm, Quill Speed = 42 rpm, Pad Type = IC 1000, Subpad: Suba IV)
  • Referring to FIG. 1, In one embodiment, the present invention includes a method for chemical mechanical polishing copper 14, barrier material 13 and dielectric material 12, comprising the following steps: (1) providing a first chemical mechanical polishing slurry comprising an organic polymeric abrasive, wherein said first slurry has a high removal rate on copper 14 and a low removal rate on barrier material 13; (2) chemical mechanical polishing a semiconductor wafer surface 10 with the first slurry; (3) providing a second chemical mechanical polishing slurry that has a high removal rate on barrier material 13 a low to moderate removal rate on copper 14 and a low to moderate removal rate on the dielectric material 12; and (4) chemical mechanical polishing the semiconductor wafer surface 10 with the second slurry.
  • Generally, the slurry is applied to a pad contained on a polishing instrument or directly dispensed onto the wafer to be processed. Polishing instrument parameters such as down force (DF), flow rate (FR), table speed (TS), quill speed (QS), and pad type can be adjusted to effect the results of the CMP slurry. Preferably the down force is in a range from about 3 to 10 psi, the flow rate is in a range of from about 120 to 200 mL/min, the table speed is in a range of from about 35 to 200 rpm, a quill speed in a range of from about 30 to 200 rpm, and an IC 1000 pad type.
  • The polishing pad serves as a means of applying mechanical friction to the wafer surface. The optimum hardness of the pad is different depending on the object on which CMP is being performed. In the instant invention, a hard polishing pad is preferred, such as the type manufactured by Rodel, 3804 East Watkins Street, Phoenix, Ariz. 85034 and sold under the brand name IC 1000.
  • According to one embodiment of the present invention, FIG. 2 illustrates the semiconductor wafer 10 of FIG. 1, after steps (1) and (2) of the present method for CMP have been carried out, and the semiconductor wafer surface has been polished with the first slurry. When FIG. 2 is compared to FIG. 1, the top copper layer 14 in FIG. 1 has been preferentially removed, and only the copper in the trenches (FIG. 2) 18 a, 18 b, and 18 c is left. As shown in FIG. 2 the barrier material layer 17 is substantially in tact, and the dielectric material 16 based on substrate 15 is still unexposed.
  • According to a further embodiment of the present invention, FIG. 3 illustrates the semiconductor wafer 10 of FIG. 1, after steps (1) and (2) of the present method for CMP have been carried out, and the semiconductor wafer surface has been over-polished with the first slurry in order to remove all the bulk copper on top of the barrier layer, while leaving the barrier layer relatively in tact. When FIG. 3 is compared to FIG. 1, the top copper layer 14 in FIG. 1 has been preferentially removed, and only the copper in the trenches (FIG. 3) 20 a, 20 b, and 20 c is left. As shown in FIG. 3 the barrier material layer 21 is substantially in tact, and the dielectric material 22 based on substrate 23 is still unexposed.
  • Similarly, FIG. 4 illustrates the semiconductor wafer 10 of FIGS. 1, 2 and 3, after steps (3) and (4) of the present method for CMP have been carried out, and the semiconductor wafer surface has been polished with the second slurry. As shown in FIG. 4, the barrier material layer 24 has been removed down to the dielectric material 25. The second slurry also removed just enough of the copper in trenches 26 a, 26 b, and 26 c so that the surface of the semiconductor wafer 10 is flat and planar. The second slurry also serves to polish the newly exposed surface, including the dielectric material 25, the barrier material 24 a, 24 b, 24 c, and the copper 26 a, 26 b, 26 c. All of these materials are based on substrate 27.
  • By using the first and second step slurry compositions of the claimed invention, with the selectivities described in Table 1, and following the described method, copper dishing (FIG. 5) and oxide erosion (FIG. 6) can be minimized. FIG. 5 shows a semiconductor wafer to which a CMP slurry has been applied, which had a higher selectivity for copper 28 a, 28 b, 28 c than for the barrier material 29 a, 29 b, 29 c or dielectric material 30. As a result, disparate amounts of copper are removed from the surface of the semiconductor wafer. This is known as copper dishing and is shown by the dish-like troughs 31 a, 31 b, and 31 c in the trenches of copper 28 a, 28 b, 28 c. The CMP slurry of the present invention and method of using this slurry greatly reduces copper dishing.
  • Similarly, FIG. 6 shows a semiconductor wafer to which a CMP slurry has been applied, which has a higher selectivity for the dielectric material 32 than for the barrier material 33 a, 33 b, 33 c, or copper 34 a, 34 b, 34 c. As a result, disparate amounts of dielectric material are removed from the surface of the semiconductor wafer. This is known as oxide erosion and is shown by the indentions and/or reduction of the dielectric material 32 a, 32 b. The CMP slurry of the present invention and method of using this slurry greatly reduces oxide erosion.
  • The present invention provides a novel first-step slurry polishing composition comprising an organic polymeric abrasive having little or no agglomeration over time.
  • International patent PCT/US00/17046 teaches that agglomeration of abrasive particles occurs in two stages. Stage 1 agglomeration involves agglomerated particles held together primarily by van der Waals forces. Stage 2 agglomeration can occur after stage 1 agglomeration, wherein the particles then fuse together over time, causing the particles to be primarily held together not by van der Waals forces, but rather by covalent (or similar-type high energy) bonding between the particles. The present first step slurry formulation avoids stage 1 and stage 2 agglomeration.
  • In one embodiment, the present invention provides a CMP slurry comprising a first step slurry composition useful for removal, planarization and polishing of metal layers from a semiconductor substrate. The composition comprises a novel organic polymeric abrasive that is moderately hard and does not agglomerate in the formulation over time or during use. The moderately hard nature of the polymeric abrasive provides for the removal of the softer copper layer while keeping the harder barrier and thermal oxide layer relatively intact.
  • The abrasive component of the first step slurry may comprise any polymeric material In one embodiement, the abrasive component may comprise any organic polymeric abrasive having a Moh's hardness of between 2 and 8 and more preferably between 2 and 6. The abrasive particles may be in any shape or form that sufficiently abrades the metal and/or metal oxide layer from the substrate surface. Specific examples of polymeric materials useful as abrasive components include but are not limited to poly (styrene), poly (ethers), poly (siloxanes), poly (vinyl acetate), poly (vinyl alcohol) and substituted versions and mixtures thereof. (See, http://www.24carat.co.uk/hardnessmohsscale.html for a description of Moh's hardness, incorporated herein by reference in its entirety.)
  • In a preferred embodiment, the CMP slurry comprises a first slurry polishing formulation useful for removal and polishing of copper containing materials from a semiconductor substrate, wherein the formulation comprises poly (methyl methacrylate) as the abrasive. Preferably, the poly (methyl methacrylate) particles have a particle size distribution in the range of from about 3 to 100 nm, more preferably from about 15 to 80 nm and most preferably from about 30 to 60 nm. Preferably the mean particle size distribution of the poly (methyl methacrylate) particles is in a range of from about 20 to 80 nm, more preferably from about 30 to 60 nm and most preferably the mean particles size is between about 40 and 45 nm. Preferably the specific gravity of the particles is between 1 and 1.5 g/mL.
  • The poly (methyl methacrylate) or other polymeric abrasive particles of the present invention have an aggregate size distribution of less than 1.0 micron and an average or mean aggregate diameter of less than about 45 nm. These abrasive particles have a much milder hardness compared to alumina and silica abrasives.
  • The first step slurry, thus, can employ polymeric constituents as the abrasive particles in the size range of 3 to 100 nm. These particles coupled with the step 1 formulation chemistry described below, allow the first-step slurry to achieve a high copper removal rate but a minimal barrier material removal rate. Poly (methyl methacrylate), with a narrow size distribution, minimizes micro scratch defects and provides superior removal rates on copper materials, greater than about 1000 Å/min, more preferably greater than about 2000 Å/min and most preferably greater than about 4000 Å/min and low removal rates for barrier and dielectric. Further, such abrasives with a mean size of less than about 45 nm provide very good planarization efficiency without increased defectivity.
  • In a further embodiment the CMP slurry comprises a first step slurry polishing formulation for removing a copper containing layer from a substrate, said formulation comprising a polymeric abrasive, oxidizing agent and activating agent. Preferably the formulation has a pH in a range of from about 0.1 to 6.9, more preferably in the range of from about 1 to 5 and most preferably, the pH of the polishing formulation is in the range of from about 2 to 4.8. The pH of the solution is critical to achieving acceptable removal rates.
  • As used herein, the term oxidizing agent is defined as any substance which removes metal electrons and raises the atomic valence and includes but is not limited to hydrogen peroxide (H2O2), ferric nitrate (Fe(NO3)3), potassium iodate (KIO3), potassium permanganate (KMnO4), nitric acid (HNO3), ammonium chlorite (NH4ClO2), ammonium chlorate (NH4ClO3), ammonium iodate (NH4IO3), ammonium perborate (NH4BO3), ammonium perchlorate (NH4ClO4), ammonium periodate (NH4IO3), ammonium persulfate ((NH4)2S2O8), tetramethylammonium chlorite ((N(CH3)4)ClO2), tetramethylammonium chlorate ((N(CH3)4)ClO3), tetramethylammonium iodate ((N(CH3)4)IO3), tetramethylammonium perborate ((N(CH3)4)BO3), tetramethylammonium perchlorate ((N(CH3)4)ClO4), tetramethylammonium periodate ((N(CH3)4)IO4), tetramethylammonium persulfate ((N(CH3)4)S2O8), and urea hydrogen peroxide ((CO(NH2)2)H2O2). The preferred oxidizing agents for the first-step slurry composition of the instant invention are hydrogen peroxide and iodate salts.
  • Further, as used herein, the term activating agent is defined as any substance that in the presence of a water containing solution solubilizes or etches the oxidized copper material. Copper activating agents useful in the present invention include but are not limited to mineral acids (i.e. hydrochloric acid, nitric acid), inorganic acids (i.e. phosphoric acid) and organic acids (i.e. citric acid, acetic acid and maleic acid). Preferably the activating agent of the present first step slurry is citric acid.
  • In a further embodiment, the first step slurry composition of the present invention, may comprise, a polymeic abrasive, an oxidizing agent, an activating agent, a corrosion inhibitor and optionally a cleaning agent. Preferably the first-step slurry composition comprises from about 0.1 to 50 percent colloidal poly (methyl methacrylate), from about 0.1 to 25 percent oxidizing agent; from about 0 to 5 percent activating agent; from about 0 to 3 percent corrosion inhibitor and from about 0 to 3 percent cleaning agent. Preferably the pH of the first-step slurry composition is between about 0.1 to 6.9 and more preferably between about 1 to 5.
  • As used herein, a corrosion inhibitor is defined as a substance that reacts with the fresh copper surface and/or oxidized copper thin film to passivate the copper layer and prevent excessive etching of the copper surface during CMP. The first slurry of the present invention is sufficiently benign to the metal surface being polished to have a static metal etch rate of less than 500 Å, more preferably less than 200 Å, and most preferably less than 50 Å.
  • As used herein, a cleaning agent is defined as a substance that slowly etches, or chelates to the copper, leading to soluble copper complexes that can be readily removed during polishing.
  • The corrosion inhibitor may be a carboxylic acid. More specifically, the carboxylic acid may be chosen from the group of glycine, oxalic acid, malonic acid, succinic acid and nitrilotriacetic acid. Alternatively, the carboxylic acid may be a dicarboxylic acid that preferentially has a nitrogen containing functional group. Preferably, the corrosion inhibitor is iminodiacetic acid. Other substances useful as passivating agents include but are not limited to benzotriazole (BTA), tolytriazole, BTA derivatives, such as BTA carboxylic acids, cystine, haloacetic acids, glucose and dodecyl mercaptan.
  • The first step slurry formulation may further comprise potassium or ammonium hydroxide or other buffering agents in such amounts as to adjust the pH to the desired level.
  • In a preferred embodiment, the first step slurry formulation is an aqueous mixture comprising (by weight percentage) 5 percent poly (methyl methacrylate) as the abrasive or 12.5 percent colloidal poly (methyl methacrylate) as abrasive; 0.1 percent of citric acid as activating agent; and 0.2 percent iminodiacetic acid as passivating agent. Ammonium hydroxide was used to adjust the pH to the desired level.
  • The abrasive used in the preferred first-step slurry formulation of the present invention may be stabilized with a surfactant. The surfactant may be cationic or anionic. Preferably the surfactant is anionic. The surface charges that the surfactant imparts to the particles cause steric repulsion among the abrasive particles in order to keep the particles suspended in a colloidal state within the slurry composition.
  • Anionic surfactants are ionic compounds, with the anion being the surface-active portion. In the present invention the surfactant is selected from the group consisting of alkyl sulfates, carboxylates and alkyl phosphates having carbon backbones in the range of from C10 to C14. Preferably the surfactant is a sulfate. More prefereably the surfactant is sodium laurel sulfate.
  • By coating the abrasive particles with a surfactant or polyelectrolyte prior to incorporating the particles into a slurry system or after the particles are incorporated into a system, stage 2 agglomeration may be inhibited. The surfactant and/or polyelectrolyte will tend to remain in close proximity to the slurry particles, thereby sterically hindering the particles from coming sufficiently close to one another to enable stage 2 agglomeration.
  • During the damascene process, grooves are dry etched into an insulating thin film to form an interconnect pattern. Copper or copper alloy is deposited over the entire wafer surface, reflecting the groove which is the interconnect pattern. If left unattended, the elevational disparities in each level of an integrated circuit can lead to various problems. For example, when dielectric, conductive, or semiconductive material is deposited over a topological surface having elevationally raised and recessed regions, step coverage problems may arise. Step coverage is defined as a measure of how well a film conforms over an underlying step and is expressed by the ratio of the minimum thickness of a film as it crosses a step to the nominal thickness of the film over horizontal regions.
  • Although the organic polymeric abrasive particles of the present invention have been directed to poly (methyl methacrylate), it is understood that the teachings herein have applicability to other organic polymeric materials such as poly (styrene), poly (ethers), poly (siloxanes), poly (vinyl acetate), poly (vinyl alcohol), poly (ethylene), poly (imides) and substituted versions thereof. Further, the organic polymeric abrasive particles may be utilized to polish other metal surfaces such as tungsten, aluminum and titanium as well as under layers such as titanium, titanium nitride and alloys of titanium/tungsten.
  • As described hereinabove the present invention provides a chemical mechanical polishing first-step slurry formulation for removing and polishing the bulk copper layer of a damascene processing step in the manufacturing of an integrated circuit.
  • At the end of the first step, the bulk copper material layer has been removed exposing the underlying barrier layer. A second-step slurry is useful to remove the barrier layer and to complete the planarization of the wafer surface.
  • In the second step polishing which is also called a barrier break through step, a high removal rate for barrier (i.e. Ta or TaN) and a high selectivity of barrier to copper and to dielectric is desirable. In a preferred embodiment, the second step slurry formulation achieves removal rates of >1000 Å/min for the barrier material.
  • The present invention provides a two-step polishing formulation comprising first and second-step slurry compositions, wherein said first-step slurry comprises an organic polymeric abrasive and said second-step slurry comprises an abrasive, and an oxidizing agent, wherein the abrasive component is selected from the group consisting of silica, alumina, ceria and mixtures thereof.
  • Further, the instant invention relates to a second-step polishing slurry formulation comprising silica as abrasive particles, an oxidizing agent, and a passivating agent. The chemistry of the second-step slurry should be stable and have a pH in the range of about 4 to 10.
  • Prefereably, the particles of the second step slurry formulation are precipitated silica. The precipitated particles usually range from about 3 to 100 nm in size and can be spherical. An alternative to precipitated silica particles in the second-step slurry is fumed silica. Generally, the fumed silica has a mean particle size of less than 700 nm.
  • Alternatively, and more preferred is to use colloidal silica particles of the type described. The colloidal silica particles can range from about 3 to 70 nm in size, and can be spherical. Preferentially, when the first and second step slurries employ spherical colloidal particles, the particles should have a narrow size distribution. More specifically, about 99.9% of the spherical colloidal particles should be within about 3 sigma of a mean particle size with negligible particles larger than about 500 nm.
  • The second step slurry, thus, can employ either precipitated spherical silica particles in the size range of 3 to 100 nm, or fumed silica with mean particle size less than about 700 nm. These particles coupled with an oxidizing agent allow the second-step slurry to achieve high barrier material removal rates and low copper and dielectric removal rates (as shown in Table 1 heretofore). Colloidal silica, with a narrow size distribution, minimizes micro scratch defects and provides superior removal rates on barrier materials, greater than about 1000 Å/min, and low removal rates for copper and barrier. Further, spherical silica abrasives with a mean size of less than about 100 nm provide very good planarization efficiency (See Table 1, hereinabove).
  • The preferred oxidizing agent for the second step slurry is hydrogen peroxide (H2O2). Alternatively, the oxidizing agent may be selected from the group consisting of: ferric nitrate (Fe(NO3)3), potassium iodate (KIO3), potassium permanganate (KmnO4), nitric acid (HNO3), ammonium chlorite (NH4ClO2), ammonium chlorate (NH4ClO3), ammonium iodate (NH4IO3), ammonium perborate (NH4BO3), ammonium perchlorate (NH4ClO4), ammonium periodate (NH4IO3), ammonium persulfate ((NH4)2S2O8), tetramethylammonium chlorite ((N(CH3)4)ClO2), tetramethylammonium chlorate ((N(CH3)4)ClO3), tetramethylammonium iodate ((N(CH3)4)IO3), tetramethylammonium perborate ((N(CH3)4)BO3), tetramethylammonium perchlorate ((N(CH3)4)ClO4), tetramethylammonium periodate ((N(CH3)4)IO4), tetramethylammonium persulfate ((N(CH3)4)S2O8), and urea hydrogen peroxide ((CO(NH2)2)H2O2).
  • The passivating agent as used in the second step slurry is defined as any substance which when mixed with the second-step slurry composition, suppresses etching, while permitting a sufficient CMP rate to be obtained. Preferably, the passivating agent in the second step slurry formulation is benzotriazole (referred to hereafter as BTA) or iminodiacetic acid (referred to hereafter as IDA). Other substances useful as passivating agents include but are not limited to: tolytriazole, BTA derivatives, such as BTA carboxylic acids, cystine, haloacetic acids, glucose and dodecyl mercaptan.
  • The cleaning agent or complexing agent should be a carboxylic acid. More specifically, the carboxylic acid may be chosen from the group of glycine, oxalic acid, malonic acid, succinic acid, nitrilotriacetic acid, and citric acid. Alternatively, the complexing agent may be a dicarboxylic acid that preferentially has a nitrogen containing functional group or an amine. In the most preferred form, the complexing agent for the second-step slurry composition is iminodiacetic acid or ethylenediamine.
  • The second step-slurry composition may further comprise potassium or ammonium hydroxide or any weak organic base, such as tetramethyl ammonia, tetraethyl ammonia, and amines in such amounts as to adjust the pH to the desired level. Preferebly, the pH of the second-step slurry composition is from about 7.1 to 10 and more preferably, from about 7.9 to 8.8.
  • In one embodiment, the second step-slurry composition comprises from about 0 to 30 percent oxidizer, about 1 to 10 percent colloidal silica or precipitated silica, about 0.01 to 5 percent complexing agent, and about 0 to 5 percent passivating agent, and water.
  • In a more preferred embodiment, the second step-slurry composition comprises from about 0 to 15 percent oxidizer, about 5 to 10 percent colloidal silica or precipitated silica, about 0.05 to 1 percent complexing agent, and about 0.02 to 0.1 percent passivating agent, and water.
  • In the most preferred embodiment, the second-step slurry composition, comprises 0.05 percent ethylenediamine as complexing agent and 5 percent colloidal silica.
  • Although the present invention is directed to removal of copper, copper containing materials and related barriers, it is not thus limited to such. The present invention is useful for polishing and planarizing materials useful as interconnects and related barriers in semiconductor related applications including but not limited to aluminum, aluminum alloys, aluminum compounds having aluminum as its principal component, tungsten, tungsten alloys, tungsten compounds having tungsten as its principal component, (i.e., tungsten nitride), tantalum, tantalum nitride, silicon doped tantalum nitride, titanium, titanium alloys and titanium compounds having titanium as its principal component (i.e., titanium nitride and silicon doped titanium nitride).
  • It is further understood that the present invention is not limited to the particular embodiments shown and described herein, but that various changes and modifications may be made without departing from the scope and spirit of the invention.
  • The features, aspects and advantages of the present invention are further shown with reference to the following non-limiting examples relating to the invention.
  • EXAMPLES
  • The pH, oxidizing agents, modifying agents, abrasive particle composition and size distribution, and weight percent were evaluated to establish a baseline for removal rates and selectivity for the second step slurry formulations.
  • EXAMPLE 1 Slurry 1 Formulations Showing Static Etch Rate of Copper
  • Several formulations of the first slurries were prepared. The static etch removal rates of these formulations are described in Table 2. As can be seen from Table 2, the first step slurry formulations of the present invention were effective in achieving acceptable static copper removal rates of 50 Å/min
    TABLE 2
    Removal Rate Under Static Conditions (SRR). Component Values in Weight Percent
    Citric SRR
    Slurry H2O2 Acid IDA Abrasive NH3 pH {acute over (Å)}
    1 5 0.2 2.5 300 (2)
    2 5 0.1 2.6 237 (2)
    3 5 5 4.1 208 (5)
    4 5 1 5 2 N/A (5)
    5 5 0.2 5 2.3 N/A (5)
    6 5 1 0.2 2.1 1600 (2) 944 (3)
    7 5 1 0.2 5 2.2 N/A (5)
    8 0.5 5 0.00273 3.5 1.17 (1)
    9 5 0.5 0.00523 3.5 661 (1)
    10 5 0.2 0.00298 3.7 150 (1) 90 (2)
    11 5 0.5 0.2 0.00891 3.5 640 (1) 501 (3)
    12 5 0.5 5 0.00529 3.5 793 (1)
    13 5 0.2 5 0.003 3.6 149 (1) 98 (2) 70 (5)
    14 5 0.5 0.2 5 0.00885 3.5 858 (1) 627 (2) 453 (5)
    15 10 0.5 0.2 5 0.00912 3.5 1029 (1) 578 (2) 468 (5) 439 (10)
    16 1 0.5 0.2 5 0.00851 3.5 855 (1) 666 (2) 563 (5) 520 (10)
    17 5 0.25 0.5 5 0.01 3.5 601 (1) 488 (2) 450 (5)
    18 5 0.25 1 5 0.017 3.5 836 (1) 561 (2) 377 (5)
    19 5 0.25 0.5 5 0.44 3.5 960 (1) 504 (2) 300 (5) 298 (10)
    20 5 0.1 0.1 5 0.022 3.5 260 (1) 181 (2) 159 (5) 199 (1)
    21 5 0.1 0.2 5 0.032 3.5 424 (1) 273 (2) 138 (5)
    22 5 5 4
    23 5 0.2 5 2.3
    24 5 0.2 5 0.027 3.5
    25 5 0.1 0.2 5 0.013 2.5 528 (1) 516 (2) 323 (5)
    26 5 0.1 0.2 5 0.028 3 400 (1) 285 (2)
    27 5 0.1 0.2 5 0.041 3.5 273 (1) 178 (2)

    The numbers in parentheses indicate the length of soaking time for static etching rate study.
  • EXAMPLE 2
  • Table 3 outlines twelve formulations and polishing conditions for the second step polishing slurry tested on Ta, TaN, Cu and thermal oxide blanket wafers (Table 4). The various formulations comprise between 0 to 13 percent hydrogen peroxide (H2O2) as oxidizing agent, 0 to 0.05 percent ethylenediamine as complexing agent, between 0 to 0.1 percent BTA, or between 0 to 0.2 percent iminodiacetic acid as passivating agent, and between 5 to 10 percent colloidal silica or 5 to 10 percent precipitated silica. The pH of the formulations ranged from 6.8 to 8.8. The polishing conditions ranged from table speed (TS) of 45 to 125 rpm, quill speed (QS) of 42 to 116 rpm, down force (DF) of 3.5 to 4 psi, and a flow rate (FR) of 160 mL/min.
    TABLE 3
    Compositions and Polishing Conditions for Second Step Slurry
    Colloidal Precipitated Polish Conditions
    Slurry: H2O2 EDA BTA IDA Silica Silica KOH pH TS/QS/DF/FR
    1 0.05 5 8.8 125/116/4.0/160
    2 5 * 6.8 125/116/4.0/160
    3 0.5 0.05 5 6.8 45/42/3.5/160
    4 13 10 8.1 125/116/4.0/160
    5 13 0.2 10 6.8 125/116/4.0/160
    6 13 10 * 6.7 45/42/3.5/160
    7 13 10 7.9 45/42/4.0/160
    8 13 5 8 45/42/4.0/160
    9 13 15 8.1 45/42/4.0/160
    10 13 0.02 10 8 45/42/4.0/160
    11 13 0.005 10 8 45/52/4.0/160
    12 0.5 0.1 5 * 8 45/42/4.0/160

    * chemical used to adjust the pH
  • TABLE 4
    Removal Rates (Å/min) and Selectivities Using Second
    Step Slurry Compositions Outlined in Table 3.
    Slur-
    ry: Cu Ta TaN PETEOS Ta:Cu:Oxide TaN:Cu:oxide
    1 116 130 1167 127 1:1:1 1:0.1:0.1
    2 29 42 35 N/A 1:0.69 1:0.83
    3 1071 567 622 43 1:1.89:0.076 1:1.72:0.069
    4 138 562 659 667 1:0.25:1.19 1:0.21:1.01
    5 812 562 1082 807 1:0.69:0.70 1:0.75:0.75
    6 62 28 47 50 1:2.2:1.79 1:1.32:1.06
    7 123 512 203 195 1:0.24:0.38 1:0.61:0.96
    8 102 75 121 52 1:1.4:1.7 1:0.85:0.43
    9 164 432 435 447 1:0.48:1.31 1:0.38:1.03
    10 “1” 166 232 172 1:0.006:1.04 1:0.0043:0.74
    11 “1” 162 197 170 1:0.0062:1.05 1:0.0051:0.86
    12 “1” 60 81 31 1:0.017:0.52 1:0.012:0.38
  • As Table 4 indicates, a selectivity of TaN:Cu:PETEOS of 10:1:1 was obtained using Slurry 1. When Ta is the barrier material, two useful formulations were discovered. The first one was based on second step formulation, Slurry 7 as listed in this Table, which includes 13 wt % of H2O2 and 10 wt % of a precipitated silica abrasive. To this slurry, BTA (passivating agent) was added with concentrations of 0.005 wt % (Slurry 11) and 0.02 wt % (Slurry 10). With the modified Slurry 7 formulation, removal rates for Ta, TaN, Cu and PETEOS have all been reduced, with the largest decrease in copper. However, a selectivity of Ta:Cu of 166:1 has been obtained. The Slurry 12 formulation which employed a 5 wt % colloidal silica, 0.5 wt. % H2O2, 0.1.wt. % BTA with a pH of 8 obtained a Ta:Cu:PETEOS of 60:1:30.
  • EXAMPLE 3 Stability Experiment
  • The poly (methyl methacrylate) colloid of the step one slurry formulation shows outstanding chemical and mechanical stability. The particles did not show any significant changes in terms of particle size and particle size distribution after aging two months, that is, the mean particle size remains about 45 nm and the range of distribution is from about 5 nm to 100 nm.
  • The first and second slurries described herein, may also be used in a method of chemical mechanical polishing as described above. Also, while this invention has been disclosed and discussed primarily in terms of specific embodiments thereof, it is not intended to be limited thererto. Other modifications and embodiments will be apparent to the skilled worker in the art.

Claims (21)

1.-50. (canceled)
51. A chemical mechanical polishing slurry comprising an abrasive that consists essentially of organic polymer, wherein said slurry is adapted for chemical mechanical polishing.
52. The chemical mechanical polishing slurry of claim 51, further comprising an oxidizing agent.
53. The chemical mechanical polishing slurry of claim 52, wherein said oxidizing agent comprises a species selected from the group consisting of hydrogen peroxide, iodic acid, potassium iodate, ferric nitrate, ammonium chlorite, ammonium chlorate, ammonium iodate, ammonium perborate, ammonium perchlorate, ammonium periodate ammonium persulfate, tetramethylammonium chlorite, tetramethylammonium chlorate, tetramethylammonium iodate, tetramethylammonium perborate, tetramethylammonium perchlorate, tetramethylammonium periodate, tetramethylammonium persulfate, 4- methylmorpholine N-oxide, pyridine-N-oxide, and urea.
54. The chemical mechanical polishing slurry according to claim 51, wherein the organic polymer comprises poly (methyl methacrylate).
55. The chemical mechanical polishing slurry according to claim 51, wherein the organic polymer further comprises a polymeric material selected from the group consisting of a poly(styrene), a poly(ether), a poly(siloxane), a poly(vinyl acetate), a poly (vinyl alcohol), a poly(vinylbenzene), substituted versions, and mixtures thereof.
56. The chemical-mechanical polishing slurry according to claim 51, wherein said abrasive has a particle size distribution in a range of from about 10 to 75 nm.
57. The chemical mechanical polishing slurry according to claim 51, further comprising an activating agent.
58. The chemical mechanical polishing slurry according to claim 57, wherein the activating agent comprises an acid selected from the group consisting of phosphoric acid, iodic acid, citric acid, and malonic acid.
59. The chemical mechanical polishing slurry according to claim 51, further comprising from about 0.1 to 2 percent surfactant.
60. The chemical mechanical polishing slurry according to claim 59, wherein said surfactant is selected from the group consisting of non-ionic, cationic and anionic.
61. The chemical mechanical polishing slurry according to claim 51, further comprising a passivating agent.
62. The chemical mechanical polishing slurry according to claim 51, further comprising a complexing agent.
63. The chemical mechanical polishing slurry according to claim 51, having a pH in a range of from about 0.1 to 6.9.
64. The chemical mechanical polishing slurry according to claim 61, wherein the passivating agent comprises a species selected from the group consisting of glycine, oxalic acid, malonic acid, succinic acid, iminodiacetic acid, benzotriazole, and nitrilotriacetic acid.
65. A chemical mechanical polishing slurry, said slurry comprising an abrasive that consists of organic polymer having a particle size distribution in a range of from about 10 to 75 nm.
66. The chemical mechanical polishing slurry of claim 65, wherein the organic polymer comprises poly (methyl methacrylate).
67. A method for chemical mechanical polishing a semiconductor wafer substrate, said method comprising the steps of:
a) chemical mechanical polishing a semiconductor wafer substrate surface with the chemical mechanical polishing slurry of claim 51; and
b) chemical mechanical polishing said semiconductor wafer substrate surface with a second slurry, wherein said second slurry has a higher removal rate on barrier material than on copper material compared to said first chemical mechanical polishing slurry.
68. A method for chemical mechanical polishing a semiconductor wafer substrate, said method comprising chemical mechanical polishing copper material from the semiconductor wafer substrate surface using the chemical mechanical polishing slurry of claim 51.
69. A method for chemical mechanical polishing a semiconductor wafer substrate comprising:
a) chemical mechanical polishing a semiconductor wafer substrate surface with the chemical mechanical polishing slurry of claim 65; and
b) chemical mechanical polishing said semiconductor wafer substrate surface with a second slurry, wherein said second slurry has a higher removal rate on barrier material than copper material.
70. A method for chemical mechanical polishing a semiconductor wafer substrate, said method comprising chemical mechanical polishing copper material from the semiconductor wafer substrate surface with the chemical mechanical polishing slurry of claim 65.
US11/386,307 2001-08-14 2006-03-22 Chemical mechanical polishing compositions for metal and associated materials and method of using same Abandoned US20060160475A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/386,307 US20060160475A1 (en) 2001-08-14 2006-03-22 Chemical mechanical polishing compositions for metal and associated materials and method of using same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/929,564 US7029373B2 (en) 2001-08-14 2001-08-14 Chemical mechanical polishing compositions for metal and associated materials and method of using same
US11/386,307 US20060160475A1 (en) 2001-08-14 2006-03-22 Chemical mechanical polishing compositions for metal and associated materials and method of using same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/929,564 Continuation US7029373B2 (en) 2001-08-14 2001-08-14 Chemical mechanical polishing compositions for metal and associated materials and method of using same

Publications (1)

Publication Number Publication Date
US20060160475A1 true US20060160475A1 (en) 2006-07-20

Family

ID=25458058

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/929,564 Expired - Fee Related US7029373B2 (en) 2001-08-14 2001-08-14 Chemical mechanical polishing compositions for metal and associated materials and method of using same
US11/386,307 Abandoned US20060160475A1 (en) 2001-08-14 2006-03-22 Chemical mechanical polishing compositions for metal and associated materials and method of using same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/929,564 Expired - Fee Related US7029373B2 (en) 2001-08-14 2001-08-14 Chemical mechanical polishing compositions for metal and associated materials and method of using same

Country Status (1)

Country Link
US (2) US7029373B2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050139292A1 (en) * 2003-12-31 2005-06-30 Suresh Ramarajan Method and apparatus for minimizing thickness-to-planarity and dishing in CMP
US20090124173A1 (en) * 2007-11-09 2009-05-14 Cabot Microelectronics Corporation Compositions and methods for ruthenium and tantalum barrier cmp
EP2093790A3 (en) * 2008-02-22 2009-12-23 Rohm and Haas Electronic Materials CMP Holdings, Inc. Low-Stain Polishing Composition
CN102646580A (en) * 2011-02-18 2012-08-22 联华电子股份有限公司 Flattening method and grid structure applied in semiconductor element process
WO2019190730A3 (en) * 2018-03-28 2020-07-23 Fujifilm Electronic Materials U.S.A., Inc. Barrier ruthenium chemical mechanical polishing slurry

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6896776B2 (en) * 2000-12-18 2005-05-24 Applied Materials Inc. Method and apparatus for electro-chemical processing
US6899804B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US7160432B2 (en) * 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
US20060169597A1 (en) * 2001-03-14 2006-08-03 Applied Materials, Inc. Method and composition for polishing a substrate
US7582564B2 (en) * 2001-03-14 2009-09-01 Applied Materials, Inc. Process and composition for conductive material removal by electrochemical mechanical polishing
US7323416B2 (en) * 2001-03-14 2008-01-29 Applied Materials, Inc. Method and composition for polishing a substrate
US6811680B2 (en) 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
KR100415617B1 (en) * 2001-12-06 2004-01-24 엘지.필립스 엘시디 주식회사 Etchant and method of fabricating metal wiring and thin film transistor using the same
US20070295611A1 (en) * 2001-12-21 2007-12-27 Liu Feng Q Method and composition for polishing a substrate
US8236485B2 (en) * 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US7736405B2 (en) * 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
TWI292931B (en) * 2003-05-12 2008-01-21 Jsr Corp Chemical mechanical polishing agent kit and chemical mechanical polishing method using the same
EP1622742A4 (en) * 2003-05-12 2009-06-10 Advanced Tech Materials Chemical mechanical polishing compositions for step-ii copper liner and other associated materials and method of using same
JP4707311B2 (en) * 2003-08-08 2011-06-22 花王株式会社 Magnetic disk substrate
JP4336550B2 (en) * 2003-09-09 2009-09-30 花王株式会社 Polishing liquid kit for magnetic disk
US7485162B2 (en) * 2003-09-30 2009-02-03 Fujimi Incorporated Polishing composition
US20050092620A1 (en) * 2003-10-01 2005-05-05 Applied Materials, Inc. Methods and apparatus for polishing a substrate
US7419911B2 (en) * 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
US20050139119A1 (en) * 2003-12-24 2005-06-30 Rader W. S. Polishing composition
US20060021974A1 (en) * 2004-01-29 2006-02-02 Applied Materials, Inc. Method and composition for polishing a substrate
US7390744B2 (en) * 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US20050263407A1 (en) * 2004-05-28 2005-12-01 Cabot Microelectronics Corporation Electrochemical-mechanical polishing composition and method for using the same
US7582127B2 (en) * 2004-06-16 2009-09-01 Cabot Microelectronics Corporation Polishing composition for a tungsten-containing substrate
US7084064B2 (en) * 2004-09-14 2006-08-01 Applied Materials, Inc. Full sequence metal and barrier layer electrochemical mechanical processing
JP2006179845A (en) 2004-11-26 2006-07-06 Fuji Photo Film Co Ltd Polishing solution for metal, and polishing method
US7300876B2 (en) * 2004-12-14 2007-11-27 Sandisk 3D Llc Method for cleaning slurry particles from a surface polished by chemical mechanical polishing
TW200727356A (en) * 2005-01-28 2007-07-16 Applied Materials Inc Tungsten electroprocessing
US20060169674A1 (en) * 2005-01-28 2006-08-03 Daxin Mao Method and composition for polishing a substrate
KR20060101396A (en) * 2005-03-17 2006-09-22 후지 샤신 필름 가부시기가이샤 Metal polishing solution and polishing method
JP2006269600A (en) * 2005-03-23 2006-10-05 Fuji Photo Film Co Ltd Chemical mechanical polishing method and polishing liquid used therefor
US20060249395A1 (en) * 2005-05-05 2006-11-09 Applied Material, Inc. Process and composition for electrochemical mechanical polishing
US20060249394A1 (en) * 2005-05-05 2006-11-09 Applied Materials, Inc. Process and composition for electrochemical mechanical polishing
WO2006133249A2 (en) * 2005-06-06 2006-12-14 Advanced Technology Materials, Inc. Integrated chemical mechanical polishing composition and process for single platen processing
WO2007019342A2 (en) * 2005-08-05 2007-02-15 Advanced Technology Materials, Inc. High throughput chemical mechanical polishing composition for metal film planarization
WO2007044446A1 (en) 2005-10-05 2007-04-19 Advanced Technology Materials, Inc. Oxidizing aqueous cleaner for the removal of post-etch residues
US20070254485A1 (en) * 2006-04-28 2007-11-01 Daxin Mao Abrasive composition for electrochemical mechanical polishing
JP5349326B2 (en) 2006-12-21 2013-11-20 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Compositions and methods for selective removal of silicon nitride
US20100087065A1 (en) * 2007-01-31 2010-04-08 Advanced Technology Materials, Inc. Stabilization of polymer-silica dispersions for chemical mechanical polishing slurry applications
US7820068B2 (en) * 2007-02-21 2010-10-26 Houghton Technical Corp. Chemical assisted lapping and polishing of metals
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
US20090215266A1 (en) * 2008-02-22 2009-08-27 Thomas Terence M Polishing Copper-Containing patterned wafers
US8252119B2 (en) * 2008-08-20 2012-08-28 Micron Technology, Inc. Microelectronic substrate cleaning systems with polyelectrolyte and associated methods
JP6101421B2 (en) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド Etching solution for copper or copper alloy
KR20130099948A (en) 2010-08-20 2013-09-06 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Sustainable process for reclaiming precious metals and base metals from e-waste
KR101827031B1 (en) 2010-10-06 2018-02-07 엔테그리스, 아이엔씨. Composition and process for selectively etching metal nitrides
TWI502065B (en) 2010-10-13 2015-10-01 Entegris Inc Composition for and method of suppressing titanium nitride corrosion
KR102064487B1 (en) 2011-01-13 2020-01-10 엔테그리스, 아이엔씨. Formulations for the removal of particles generated by cerium-containing solutions
JP5933950B2 (en) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Etching solution for copper or copper alloy
US8956974B2 (en) 2012-06-29 2015-02-17 Micron Technology, Inc. Devices, systems, and methods related to planarizing semiconductor devices after forming openings
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
CN105102584B (en) 2013-03-04 2018-09-21 恩特格里斯公司 Composition and method for selective etch titanium nitride
TWI651396B (en) 2013-06-06 2019-02-21 美商恩特葛瑞斯股份有限公司 Compositions and methods for selectively etching titanium nitride
WO2015017659A1 (en) 2013-07-31 2015-02-05 Advanced Technology Materials, Inc. AQUEOUS FORMULATIONS FOR REMOVING METAL HARD MASK AND POST-ETCH RESIDUE WITH Cu/W COMPATIBILITY
SG10201801575YA (en) 2013-08-30 2018-03-28 Entegris Inc Compositions and methods for selectively etching titanium nitride
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
JP6776125B2 (en) 2013-12-20 2020-10-28 インテグリス・インコーポレーテッド Use of non-oxidizing strong acids for removal of ion-implanted resists
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
JP6538701B2 (en) * 2014-02-05 2019-07-03 キャボット マイクロエレクトロニクス コーポレイション CMP method for suppressing titanium nitride and titanium / titanium nitride removal
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
CN111710601A (en) * 2015-02-06 2020-09-25 嘉柏微电子材料股份公司 Chemical mechanical polishing method for inhibiting titanium nitride and titanium/titanium nitride removal
US9530737B1 (en) * 2015-09-28 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
TWI660017B (en) 2016-07-14 2019-05-21 卡博特微電子公司 Alternative oxidizing agents for cobalt cmp
WO2023149925A1 (en) * 2022-02-07 2023-08-10 Araca, Inc. Chemical mechanical planarization slurry processing techniques and systems and methods for polishing substrate using the same

Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5213588A (en) * 1992-02-04 1993-05-25 The Procter & Gamble Company Abrasive wiping articles and a process for preparing such articles
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
US5607718A (en) * 1993-03-26 1997-03-04 Kabushiki Kaisha Toshiba Polishing method and polishing apparatus
US5676587A (en) * 1995-12-06 1997-10-14 International Business Machines Corporation Selective polish process for titanium, titanium nitride, tantalum and tantalum nitride
US5942015A (en) * 1997-09-16 1999-08-24 3M Innovative Properties Company Abrasive slurries and abrasive articles comprising multiple abrasive particle grades
US6001730A (en) * 1997-10-20 1999-12-14 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers
US6045437A (en) * 1996-03-01 2000-04-04 Tan Thap, Inc. Method and apparatus for polishing a hard disk substrate
US6063306A (en) * 1998-06-26 2000-05-16 Cabot Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrate
US6242351B1 (en) * 1999-12-27 2001-06-05 General Electric Company Diamond slurry for chemical-mechanical planarization of semiconductor wafers
US6303049B1 (en) * 1999-09-01 2001-10-16 Eternal Chemical Co., Ltd. Chemical mechanical abrasive composition for use in semiconductor processing
US6375545B1 (en) * 1999-01-18 2002-04-23 Kabushiki Kaisha Toshiba Chemical mechanical method of polishing wafer surfaces
US6409781B1 (en) * 2000-05-01 2002-06-25 Advanced Technology Materials, Inc. Polishing slurries for copper and associated materials
US6416685B1 (en) * 2000-04-11 2002-07-09 Honeywell International Inc. Chemical mechanical planarization of low dielectric constant materials
US6443812B1 (en) * 1999-08-24 2002-09-03 Rodel Holdings Inc. Compositions for insulator and metal CMP and methods relating thereto
US6454819B1 (en) * 1999-01-18 2002-09-24 Kabushiki Kaisha Toshiba Composite particles and production process thereof, aqueous dispersion, aqueous dispersion composition for chemical mechanical polishing, and process for manufacture of semiconductor device
US6527818B2 (en) * 2000-02-09 2003-03-04 Jsr Corporation Aqueous dispersion for chemical mechanical polishing
US6800105B2 (en) * 2000-01-11 2004-10-05 Sumitomo Chemical Company, Limited Abrasive for metal
US7229927B1 (en) * 1999-11-23 2007-06-12 Corning Incorporated Semiconductor processing silica soot abrasive slurry method for integrated circuit microelectronics
US20080038895A1 (en) * 2004-06-30 2008-02-14 Dongbu Electronics Co., Ltd. Capacitor of semiconductor device and method of manufacturing the same

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020035826A (en) 1999-07-03 2002-05-15 갤반 마틴 Improved chemical mechanical polishing slurries for metal

Patent Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5213588A (en) * 1992-02-04 1993-05-25 The Procter & Gamble Company Abrasive wiping articles and a process for preparing such articles
US5607718A (en) * 1993-03-26 1997-03-04 Kabushiki Kaisha Toshiba Polishing method and polishing apparatus
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
US5676587A (en) * 1995-12-06 1997-10-14 International Business Machines Corporation Selective polish process for titanium, titanium nitride, tantalum and tantalum nitride
US6045437A (en) * 1996-03-01 2000-04-04 Tan Thap, Inc. Method and apparatus for polishing a hard disk substrate
US5942015A (en) * 1997-09-16 1999-08-24 3M Innovative Properties Company Abrasive slurries and abrasive articles comprising multiple abrasive particle grades
US6001730A (en) * 1997-10-20 1999-12-14 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers
US6063306A (en) * 1998-06-26 2000-05-16 Cabot Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrate
US6375545B1 (en) * 1999-01-18 2002-04-23 Kabushiki Kaisha Toshiba Chemical mechanical method of polishing wafer surfaces
US6454819B1 (en) * 1999-01-18 2002-09-24 Kabushiki Kaisha Toshiba Composite particles and production process thereof, aqueous dispersion, aqueous dispersion composition for chemical mechanical polishing, and process for manufacture of semiconductor device
US6443812B1 (en) * 1999-08-24 2002-09-03 Rodel Holdings Inc. Compositions for insulator and metal CMP and methods relating thereto
US6303049B1 (en) * 1999-09-01 2001-10-16 Eternal Chemical Co., Ltd. Chemical mechanical abrasive composition for use in semiconductor processing
US7229927B1 (en) * 1999-11-23 2007-06-12 Corning Incorporated Semiconductor processing silica soot abrasive slurry method for integrated circuit microelectronics
US6242351B1 (en) * 1999-12-27 2001-06-05 General Electric Company Diamond slurry for chemical-mechanical planarization of semiconductor wafers
US6800105B2 (en) * 2000-01-11 2004-10-05 Sumitomo Chemical Company, Limited Abrasive for metal
US6527818B2 (en) * 2000-02-09 2003-03-04 Jsr Corporation Aqueous dispersion for chemical mechanical polishing
US6416685B1 (en) * 2000-04-11 2002-07-09 Honeywell International Inc. Chemical mechanical planarization of low dielectric constant materials
US6409781B1 (en) * 2000-05-01 2002-06-25 Advanced Technology Materials, Inc. Polishing slurries for copper and associated materials
US20080038895A1 (en) * 2004-06-30 2008-02-14 Dongbu Electronics Co., Ltd. Capacitor of semiconductor device and method of manufacturing the same

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050139292A1 (en) * 2003-12-31 2005-06-30 Suresh Ramarajan Method and apparatus for minimizing thickness-to-planarity and dishing in CMP
US20090124173A1 (en) * 2007-11-09 2009-05-14 Cabot Microelectronics Corporation Compositions and methods for ruthenium and tantalum barrier cmp
EP2093790A3 (en) * 2008-02-22 2009-12-23 Rohm and Haas Electronic Materials CMP Holdings, Inc. Low-Stain Polishing Composition
TWI447188B (en) * 2008-02-22 2014-08-01 羅門哈斯電子材料Cmp控股公司 Low-stain polishing composition
US9633865B2 (en) 2008-02-22 2017-04-25 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Low-stain polishing composition
CN102646580A (en) * 2011-02-18 2012-08-22 联华电子股份有限公司 Flattening method and grid structure applied in semiconductor element process
WO2019190730A3 (en) * 2018-03-28 2020-07-23 Fujifilm Electronic Materials U.S.A., Inc. Barrier ruthenium chemical mechanical polishing slurry
US11034859B2 (en) 2018-03-28 2021-06-15 Fujifilm Electronic Materials U.S.A., Inc. Barrier ruthenium chemical mechanical polishing slurry
US11505718B2 (en) 2018-03-28 2022-11-22 Fujifilm Electronic Materials U.S.A., Inc. Barrier ruthenium chemical mechanical polishing slurry

Also Published As

Publication number Publication date
US20030073386A1 (en) 2003-04-17
US7029373B2 (en) 2006-04-18

Similar Documents

Publication Publication Date Title
US7029373B2 (en) Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6692546B2 (en) Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6800218B2 (en) Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
US7427567B2 (en) Polishing slurries for copper and associated materials
US7319072B2 (en) Polishing medium for chemical-mechanical polishing, and method of polishing substrate member
US5836806A (en) Slurries for chemical mechanical polishing
US6365520B1 (en) Small particle size chemical mechanical polishing composition
KR102427996B1 (en) Chemical mechanical polishing composition and method for polishing tungsten
US20050194563A1 (en) Bicine/tricine containing composition and method for chemical-mechanical planarization
US7052625B2 (en) Slurry and use thereof for polishing
US6838383B2 (en) Copper polish slurry for reduced interlayer dielectric erosion and method of using same
JP2004512681A (en) Chemical mechanical polishing slurry and polishing method
JP2003031529A (en) Slurry for cmp, and manufacturing method of semiconductor device using the slurry
JP4156137B2 (en) Metal film abrasive
JP4231950B2 (en) Metal film abrasive
US20220277964A1 (en) Chemical mechanical planarization slurries and processes for platinum group metals
JP2004023068A (en) Abrasive slurry for copper-based metal and method of manufacturing semiconductor device
WO2002073681A1 (en) Method and composition for polishing by cmp
Kim et al. Acid colloidal silica slurry for Cu CMP

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION