US20050255710A1 - Porous materials - Google Patents

Porous materials Download PDF

Info

Publication number
US20050255710A1
US20050255710A1 US11/166,582 US16658205A US2005255710A1 US 20050255710 A1 US20050255710 A1 US 20050255710A1 US 16658205 A US16658205 A US 16658205A US 2005255710 A1 US2005255710 A1 US 2005255710A1
Authority
US
United States
Prior art keywords
vinyl
monomers
diacrylate
porogen
meth
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/166,582
Inventor
Yujian You
Nikoi Annan
Michael Gallagher
Robert Gore
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rohm and Haas Electronic Materials LLC
Original Assignee
Shipley Co LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shipley Co LLC filed Critical Shipley Co LLC
Priority to US11/166,582 priority Critical patent/US20050255710A1/en
Publication of US20050255710A1 publication Critical patent/US20050255710A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/095Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
    • H01L2924/097Glass-ceramics, e.g. devitrified glass
    • H01L2924/09701Low temperature co-fired ceramic [LTCC]

Definitions

  • This invention relates generally to porous materials.
  • this invention relates to the preparation and use of porous films containing thermoset materials and having a low dielectric constant.
  • a method for reducing the dielectric constant of such interlayer, or intermetal, insulating material is to incorporate within the insulating film very small, uniformly dispersed pores or voids.
  • Porous dielectric matrix materials are well known in the art.
  • One known process of making a porous dielectric involves co-polymerizing a thermally labile monomer with a dielectric monomer to form a block copolymer, followed by heating to decompose the thermally labile monomer unit. See, for example, U.S. Pat. No. 5,776,990.
  • the amount of the thermally labile monomer unit is limited to amounts less than about 30% by volume.
  • the resulting dielectric material has cylindrical or lamellar domains, instead of pores or voids, which lead to interconnected or collapsed structures upon removal, i.e., heating to degrade the thermally labile monomer unit.
  • the block copolymer approach provides only a limited reduction in the dielectric constant of the matrix material.
  • porous dielectric materials disperses thermally removable particles in a dielectric precursor, polymerizing the dielectric precursor without substantially removing the particles, followed by heating to substantially remove the particles, and, if needed, completing the curing of the dielectric material. See, for example, U.S. Pat. No. 5,700,844. In the '844 patent, uniform pore sizes of 0.5 to 20 microns are achieved. However, this methodology is unsuitable for such electronic devices as integrated circuits where feature sizes are expected to go below 0.25 microns.
  • Polyarylenes are well known dielectric materials.
  • U.S. Pat. No. 6,093,636 (Carter et al.) discloses a method for forming an integrated circuit containing a porous high temperature thermoset, such as a polyarylene.
  • Such porous thermosets are prepared by using as pore forming material highly branched aliphatic esters that have functional groups that are further functionalized with appropriate reactive groups such that the functionalized aliphatic esters are incorporated into, i.e. copolymerized with, the vitrifying polymer matrix.
  • Such incorporation of the pore forming material into the matrix restricts the mobility of the pore forming material, i.e. incorporation prevents phase separation of the pore forming material from the matrix.
  • the size of the phase-separated domains is also restricted.
  • the '636 patent does not teach how to prepare porous thermoset dielectric materials having a mean pore diameter ⁇ 10 nm, such as a diameter in the range of 0.75 to 8 nm.
  • thermoset dielectric matrix material such as a polyarylene.
  • This patent application discloses a number of porogens, such as solvents and polymers, particularly cross-linkable polymers.
  • Suitable cross-linkable polymers are those that react to copolymerize with the thermoset dielectric matrix material.
  • Suitable polymers useful as porogens include dendrimers, hyperbranched polymer systems and cross-linked latex particles.
  • porous dielectric materials are known, but suffer from broad distributions of pore sizes, too large pore size, such as greater than 20 microns, or technologies that are too expensive for commercial use, such as liquid extractions under supercritical conditions.
  • thermoset dielectric matrix materials with substantially smaller pore sizes and a greater percent by volume of pores for use in electronic components, and in particular, as an interlayer, or intermetal, dielectric material for use in the fabrication of integrated circuits.
  • porous thermoset dielectric materials where the volume fraction of pores in the film is equivalent to the volume fraction of pore forming material.
  • thermoset dielectric matrix provides porous films having a suitable dielectric constant and sufficiently small pore size for use as insulating material in electronic devices such as integrated circuits and printed wiring boards.
  • Such polymeric particles provide thermoset dielectric matrix material having a greater percentage of pores by volume and more uniformly dispersed pores than are available from known approaches.
  • the present invention is directed to a method of preparing porous thermoset dielectric materials including the steps of: a) dispersing a plurality of removable cross-linked polymeric porogen particles in B-staged thermoset dielectric matrix material; b) forming a film of the B-staged thermoset dielectric matrix material; c) curing the B-staged thermoset dielectric matrix material to form a thermoset dielectric matrix material; and d) subjecting the thermoset dielectric matrix material to conditions which at least partially remove the porogen particles to form a porous thermoset dielectric material without substantially degrading the thermoset dielectric material; wherein the thermoset dielectric material is selected from the group consisting of benzocyclobutenes and polyarylenes; wherein the porogen particles are substantially compatible with the B-staged thermoset dielectric matrix material and wherein the porogen particles include as polymerized units one or more monomers selected from the group consisting of N-vinyl monomers and heteroatom-substituted styrene
  • the present invention is directed to porous thermoset dielectric materials prepared by the method described above.
  • the present invention is directed to a method of preparing an integrated circuit including the steps of: a) depositing on a substrate a layer of a composition including B-staged thermoset dielectric matrix material having a plurality of cross-linked polymeric porogen particles dispersed therein; b) curing the B-staged thermoset dielectric matrix material to form a thermoset dielectric matrix material; c) subjecting the thermoset dielectric matrix material to conditions which at least partially remove the porogen particles to form a porous thermoset dielectric material layer without substantially degrading the thermoset dielectric material; d) patterning the thermoset dielectric layer; e) depositing a metallic film onto the patterned dielectric layer; and f) planarizing the film to form an integrated circuit; wherein the thermoset dielectric material is selected from the group consisting of benzocyclobutenes and polyarylenes; wherein the porogen particles are substantially compatible with the B-staged thermoset dielectric matrix material and wherein the porogen particles include as polymerized units
  • the present invention is directed to an integrated circuit prepared by the method described above.
  • the present invention is directed to a composition including B-staged thermoset dielectric matrix material and a plurality of cross-linked polymeric porogen particles; wherein the thermoset dielectric material is selected from the group consisting of benzocyclobutenes and polyarylenes; wherein the porogen particles are substantially compatible with the B-staged thermoset dielectric matrix material and wherein the porogen particles include as polymerized units one or more monomers selected from the group consisting of N-vinyl monomers and heteroatom-substituted styrene monomers and at least one (meth)acrylate cross-linking agent.
  • the thermoset dielectric material is selected from the group consisting of benzocyclobutenes and polyarylenes
  • the porogen particles are substantially compatible with the B-staged thermoset dielectric matrix material and wherein the porogen particles include as polymerized units one or more monomers selected from the group consisting of N-vinyl monomers and heteroatom-substituted styrene monomers and at least one
  • (meth)acrylic includes both acrylic and methacrylic and the term “(meth)acrylate” includes both acrylate and methacrylate.
  • (meth)acrylamide refers to both acrylamide and methacrylamide.
  • Alkyl includes straight chain, branched and cyclic alkyl groups.
  • the term “porogen” refers to a pore forming material, that is a polymeric material or particle dispersed in a dielectric material that is subsequently removed to yield pores, voids or free volume in the dielectric material.
  • the terms “removable porogen,” “removable polymer” and “removable particle” are used interchangeably throughout this specification.
  • pore void
  • free volume void
  • Cross-linker and “cross-linking agent” are used interchangeably throughout this specification and refer to a monomer containing two or more polymerizable sites, such as double or triple bonds.
  • Polymer refers to polymers and oligomers, and also includes homopolymers and copolymers.
  • oligomer and “oligomeric” refer to dimers, trimers, tetramers and the like.
  • Monomer refers to any ethylenically or acetylenically unsaturated compound capable of being polymerized. Such monomers may contain one or more double or triple bonds.
  • Halo refers to fluoro, chloro, bromo and iodo.
  • halogenated refers to fluorinated, chlorinated, brominated and iodinated.
  • B-staged refers to uncured thermoset dielectric matrix materials.
  • uncured is meant any thermoset material that can be polymerized or cured to form higher molecular weight materials, such as coatings or films.
  • B-staged material may be monomeric, oligomeric or mixtures thereof.
  • B-staged material is further intended to include mixtures of polymeric material with monomers, oligomers or a mixture of monomers and oligomers.
  • Polyarylene as used herein is intended to describe a wide variety of thermosetting resins or polymers having backbones containing arylene units. Such polyarylenes include polyarylene ethers.
  • the present invention relates to the synthesis, composition, size, distribution and purity of polymer particles useful as removable porogens, i.e., pore forming material.
  • porogens are useful for forming porous thermoset dielectric materials in the fabrication of electronic and optoelectronic devices.
  • the present invention relates to a method of preparing porous thermoset dielectric materials including the steps of: a) dispersing a plurality of removable cross-linked polymeric porogen particles in B-staged thermoset dielectric material to form B-staged thermoset dielectric matrix material; b) forming a film of the B-staged thermoset dielectric matrix material; c) curing the B-staged thermoset dielectric matrix material to form a thermoset dielectric matrix material; and c) subjecting the thermoset dielectric matrix material to conditions which at least partially remove the porogen particles to form a porous thermoset dielectric material without substantially degrading the thermoset dielectric material; wherein the thermoset dielectric material is selected from the group consisting of benzocyclobutenes and polyarylenes; wherein the porogen particles are substantially compatible with the B-staged thermoset dielectric matrix material and wherein the porogen particles include as polymerized units one or more monomers selected from the group consisting of N-vinyl monomers and heteroatom-substituted
  • porogens of the present invention are useful in reducing the dielectric constant of thermoset dielectric materials, particularly those materials having low dielectric constants (“k”).
  • a low-k dielectric material is any material having a dielectric constant less than about 4.
  • Thermoset dielectric materials useful in the present invention include benzocyclobutenes, polyarylenes and mixtures thereof.
  • Polyarylenes include polyarylene ethers.
  • Suitable benzocyclobutenes include, but are not limited to, those disclosed in U.S. Pat. Nos. 4,540,763 and 4,812,588.
  • a particularly suitable benzocyclobutene is 1,3-bis(2-bicyclo[4.2.0]octa-1,3,5trien-3-ylethynyl)-1,1,3,3-tetramethyldisiloxane, sold under the tradename CYCLOTENE by the Dow Chemical Company (Midland, Mich.).
  • polyarylenes and polyarylene ethers may be used in the present invention.
  • Suitable polyarylenes may be synthesized from precursors such as ethynyl aromatic compounds of the formula: wherein each Ar is an aromatic group or inertly-substituted aromatic group: each R is independently hydrogen, an alkyl, aryl or inertly-substituted alkyl or aryl group; L is a covalent bond or a group which links one Ar to at least one other Ar; n and m are integers of at least 2; and q is an integer of at least 1.
  • the ethynyl aromatic compounds of the invention typically have four or more ethynyl groups (for example, tetraethynyl aromatic compounds) and are useful as monomers in the preparation of polymers, including their oligomeric precursors.
  • the polyarylenes used in the invention may include a polymer including as polymerized units: wherein Ar′ is the residual of the reaction of product of (C ⁇ C) n —Ar or Ar—(C ⁇ C) m moieties and R, L, n and m are as defined above.
  • the polyarylene copolymers of the invention include as polymerized units a monomer having the formula: wherein Ar′ and R are as defined above.
  • Exemplary polyarylenes include, but are not limited to, those wherein Ar-L-Ar are: biphenyl; 2,2-diphenyl propane; 9,9′-diphenyl fluorene; 2,2-diphenyl hexafluoro propane; diphenyl sulfide; oxydiphenylene; diphenyl ether; bis(phenylene)diphenylsilane; bis(phenylene) phosphine oxide; bis(phenylene)benzene; bis(phenylene)naphthalene; bis(phenylene)enthracene; thiodiphenylene; 1,1,1-triphenyleneethane; 1,3,5-triphenylenebenzene; 1,3,5-(2-phenylene-2-propyl)benzene; 1,1,1-triphenylenemethane; 1,1,2,2-tetraphenylene-1,2-diphenylethane; bis(1,1-diphenyleneethyl)benzene; 2,2
  • Useful bis-phenyl derivatives include 2,2-diphenyl propane; 9,9′-diphenyl fluorene; 2,2-diphenyl hexafluoro propane; diphenyl sulfide; diphenyl ether; bis(phenylene)diphenylsilane; bis(phenylene)phosphine oxide; bis(phenylene)benzene; bis(phenylene)naphthalene; bis(phenylene)anthracene; or bis(phenylene)napthacene.
  • the ethynyl groups on each Ar are either on adjacent carbon atoms or are vinylogously conjugated within the ring. It is believed that they dimerize upon application of heat to form an aromatic ring having a 1,4-diradical which serves to polymerize and/or cross-link the compound. While not being bound by theory, it is believed that this dimerization occurs via Bergman cyclization such as disclosed by Warner, et al. in Science, 268, Aug. 11, 1995, pp. 814-816.
  • the ethynyl aromatic monomer precursors to thermosetting polyarylenes are preferably bis(o-diethynyl) monomers (also referred to as BODA (bis(ortho-diacetylene)monomers)), which means there are at least two sets of adjacent substituted or vinylogously conjugated ethynyl groups on the monomer, that is, at least one set of ethynyl groups on each Ar group.
  • the ethynyl aromatic compound contains from 2 to 4, most preferably 2 or 3, diethynyl sets, most preferably, except when additional cross-linking is desired, two sets (i.e., four) of ethynyl groups.
  • the polyarylene precursor monomers may be prepared by a variety of methods known in the art, such as by: (a) selectively halogenating, preferably in a solvent, a polyphenol (preferably a bisphenol) to selectively halogenate, preferably brominate, each phenolic ring with one halogen on one of the two positions ortho to the phenolic hydroxyl group; (b) converting the phenolic hydroxyl on the resulting poly(ortho-halophenol), preferably in a solvent, to a leaving group such as a sulfonate ester (for example, a trifluoromethanesulfonate ester prepared from trifluoromethanesulfonyl halide or trifluoromethane sulfonic acid anhydride) which is reactive with and replaced by terminal ethynyl compounds; and (c) reacting the reaction product of step (b) with an ethynyl-containing compound or an ethynyl synthon in the
  • the ethynyl aromatic monomers of Formula (D are useful to prepare polymers of either Formula (II) or (III). While not being bound by theory, it is believed that the ethynyl groups, specifically those of ortho orientation, on the aromatic ring cyclize upon heating, forming a dehydro aromatic ring which reacts to form a polymer chain.
  • Monomers with more than two ortho ethynyl groups are used to form thermoset polymers and depending on the concentration of monomer having more than one set of ortho-ethynyl groups may contain from almost none (that is, a polymer having essentially repeat units of Formula (II) only to substantial segments of linear polymer chain structure (that is, a polymer of Formula (III)).
  • Polymerization of the ethynyl aromatic monomers is well within the ability of one skilled in the art. Typically, polymerization is achieved thermally and will generally occur at a temperature more than 150° C., but polymerization temperatures are preferably at least 180° C., and more preferably at least 210° C. The polymerization temperature preferably does not exceed that temperature which would result in undesirable degradation of the resulting polymer, which means polymerization is generally conducted at a temperature less than 300° C. for monomers having benzylic hydrogen atoms, and, for monomers not having a benzylic hydrogen, less than 450° C., preferably less than 400° C., and more preferably less than 350° C.
  • the polymerization temperature will vary with Ar-L-Ar and R, with smaller R groups like H generally requiring lower temperatures than larger R groups, and more conjugated Ar and R (when aromatic) groups requiring lower temperatures than less conjugated Ar and R groups.
  • R or Ar is anthracene
  • the polymerization is more advantageously conducted at a lower temperature than when Ar or R is phenyl.
  • Polymerization is conveniently conducted at atmospheric pressure, but pressures higher or lower than atmospheric pressure can be employed.
  • the polymerization may be conducted in the presence of agents for controlling (accelerating) the cyclization reaction such as free radical initiators, or the chlorides disclosed by Warner, et al. in Science 269, pp. 814-816 (1995) can be employed in the polymerization reaction. While the specific conditions of polymerization are dependent on a variety of factors including the specific ethynyl aromatic monomer(s) being polymerized and the desired properties of the resulting polymer, in general, the conditions of polymerization are detailed in PCT application WO 97/10193 (Babb).
  • Particularly suitable polyarylenes for use in the present invention include those sold as SiLKTM Semiconductor Dielectric (available from The Dow Chemical Company), F LARE TM dielectric (available from Honeywell), and V ELOX TM poly(arylene ether) (available from Air Products/Shumacher).
  • Other particularly suitable polyarylenes include those disclosed in WO 00/31183, WO 98/11149; WO 97/10193, WO 91/09081, EP 755 957, and U.S. Pat. Nos. 5,115,082; 5,155,175; 5,179,188; 5,874,516; and 6,093,636, all herein incorporated by reference to the extent they teach polyarylene thermosets.
  • a mixture of dielectric materials may be used in the present invention, such as two or more thermoset dielectric materials or a mixture of a thermoset dielectric material with one or more other dielectric materials, i.e. not a thermoset dielectric material.
  • Suitable other dielectric materials include, but are not limited to, inorganic materials such as organo polysilicas, carbides, oxides, nitrides and oxyfluorides of silicon, boron, or aluminum; and organic matrix materials such as poly(aryl esters), poly(ether ketones), polycarbonates, polynorbornenes, poly(arylene ethers), poly(perfluorinated hydrocarbons) such as poly(tetrafluoroethylene), and polybenzoxazoles.
  • the porogens of the present invention may be combined with a thermoset/other dielectric material mixture to form a thermoset/other dielectric matrix composite material.
  • the porogen polymers of the present invention are cross-linked particles and have a molecular weight or particle size suitable for use as a modifier in advanced interconnect structures in electronic devices.
  • the useful mean particle size range for a plurality of these particles for such applications is up to about 1,000 nm, such as that having a mean particle size in the range of about 0.75 to about 1000 nm. It is preferred that the mean particle size is in the range of about 0.75 to about 200 nm, more preferably from about 0.75 to about 50 nm, and most preferably from about 1 nm to about 20 nm.
  • An advantage of the present process is that the size of the pores formed in the dielectric matrix are substantially the same size, i.e., dimension, as the size of the removed porogen particles used.
  • the porous dielectric material made by the process of the present invention has substantially uniformly dispersed pores with substantially uniform pore sizes having a mean pore size in the range of from 0.75 to 1000 nm, preferably 0.75 to 200 nm, more preferably 0.75 and 50 nm and most preferably 1 to 20 nm.
  • Particularly suitable pore sizes are ⁇ 10 nm, such as ⁇ 5 nm, ⁇ 3 nm and ⁇ 2 nm.
  • the cross-linked polymeric porogens include as polymerized units at least one monomer selected from N-vinyl monomers and heteroatom-substituted styrene monomers.
  • N-vinyl monomers suitable for use in the present invention include, but are not limited to: vinylpyridines such as 2-vinylpyridine or 4-vinylpyridine; (C 1 -C 8 )alkyl substituted N-vinyl pyridines such as 2-methyl-5-vinyl-pyridine, 2-ethyl-5-vinylpyridine, 3-methyl-5-vinylpyridine, 2,3-dimethyl-5-vinyl-pyridine, and 2-methyl-3-ethyl-5-vinylpyridine; N-vinylcaprolactam; N-vinylbutyrolactam; N-vinylpyrrolidone; vinyl imidazole; N-vinyl carbazole; N-vinyl-succinimide; N-vinyl-oxazolidone; N
  • Heteroatom-substituted styrene monomers useful in the present invention are any styrene monomers having one or more of the aromatic hydrogens replaced with a heteroatom-containing substituent.
  • Suitable heteroatom-containing substituents include, but are not limited to, (C 1 -C 10 )alkoxy, halo, amino, (C 1 -C 10 )alkylamino, di(C 1 -C 10 )alkylamino, nitro, cyano, carboxy, halo(C 1 -C 10 )alkyl, carb(C 1 -C 10 )alkoxy and the like.
  • heteroatom-substituted styrene monomers include, but are not limited to, vinylanisole, o-, m-, or p-aminostyrene, 4-fluorostyrene, 3-fluorostyrene, vinyldimethoxybenzene, and the like.
  • Preferred heteroatom substituted styrene monomers are vinylanisole, and o-, m-, or p-aminostyrene, and more preferably vinylanisole.
  • the amount of N-vinyl monomers or heteroatom-substituted styrene monomers of the present invention is typically from about 1 to about 99% wt, based on the total weight of the monomers used. It is preferred that these monomers are present in an amount of from 1 to about 90% wt, and more preferably from about 5 to about 90% wt. It will be appreciated that a mixture of N-vinyl monomers and heteroatom-substituted styrene monomers may be used in the present porogens.
  • the present porogens may further contain as polymerized units one or more ethylenically or acetylenically unsaturated monomers, including, but not limited to, (meth)acrylic acid, (meth)acrylamides, alkyl (meth)acrylates, alkenyl (meth)acrylates, aromatic (meth)acrylates, vinyl aromatic monomers, nitrogen-containing compounds, substituted ethylene monomers, and poly(alkylene oxide) monomers.
  • ethylenically or acetylenically unsaturated monomers including, but not limited to, (meth)acrylic acid, (meth)acrylamides, alkyl (meth)acrylates, alkenyl (meth)acrylates, aromatic (meth)acrylates, vinyl aromatic monomers, nitrogen-containing compounds, substituted ethylene monomers, and poly(alkylene oxide) monomers.
  • the alkyl (meth)acrylates useful in the present invention are (C 1 -C 24 )alkyl (meth)acrylates.
  • Suitable alkyl (meth)acrylates include, but are not limited to, “low cut” alkyl (meth)acrylates, “mid cut” alkyl (meth)acrylates and “high cut” alkyl (meth)acrylates.
  • Low cut alkyl (meth)acrylates are typically those where the alkyl group contains from 1 to 6 carbon atoms. Suitable low cut alkyl (meth)acrylates include, but are not limited to: methyl methacrylate (“MMA”), methyl acrylate, ethyl acrylate, propyl methacrylate, butyl methacrylate (“BMA”), butyl acrylate (“BA”), isobutyl methacrylate (“IBMA”), hexyl methacrylate, cyclohexyl methacrylate, cyclohexyl acrylate and mixtures thereof.
  • MMA methyl methacrylate
  • BMA butyl methacrylate
  • BA butyl acrylate
  • IBMA isobutyl methacrylate
  • “Mid cut” alkyl (meth)acrylates are typically those where the alkyl group contains from 7 to 15 carbon atoms. Suitable mid cut alkyl (meth)acrylates include, but are not limited to: 2-ethylhexyl acrylate (“EHA”), 2-ethylhexyl methacrylate, octyl methacrylate, decyl methacrylate, isodecyl methacrylate (“IDMA”, based on branched (C 10 )alkyl isomer mixture), undecyl methacrylate, dodecyl methacrylate (also known as lauryl methacrylate), tridecyl methacrylate, tetradecyl methacrylate (also known as myristyl methacrylate), pentadecyl methacrylate and mixtures thereof.
  • EHA 2-ethylhexyl acrylate
  • IDMA isodecyl methacrylate
  • IMMA
  • Particularly useful mixtures include dodecyl-pentadecyl methacrylate (“DPMA”), a mixture of linear and branched isomers of dodecyl, tridecyl, tetradecyl and pentadecyl methacrylates; and lauryl-myristyl methacrylate (“LMA”).
  • DPMA dodecyl-pentadecyl methacrylate
  • LMA lauryl-myristyl methacrylate
  • “High cut” alkyl (meth)acrylates are typically those where the alkyl group contains from 16 to 24 carbon atoms. Suitable high cut alkyl (meth)acrylates include, but are not limited to: hexadecyl methacrylate, heptadecyl methacrylate, octadecyl methacrylate, nonadecyl methacrylate, cosyl methacrylate, eicosyl methacrylate and mixtures thereof.
  • Particularly useful mixtures of high cut alkyl (meth)acrylates include, but are not limited to: cetyl-eicosyl methacrylate (“CEMA”), which is a mixture of hexadecyl, octadecyl, cosyl and eicosyl methacrylate; and cetyl-stearyl methacrylate (“SMA”), which is a mixture of hexadecyl and octadecyl methacrylate.
  • CEMA cetyl-eicosyl methacrylate
  • SMA cetyl-stearyl methacrylate
  • the mid-cut and high-cut alkyl (meth)acrylate monomers described above are generally prepared by standard esterification procedures using technical grades of long chain aliphatic alcohols, and these commercially available alcohols are mixtures of alcohols of varying chain lengths containing between 10 and 15 or 16 and 20 carbon atoms in the alkyl group.
  • these alcohols are the various Ziegler catalyzed ALFOL alcohols from Vista Chemical company, i.e., ALFOL 1618 and ALFOL 1620, Ziegler catalyzed various NEODOL alcohols from Shell Chemical Company, i.e. NEODOL 25L, and naturally derived alcohols such as Proctor & Gamble's TA-1618 and CO-1270.
  • alkyl (meth)acrylate is intended to include not only the individual alkyl (meth)acrylate product named, but also to include mixtures of the alkyl (meth)acrylates with a predominant amount of the particular alkyl (meth)acrylate named.
  • the alkyl (meth)acrylate monomers useful in the present invention may be a single monomer or a mixture having different numbers of carbon atoms in the alkyl portion.
  • the (meth)acrylamide and alkyl (meth)acrylate monomers useful in the present invention may optionally be substituted.
  • Suitable optionally substituted (meth)acrylamide and alkyl (meth)acrylate monomers include, but are not limited to: hydroxy(C 2 -C 6 )alkyl (meth)acrylates, dialkylamino(C 2 -C 6 )alkyl (meth)acrylates, dialkylamino(C 2 -C 6 )alkyl (meth)acrylamides.
  • Useful substituted alkyl (meth)acrylate monomers are those with one or more hydroxyl groups in the alkyl radical, especially those where the hydroxyl group is found at the ⁇ -position (2-position) in the alkyl radical. Hydroxyalkyl (meth)acrylate monomers in which the substituted alkyl group is a (C 2 -C 6 )alkyl, branched or unbranched, are preferred.
  • Suitable hydroxyalkyl (meth)acrylate monomers include, but are not limited to: 2-hydroxyethyl methacrylate (“HEMA”), 2-hydroxyethyl acrylate (“HEA”), 2-hydroxypropyl methacrylate, 1-methyl-2-hydroxyethyl methacrylate, 2-hydroxy-propyl acrylate, 1-methyl-2-hydroxyethyl acrylate, 2-hydroxybutyl methacrylate, 2-hydroxybutyl acrylate and mixtures thereof.
  • substituted (meth)acrylate and (meth)acrylamide monomers useful in the present invention are those with a dialkylamino group or dialkylaminoalkyl group in the alkyl radical.
  • substituted (meth)acrylates and (meth)acrylamides include, but are not limited to: dimethylaminoethyl methacrylate, dimethylaminoethyl acrylate, N,N-dimethylaminoethyl methacrylamide, N,N-dimethyl-aminopropyl methacrylamide, N,N-dimethylaminobutyl methacrylamide, N,N-di-ethylaminoethyl methacrylamide, N,N-diethylaminopropyl methacrylamide, N,N-diethylaminobutyl methacrylamide, N-(1,1-dimethyl-3-oxobutyl) acrylamide, N-(1,3-diphenyl-1-ethacryl
  • substituted (meth)acrylate monomers useful in the present invention are silicon-containing monomers such as ⁇ -propyl tri(C 1 -C 6 )alkoxysilyl (meth)acrylate, ⁇ -propyl tri(C 1 -C 6 )alkylsilyl (meth)acrylate, ⁇ -propyl di(C 1 -C 6 )alkoxy(C 1 -C 6 )alkylsilyl (meth)acrylate, ⁇ -propyl di(C 1 -C 6 )alkyl(C 1 -C 6 )alkoxysilyl (meth)acrylate, vinyl tri(C 1 -C 6 )alkoxysilyl (meth)acrylate, vinyl di(C 1 -C 6 )alkoxy(C 1 -C 6 )alkylsilyl (meth)acrylate, vinyl (C 1 -C 6 )alkoxydi(C 1 -C 6 )alkylsilyl (
  • the vinylaromatic monomers useful as unsaturated monomers in the present invention include, but are not limited to: styrene (“STY”), ⁇ -methylstyrene, vinyltoluene, p-methylstyrene, ethylvinylbenzene, vinylnaphthalene, vinylxylenes, and mixtures thereof.
  • STY styrene
  • ⁇ -methylstyrene vinyltoluene
  • p-methylstyrene ethylvinylbenzene
  • vinylnaphthalene vinylxylenes
  • Substituted ethylene monomers useful as unsaturated monomers is in the present invention include, but are not limited to: vinyl acetate, vinyl formamide, vinyl chloride, vinyl fluoride, vinyl bromide, vinylidene chloride, vinylidene fluoride and vinylidene bromide.
  • Suitable poly(alkylene oxide) monomers include, but are not limited to, poly(propylene oxide) monomers, poly(ethylene oxide) monomers, poly(ethylene oxide/propylene oxide) monomers, poly(propylene glycol) (meth)acrylates, poly(propylene glycol) alkyl ether (meth)acrylates, poly(propylene glycol) phenyl ether (meth)acrylates, poly(propylene glycol) 4-nonylphenol ether (meth)acrylates, poly(ethylene glycol) (meth)acrylates, poly(ethylene glycol) alkyl ether (meth)acrylates, poly(ethylene glycol) phenyl ether (meth)acrylates, poly(propylene/ethylene glycol) alkyl ether (meth)acrylates and mixtures thereof.
  • Preferred poly(alkylene oxide) monomers include trimethoylolpropane ethoxylate tri(meth)acrylate, trimethoylolpropane propoxylate tri(meth)acrylate, poly(propylene glycol) methyl ether acrylate, and the like.
  • Particularly suitable poly(propylene glycol) methyl ether acrylate monomers are those having a molecular weight in the range of from about 200 to about 2000.
  • the poly(ethylene oxide/propylene oxide) monomers useful in the present invention may be linear, block or graft copolymers. Such monomers typically have a degree of polymerization of from about 1 to about 50, and preferably from about 2 to about 50.
  • the amount of such additional monomers useful in the porogen particles of the present invention is from about 1 to about 99% wt, based on the total weight of the monomers used.
  • the amount of such additional monomers is preferably from about 2 to about 90% wt, and more preferably from about 5 to about 80% wt.
  • the porogen particles of the present invention also contain as polymerized units one or more cross-linking agents.
  • At least one cross-linking agent is a (meth)acrylate cross-linking agent.
  • Suitable (meth)acrylate cross-linkers useful in the present invention include di-, tri-, tetra- or higher multi-functional (meth)acrylate unsaturated monomers.
  • Examples of (meth)acrylate cross-linkers useful in the present invention include, but are not limited to: ethyleneglycol diacrylate, trimethylolpropane triacrylate, allyl methacrylate (“ALMA”), ethyleneglycol dimethacrylate (“EGDMA”), diethyleneglycol dimethacrylate (“DEGDMA”), propyleneglycol dimethacrylate, propyleneglycol diacrylate, trimethylolpropane trimethacrylate (“TMPTMA”), glycidyl methacrylate, 2,2-dimethylpropane-1,3-diacrylate, 1,3-butylene glycol diacrylate, 1,3-butylene glycol dimethacrylate, 1,4-butanediol diacrylate, diethylene glycol diacrylate, diethylene glycol dimethacrylate, 1,6-hexanediol diacrylate, 1,6-hexanediol dimethacrylate, tripropylene glycol di
  • One or more additional cross-linking agents may be combined with the (meth)acrylate cross-linking agent.
  • a wide variety of cross-linking agents may suitable be combined with the (meth)acrylate cross-linker.
  • Such additional cross-linking agents include, but are not limited to, trivinylbenzene, divinyltoluene, divinylpyridine, divinylnaphthalene, divinylxylene, diethyleneglycol divinyl ether, trivinylcyclohexane, divinyl benzene, divinylsilane, trivinylsilane, dimethyldivinylsilane, divinylmethylsilane, methyltrivinylsilane, diphenyldivinylsilane, divinylphenylsilane, trivinylphenylsilane, divinylmethylphenylsilane, tetravinylsilane, dimethylvinyldisiloxane, poly(methylvin
  • the (meth)acrylate cross-linking agents and additional cross-linking agents may be used in a wide range of amounts, such as from 1 to 99%, based on the total weight of monomers and cross-linking agents. It is preferred that the amount of cross-linking agent is from 5 to 95%, more preferably from 8 to 90%, and still more preferably from 10 to 50%.
  • suitable B-staged thermoset dielectric materials useful in the present invention have a molecular weight of less than or equal to about 100,000.
  • the molecular weight of the B-staged thermoset dielectric material is from about 1000 to about 50,000, and more preferably from 1000 to 35,000. As the molecular weight of the B-staged dielectric material increases above about 35,000, it becomes increasingly difficult for the porogen particles to be compatible with the dielectric material.
  • the N-vinyl monomers or heteroatom-substituted styrene monomers be present in the porogen particles in an amount of at least 20% based on the total weight of the monomers and cross-linker, and more preferably greater than 20%, such as 25%, 30%, 35%, 40% and most preferably at least 45%.
  • the porogen particles include N-vinylpyrrolidone as polymerized units.
  • one or more different monomers may also be required to compatiblize the porogen.
  • Such monomers include, but are not limited to, vinyl benzoate, vinyl naphthalene, vinyl biphenyl and 4-allyl-2-methoxyphenol. In such cases, a (meth)acrylate cross-linking agent is still used.
  • the porogen particles include vinylanisole as polymerized units.
  • the polymers useful as porogen particles in the present invention may be prepared by a variety of polymerization techniques, such as solution polymerization or emulsion polymerization, and preferably by solution polymerization.
  • the solution polymers useful in the present invention may be copolymers or homopolymers and are cross-linked.
  • the solution polymers of the present invention may be prepared by a variety of methods, such as those disclosed in U.S. Pat. No. 5,863,996 (Graham) and U.S. patent application Ser. No. 09/460,326, both of which are hereby incorporated by reference to the extent they teach the preparation of such polymers.
  • the solution polymers of the present invention are generally prepared in a non-aqueous solvent. Suitable solvents for such polymerizations are well known to those skilled in the art. Examples of such solvents include, but are not limited to: hydrocarbons, such as alkanes, fluorinated hydrocarbons, and aromatic hydrocarbons, ethers, ketones, esters, alcohols and mixtures thereof.
  • Particularly suitable solvents include dodecane, mesitylene, xylenes, diphenyl ether, gamma-butyrolactone, ethyl lactate, propyleneglycol monomethyl ether acetate, caprolactone, 2-hepatanone, methylisobutyl ketone, diisobutylketone, propyleneglycol monomethyl ether, decanol, and t-butanol.
  • the solution polymer porogen particles of the present invention typically have a weight average molecular weight in the range of 5,000 to 1,000,000, preferably in the range of 10,000 to 500,000 and more preferably in the range of 10,000 to 100,000. These solution polymer porogen particles typically have a particle size up to about 1,000 nm, such as in the range of 0.75 to 1000 nm. It is preferred that the mean particle size of a plurality of these particles is in the range of about 0.75 to about 100 nm, more preferably from about 0.75 about 50 nm, and most preferably from about 1 nm to about 20 nm.
  • Particularly useful porogen particles are those having a mean particle size of ⁇ 10 nm, particularly ⁇ 5 nm, such as 1 nm, 2 nm or 3 nm.
  • the polydispersity of these solution polymers is in the range 1 to 20 and more preferably in the range of 1.001 to 15 and most preferably in the range of 1.001 to 10.
  • the emulsion polymers useful in the present invention are generally prepared the methods described in U.S. patent application Ser. No. 09/460,326, described above. Controlled polymerization of the monomers in these droplets produces small polymer particles, i.e. ⁇ 100 nm, and preferably extremely small polymer particles, i.e. ⁇ 50 nm in size. Emulsion polymers having other suitable mean particle sizes, such as ⁇ 45 nm, ⁇ 40 nm, ⁇ 35 nm, and ⁇ 30 nm, may be produced according to the present invention. Such polymer particles typically have a lower mean particle size of about 1 nm.
  • the present polymer particles have a mean particle size range of from 0.75 to 100 nm, and preferably from 1 to 50 nm.
  • the particle size polydispersity of these emulsion polymer particles is in the range 1.0001 to 10, more preferably 1.001 to 5, and most preferably 1.001 to 2.5.
  • the polymers of the present invention are prepared using anionic polymerization or free radical polymerization techniques. It is also preferred that the polymers useful in the present invention are not prepared by step-growth polymerization processes.
  • the porogen particles of the present invention may be directly added to the B-staged thermoset dielectric material as is or may be first purified to remove impurities that might effect the electrical or physical properties of electronic devices. Purification of the porogen particles may be accomplished either by precipitation of the porogen particles or adsorption of the impurities.
  • the cross-linked particles of the present invention useful as porogens must be dispersible, miscible or otherwise substantially compatible with the host B-staged dielectric matrix material in solution and in the thin film.
  • the porogen particles must be soluble in the same solvent or mixed solvent system as the host B-staged thermoset dielectric matrix material.
  • the porogen particles must be present within this solution as substantially discrete, substantially non-aggregated or substantially non-agglomerated particles in order to achieve the desired benefit of this invention, namely substantially uniformly dispersed pores with a size comparable to that of the porogen's size. This is accomplished by modifying the porogen particle composition such that it is “compatible” with the host B-staged thermoset dielectric matrix material.
  • porogen particles include as polymerized units at least one monomer selected from N-vinyl monomers and heteroatom-substituted styrene monomers and at least one (meth)acrylate cross-linking agent, that such porogen particles are substantially compatible with B-staged thermoset dielectric matrix materials.
  • Such porogen particles when added to B-staged thermoset dielectric materials, remain as substantially discrete, substantially non-aggregated or substantially non-agglomerated particles. In this way, porous materials are obtained containing pores having a mean pore diameter substantially equal to the mean pore diameter of the porogen particles used.
  • the present invention provides porous thermoset dielectric materials having much smaller pores, more uniformly sized pores and more uniformly dispersed pores than conventional methods.
  • porogen particles are substantially compatible, and preferably fully compatible, with the dielectric material used.
  • compatible is meant that a composition of B-staged thermoset dielectric matrix material and a plurality of porogen particles are optically transparent to visible light. It is preferred that a solution of B-staged thermoset dielectric matrix material and porogen particles, a film or layer including a composition of B-staged thermoset dielectric material and porogen particles, a composition including B-staged thermoset dielectric matrix material having porogen particles dispersed therein, and the resulting porous dielectric material after removal of the porogen particles are all optically transparent to visible light.
  • substantially compatible is meant that a composition of B-staged polyimide dielectric matrix material and a plurality of porogen particles is slightly cloudy or slightly opaque.
  • substantially compatible means at least one of a solution of B-staged thermoset dielectric matrix material and porogen particles, a film or layer including a composition of B-staged thermoset dielectric matrix material and porogen particles, a composition including B-staged thermoset dielectric matrix material having porogen particles dispersed therein, and the resulting porous thermoset dielectric material after removal of the porogen particles is slightly cloudy or slightly opaque.
  • the porogen particles must be soluble or miscible in the B-staged thermoset dielectric matrix material, in the solvent used to dissolve the B-staged thermoset dielectric matrix material or both.
  • a film or layer of a composition including the B-staged thermoset dielectric material a plurality of porogen particles and solvent is cast, such as by spin casting, much of the solvent evaporates.
  • the porogen particles must be soluble in the B-staged thermoset dielectric matrix material so that it remains substantially uniformly dispersed. If the porogen particles are not compatible, phase separation of the porogen particles from the B-staged thermoset dielectric matrix material occurs and large domains or aggregates form, resulting in an increase in the size and non-uniformity of pores.
  • Such compatible porogen particles provide cured dielectric materials having substantially uniformly dispersed pores having substantially the same sizes as the porogen particles.
  • the compatibility of the porogen particles and B-staged thermoset dielectric matrix material is typically determined by a matching of their solubility parameters, such as the Van Krevelen parameters of delta h and delta v. See, for example, Van Krevelen et al., Properties of Polymers. Their Estimation and Correlation with Chemical Structure , Elsevier Scientific Publishing Co., 1976; Olabisi et al., Polymer - Polymer Miscibility , Academic Press, NY, 1979; Coleman et al., Specific Interactions and the Miscibility of Polymer Blends , Technomic, 1991; and A. F. M. Barton, CRC Handbook of Solubility Parameters and Other Cohesion Parameters, 2 nd Ed., CRC Press, 1991.
  • Delta h is a hydrogen bonding parameter of the material and delta v is a measurement of both dispersive and polar interaction of the material.
  • solubility parameters may either be calculated, such as by the group contribution method, or determined by measuring the cloud point of the material in a mixed solvent system consisting of a soluble solvent and an insoluble solvent.
  • the solubility parameter at the cloud point is defined as the weighted percentage of the solvents.
  • a number of cloud points are measured for the material and the central area defined by such cloud points is defined as the area of solubility parameters of the material.
  • the porogen particles When the solubility parameters of the porogen particles and B-staged thermoset dielectric matrix material are substantially similar, the porogen particles will be compatible with the dielectric matrix material and phase separation and/or aggregation of the porogen particles is less likely to occur. It is preferred that the solubility parameters, particularly delta h and delta v, of the porogen particles and B-staged thermoset dielectric matrix material are substantially matched. It will be appreciated by those skilled in the art that the properties of the porogen particles that affect the particles' solubility also affect the compatibility of these particles with the B-staged thermoset dielectric matrix material. It will be further appreciated by those skilled in the art that porogen particles may be compatible with one thermoset dielectric matrix material, but not another. This is due to the difference in the solubility parameters of the different B-staged thermoset dielectric matrix materials.
  • the porogens of the present invention must be at least partially removable under conditions which do not adversely affect the dielectric matrix material, preferably substantially removable, and more preferably completely removable.
  • removable is meant that the polymer depolymerizes or otherwise breaks down into volatile components or fragments which are then removed from, or migrate out of, the dielectric material yielding pores or voids. Any procedures or conditions which at least partially remove the porogen without adversely affecting the dielectric matrix material may be used. It is preferred that the porogen is substantially removed.
  • Typical methods of removal include, but are not limited to, exposure to heat or radiation, such as, but not limited to, UV, x-ray, gamma ray, alpha particles, neutron beam or electron beam. It is preferred that the matrix material is exposed to heat or UV light to remove the porogen.
  • the porogen particles of the present invention can be thermally removed under vacuum, nitrogen, argon, mixtures of nitrogen and hydrogen, such as forming gas, or other inert or reducing atmosphere.
  • the porogen particles of the present invention may be removed at any temperature that is higher than the thermal curing temperature of the B-staged thermoset matrix material and lower than the thermal decomposition temperature of the thermoset dielectric material.
  • the porogen particles of the present invention may be removed at temperatures in the range of 150° to 500° C. and preferably in the range of 250° to 450° C.
  • the porogen particles of the present invention are removed upon heating for a period of time in the range of 1 to 120 minutes.
  • An advantage of the porogens of the present invention is that 0 to 20% by weight of the porogen remains after removal from the thermoset dielectric material.
  • the porogen polymer when a porogen of the present invention is removed by exposure to radiation, the porogen polymer is typically exposed under an inert atmosphere, such as nitrogen, to a radiation source, such as, but not limited to, visible or ultraviolet light.
  • a radiation source such as, but not limited to, visible or ultraviolet light.
  • the porogen fragments generated from such exposure are removed from the matrix material under a flow of inert gas.
  • the energy flux of the radiation must be sufficiently high to generate a sufficient number of free radicals such that porogen particle is at least partially removed. It will be appreciated by those skilled in the art that a combination of heat and radiation may be used to remove the porogens of the present invention.
  • the porogen particles described above are first dispersed within, or dissolved in, a B-staged thermoset dielectric material. Any amount of porogen particles may be combined with the B-staged thermoset dielectric matrix materials according to the present invention. The amount of porogen particles used will depend on the particular porogen employed, the particular B-staged thermoset dielectric matrix material employed, and the extent of dielectric constant reduction desired in the resulting porous dielectric material.
  • the amount of porogen particles used is in the range of from 1 to 90 wt %, based on the weight of the B-staged thermoset dielectric matrix material, preferably from 10 to 80 wt %, more preferably from 15 to 60 wt %, and still more preferably from 15 to 30 wt %.
  • a particularly useful amount of porogen is in the range of form about 1 to about 60 wt %.
  • the porogen particles of the present invention may be combined with the B-staged thermoset dielectric material by any methods known in the art.
  • the B-staged thermoset material is first dissolved in a suitable solvent, such as, but not limited to, methyl isobutyl ketone, diisobutyl ketone, 2-heptanone, ⁇ -butyrolactone, ⁇ -caprolactone, ethyl lactate propyleneglycol monomethyl ether acetate, propyleneglycol monomethyl ether, diphenyl ether, anisole, n-amyl acetate, n-butyl acetate, cyclohexanone, N-methyl-2-pyrrolidone, N,N′dimethylpropyleneurea, mesitylene, xylenes, or mixtures thereof, to form a solution.
  • the porogen particles are then dispersed or dissolved within the solution.
  • the resulting dispersion is then deposited on a substrate by methods
  • the B-staged thermoset dielectric matrix material After being deposited on a substrate, the B-staged thermoset dielectric matrix material is then substantially cured to form a film, layer or coating.
  • the dielectric matrix material is typically cured by heating at a temperature below that required for removal of the porogen. Suitable cure temperatures for the B-staged thermoset dielectric matrix material vary across a wide range but are generally from about 150° to about 455° C., preferably from about 200° to about 400° C.
  • the film is subjected to conditions which remove the porogen particles without substantially degrading the polyimide dielectric material, that is, less than 5% by weight of the dielectric material is lost.
  • conditions include exposing the film to heat and/or radiation. It is preferred that the material is exposed to heat or light to remove the porogen.
  • the dielectric material can be heated by oven heating or microwave heating. Under typical thermal removal conditions, the polymerized dielectric material is heated to about 300° to about 450° C. It will be recognized by those skilled in the art that the particular removal temperature of a thermally labile porogen will vary according to composition of the porogen.
  • porogen particles will depend upon the thermal degradation temperature of the thermoset dielectric material. Upon removal, the porogen polymer depolymerizes or otherwise breaks down into volatile components or fragments which are then removed from, or migrate out of, the dielectric matrix material yielding pores or voids, which fill up with the carrier gas used in the process. Thus, a porous thermoset dielectric material having voids is obtained, where the size of the voids is substantially the same as the particle size of the porogen. The resulting dielectric material having voids thus has a lower dielectric constant than such material without such voids.
  • the compatible, i.e., optically transparent, compositions of the present invention do not suffer from agglomeration or long range ordering of porogen materials, i.e. the porogen particles are substantially uniformly dispersed throughout the B-staged thermoset dielectric matrix material.
  • the porous thermoset dielectric materials resulting from removal of the porogen particles have substantially uniformly dispersed pores. Such substantially uniformly dispersed, very small pores are very effective in reducing the dielectric constant of the dielectric materials.
  • a further advantage of the present invention is that low dielectric constant materials are obtained having uniformly dispersed voids, a higher volume of voids than known dielectric materials and/or smaller void sizes than known dielectric materials.
  • These voids are on the order of 0.75 to 1000 nm, preferably 0.75 to 200 nm, more preferably 0.75 to 50 nm, and most preferably 1 to 20 nm.
  • Particularly suitable are pores having a mean pore size of ⁇ 10 nm, ⁇ 5 nm, ⁇ 3 nm, and ⁇ 2 nm.
  • the void size can be adjusted, from 1 to 1000 nm and above, by varying the size of the removable porogen particles.
  • the resulting porous theromoset dielectric material has low stress, less brittleness, low dielectric constant, low refractive index, improved toughness and improved compliance during mechanical contacting to require less contact force during compression.
  • the porogens of the present invention also act as impact modifiers for the thermoset materials and improve thermoset film formation as well as film properties.
  • the porogens of the present invention are compatible with B-staged thermoset material without the need for further functionalization of the porogen. It is preferred that the present porogens are not further functionalized, and particularly that they are not further surface functionalized. Also, the present porogens are not incorporated into the vitrifying polymer, i.e. the porogens are not copolymerized with the B-staged thermoset dielectric material.
  • the present porogen particles are compatibilized with the B-staged thermoset matrix material by appropriate choice of monomer, cross-linking agent or both.
  • the present porogens can be mixed or blended with the B-staged thermoset material without macroscopic phase separation. Phase separation typically results in a visually detectable second layer, i.e. the compositions are opaque.
  • compositions of the present invention containing porogen particles in a thermoset dielectric matrix material are substantially non-phase separated and preferably are not phase separated.
  • phase separation of the porogens is prevented according to the present invention by compatibilizing the porogens with the thermoset dielectric matrix material.
  • compatibilization which is based on solubility, is achieved by choice of monomers used to prepare the porogen, not by immobilizing (i.e. copolymerizing) the porogen in the matrix polymer.
  • the porous thermoset dielectric material made by the process of the present invention is suitable for use in any application where a low refractive index or low dielectric constant material may be used.
  • a low refractive index or low dielectric constant material may be used.
  • the porous dielectric material of the present invention is a thin film, it is useful as insulators, anti-reflective coatings, sound barriers, thermal breaks, insulation, optical coatings and the like.
  • the porous thermoset dielectric materials of the present invention are preferably useful in electronic and optoelectronic devices including, but not limited to, the fabrication of multilevel integrated circuits, e.g. microprocessors, digital signal processors, memory chips and band pass filters, thereby increasing their performance and reducing their cost.
  • the porous thermoset dielectric materials of the present invention are particularly suitable for use in integrated circuits, optoelectronic devices and wireless devices such as mobile telephones.
  • the present porous thermosets are suitable used on a variety of substrates, such as, but not limited to, gallium arsenide, silicon-germanium, silicon-on-insulator, silicon, alumina, aluminum-nitride, printed wiring boards, flexible circuits, multichip modules, flip chips, copper, copper alloys, aluminum, high dielectric materials, low dielectric materials, resistors, barrier layers such as titanium or tantalum nitride, etch stop or cap layers such as silicon nitride, silicon oxide or silicon oxycarbide, and the like. It will be appreciated that an overlayer may be applied to such porous thermosets in certain applications.
  • a layer of a composition including B-staged thermoset dielectric matrix material having a plurality of cross-linked polymeric porogen dispersed or dissolved therein and optionally a solvent is deposited on a substrate.
  • Suitable deposition methods include spin casting, spray casting and doctor blading.
  • Suitable optional solvents include, but are not limited to: methyl isobutyl ketone, diisobutyl ketone, 2-heptanone, ⁇ -butyrolactone, ⁇ -caprolactone, ethyl lactate propyleneglycol monomethyl ether acetate, propyleneglycol monomethyl ether, diphenyl ether, anisole, n-amyl acetate, n-butyl acetate, cyclohexanone, N-methyl-2-pyrrolidone, N,N′-dimethylpropyleneurea, mesitylene, xylenes or mixtures thereof.
  • Suitable substrates include, but are not limited to: silicon, silicon dioxide, silicon oxycarbide, silicon germanium, silicon-on-insulator, glass, silicon nitride, ceramics, aluminum, copper, gallium arsenide, plastics, such as polycarbonate, circuit boards, such as FR-4 and polyimide, and hybrid circuit substrates, such as aluminum nitride-alumina.
  • Such substrates may further include thin films deposited thereon, such films including, but not limited to: metal nitrides, metal carbides, metal suicides, metal oxides, and mixtures thereof.
  • an underlying layer of insulated, planarized circuit lines can also function as a substrate.
  • thermoset matrix material In a second step in the manufacture of integrated circuits, the B-staged thermoset matrix material is cured to form a thermoset dielectric matrix material. In a third step, the resulting cured thermoset dielectric matrix material is then subjected to conditions such that the porogen particles contained therein is substantially removed without adversely affecting the dielectric material to yield a porous thermoset dielectric material.
  • the porous thermoset dielectric material is then lithographically patterned to form vias and/or trenches in subsequent processing steps.
  • the trenches generally extend to the substrate and connect to at least one metallic via.
  • lithographic patterning involves (i) coating the dielectric material layer with a positive or negative photoresist, such as those marketed by Shipley Company (Marlborough, Mass.); (ii) imagewise exposing, through a mask, the photoresist to radiation, such as light of appropriate wavelength or e-beam; (iii) developing the image in the resist, e.g., with a suitable developer; and (iv) transferring the image through the dielectric layer to the substrate with a suitable transfer technique such as reactive ion beam etching.
  • an antireflective composition may be disposed on the dielectric material prior to the photoresist coating.
  • Such lithographic patterning techniques are well known to those skilled in the art.
  • a metallic film is then deposited onto the patterned dielectric layer to fill the trenches.
  • Preferred metallic materials include, but are not limited to: copper, tungsten, gold, silver, aluminum or alloys thereof.
  • the metal is typically deposited onto the patterned dielectric layer by techniques well known to those skilled in the art. Such techniques include, but are not limited to: chemical vapor deposition (“CVD”), plasma-enhanced CVD, combustion CVD (“CCVD”), electro and electroless deposition, sputtering, or the like.
  • CVD chemical vapor deposition
  • CCVD combustion CVD
  • electro and electroless deposition electro and electroless deposition, sputtering, or the like.
  • a metallic liner such as a layer of nickel, tantalum, titanium, tungsten, or chromium, including nitrides or silicides thereof, or other layers such as barrier or adhesion layers, e.g. silicon nitride or titanium nitride, is deposited on the
  • excess metallic material is removed, e.g. by planarizing the metallic film, so that the resulting metallic material is generally level with the patterned dielectric layer.
  • Planarization is typically accomplished with chemical/mechanical polishing or selective wet or dry etching. Such planarization methods are well known to those skilled in the art.
  • a vinylanisole containing cross-linked porogen was prepared by polymerizing the monomers vinylanisole/styrene/trimethylolpropane triacrylate in a weight ratio of 45/45/10.
  • the BCB/porogen film was clear and free of visible defects, striations or haze.
  • the wafer was then heated to 150° C. on a brass hot plate for 1 minute to remove excess solvent.
  • the film was then again visually examined and was clear and free of visible defects, striations or haze.
  • the porogen was compatible with the B-staged BCB dielectric material.
  • the compatibility of a number of porogen samples from Example 2 in B-staged polyarylene ether dielectric materials in cyclohexanone was determined.
  • the B-staged polyarylene ether material was either V ELOX A poly(arylene ether) (“Polyarylene ether A”) or V ELOX N poly(arylene ether) (“Polyarylene ether N”), both available from Air Products/Shumacher. Both commercially available B-staged materials had a molecular weight of approximately 50,000.
  • Compatibility determinations were performed by visually inspecting a film of the B-staged polyarylene ether dielectric material and porogen that was spun cast on a silicon wafer at 1000 rpm.
  • the porogen was loaded into the B-staged polyarylene dielectric material at ca. 50% by weight with a total solids content of ca. 20%.
  • the film thicknesses were from 0.9 to 2 ⁇ m. All visual inspections were by naked-eye under daylight.
  • Film compatibility of the porogen in the polyarylene ether dielectric material was determined after removal of the solvent, but before removal of the porogen. The compatibility results are reported in Table 2.
  • Porogen Samples A and B were compatible with both polyarylene ether samples.
  • Porogen Sample C which contained the same components as Porogen Sample A, did not contain a sufficient amount of NVP to compatiblize the porogen with the high molecular weight (ca. 50,000) B-staged polyarylene ether.

Abstract

Porous thermoset dielectric materials having low dielectric constants useful in electronic component manufacture are provided along with methods of preparing the porous thermoset dielectric materials. Also provided are methods of forming integrated circuits containing such porous thermoset dielectric material.

Description

    BACKGROUND OF THE INVENTION
  • This invention relates generally to porous materials. In particular, this invention relates to the preparation and use of porous films containing thermoset materials and having a low dielectric constant.
  • As electronic devices become smaller, there is a continuing desire in the electronics industry to increase the circuit density in electronic components, e.g., integrated circuits, circuit boards, multichip modules, chip test devices, and the like without degrading electrical performance, e.g., crosstalk or capacitive coupling, and also to increase the speed of signal propagation in these components. One method of accomplishing these goals is to reduce the dielectric constant of the interlayer, or intermetal, insulating material used in the components. A method for reducing the dielectric constant of such interlayer, or intermetal, insulating material is to incorporate within the insulating film very small, uniformly dispersed pores or voids.
  • Porous dielectric matrix materials are well known in the art. One known process of making a porous dielectric involves co-polymerizing a thermally labile monomer with a dielectric monomer to form a block copolymer, followed by heating to decompose the thermally labile monomer unit. See, for example, U.S. Pat. No. 5,776,990. In this approach, the amount of the thermally labile monomer unit is limited to amounts less than about 30% by volume. If more than about 30% by volume of the thermally labile monomer is used, the resulting dielectric material has cylindrical or lamellar domains, instead of pores or voids, which lead to interconnected or collapsed structures upon removal, i.e., heating to degrade the thermally labile monomer unit. See, for example, Carter et. al., Polyimide Nanofoams from Phase-Separated Block Copolymers, Electrochemical Society Proceedings, volume 97-8, pages 32-43 (1997). Thus, the block copolymer approach provides only a limited reduction in the dielectric constant of the matrix material.
  • Another known process for preparing porous dielectric materials disperses thermally removable particles in a dielectric precursor, polymerizing the dielectric precursor without substantially removing the particles, followed by heating to substantially remove the particles, and, if needed, completing the curing of the dielectric material. See, for example, U.S. Pat. No. 5,700,844. In the '844 patent, uniform pore sizes of 0.5 to 20 microns are achieved. However, this methodology is unsuitable for such electronic devices as integrated circuits where feature sizes are expected to go below 0.25 microns.
  • Copending U.S. patent application Ser. No. 09/460,326 (Allen et al.), discloses porogen particles that are substantially compatibilized with B-staged dielectric matrix materials. However, this patent application does not broadly teach how to prepare porous dielectric layers containing polyarylene materials.
  • Polyarylenes are well known dielectric materials. For example, U.S. Pat. No. 6,093,636 (Carter et al.) discloses a method for forming an integrated circuit containing a porous high temperature thermoset, such as a polyarylene. Such porous thermosets are prepared by using as pore forming material highly branched aliphatic esters that have functional groups that are further functionalized with appropriate reactive groups such that the functionalized aliphatic esters are incorporated into, i.e. copolymerized with, the vitrifying polymer matrix. Such incorporation of the pore forming material into the matrix restricts the mobility of the pore forming material, i.e. incorporation prevents phase separation of the pore forming material from the matrix. By restricting such mobility, the size of the phase-separated domains is also restricted. Also, the '636 patent does not teach how to prepare porous thermoset dielectric materials having a mean pore diameter≦10 nm, such as a diameter in the range of 0.75 to 8 nm.
  • International Patent Application WO 00/31183 (Bruza et al.) discloses a porous cross-linked thermoset dielectric matrix material, such as a polyarylene. This patent application discloses a number of porogens, such as solvents and polymers, particularly cross-linkable polymers. Suitable cross-linkable polymers are those that react to copolymerize with the thermoset dielectric matrix material. Suitable polymers useful as porogens include dendrimers, hyperbranched polymer systems and cross-linked latex particles. This patent application does not teach how to prepare porous thermoset dielectric materials having a mean pore diameter≦10 nm, such as a diameter in the range of 0.75 to 8 nm, nor how to prepare such porous materials where the porogens are substantially free of aggregation or agglomeration and without copolymerization with the dielectric matrix materials.
  • Other methods of preparing porous dielectric materials are known, but suffer from broad distributions of pore sizes, too large pore size, such as greater than 20 microns, or technologies that are too expensive for commercial use, such as liquid extractions under supercritical conditions.
  • There is thus a need for improved porous thermoset dielectric matrix materials with substantially smaller pore sizes and a greater percent by volume of pores for use in electronic components, and in particular, as an interlayer, or intermetal, dielectric material for use in the fabrication of integrated circuits. There is also a need for porous thermoset dielectric materials where the volume fraction of pores in the film is equivalent to the volume fraction of pore forming material.
  • SUMMARY OF THE INVENTION
  • It has now been surprisingly found that certain polymeric particles (or porogens) incorporated into thermoset dielectric matrix provide porous films having a suitable dielectric constant and sufficiently small pore size for use as insulating material in electronic devices such as integrated circuits and printed wiring boards. Such polymeric particles provide thermoset dielectric matrix material having a greater percentage of pores by volume and more uniformly dispersed pores than are available from known approaches.
  • In a first aspect, the present invention is directed to a method of preparing porous thermoset dielectric materials including the steps of: a) dispersing a plurality of removable cross-linked polymeric porogen particles in B-staged thermoset dielectric matrix material; b) forming a film of the B-staged thermoset dielectric matrix material; c) curing the B-staged thermoset dielectric matrix material to form a thermoset dielectric matrix material; and d) subjecting the thermoset dielectric matrix material to conditions which at least partially remove the porogen particles to form a porous thermoset dielectric material without substantially degrading the thermoset dielectric material; wherein the thermoset dielectric material is selected from the group consisting of benzocyclobutenes and polyarylenes; wherein the porogen particles are substantially compatible with the B-staged thermoset dielectric matrix material and wherein the porogen particles include as polymerized units one or more monomers selected from the group consisting of N-vinyl monomers and heteroatom-substituted styrene monomers and at least one (meth)acrylate cross-linking agent.
  • In a second aspect, the present invention is directed to porous thermoset dielectric materials prepared by the method described above.
  • In a third aspect, the present invention is directed to a method of preparing an integrated circuit including the steps of: a) depositing on a substrate a layer of a composition including B-staged thermoset dielectric matrix material having a plurality of cross-linked polymeric porogen particles dispersed therein; b) curing the B-staged thermoset dielectric matrix material to form a thermoset dielectric matrix material; c) subjecting the thermoset dielectric matrix material to conditions which at least partially remove the porogen particles to form a porous thermoset dielectric material layer without substantially degrading the thermoset dielectric material; d) patterning the thermoset dielectric layer; e) depositing a metallic film onto the patterned dielectric layer; and f) planarizing the film to form an integrated circuit; wherein the thermoset dielectric material is selected from the group consisting of benzocyclobutenes and polyarylenes; wherein the porogen particles are substantially compatible with the B-staged thermoset dielectric matrix material and wherein the porogen particles include as polymerized units one or more monomers selected from the group consisting of N-vinyl monomers and heteroatom-substituted styrene monomers and at least one (meth)acrylate cross-linking agent.
  • In a fourth aspect, the present invention is directed to an integrated circuit prepared by the method described above.
  • In a fifth aspect, the present invention is directed to a composition including B-staged thermoset dielectric matrix material and a plurality of cross-linked polymeric porogen particles; wherein the thermoset dielectric material is selected from the group consisting of benzocyclobutenes and polyarylenes; wherein the porogen particles are substantially compatible with the B-staged thermoset dielectric matrix material and wherein the porogen particles include as polymerized units one or more monomers selected from the group consisting of N-vinyl monomers and heteroatom-substituted styrene monomers and at least one (meth)acrylate cross-linking agent.
  • DETAILED DESCRIPTION OF THE INVENTION
  • As used throughout this specification, the following abbreviations shall have the following meanings, unless the context clearly indicates otherwise: ° C.=degrees centigrade; μm=micron=micrometer; UV=ultraviolet; rpm=revolutions per minute; nm=nanometer; cm=centimeter; g=gram; wt %=weight percent; L=liter; mL=milliliter; STY=styrene; NVP=N-vinyl-pyrrolidone; NVPIM=N-vinylphthalimide; TMPTA=trimethylolpropane triacrylate; TMPTMA=trimethylolpropane trimethacrylate; 4FSTY=4-fluorostyrene; and VAS=4-vinylanisole.
  • The term “(meth)acrylic” includes both acrylic and methacrylic and the term “(meth)acrylate” includes both acrylate and methacrylate. Likewise, the term “(meth)acrylamide” refers to both acrylamide and methacrylamide. “Alkyl” includes straight chain, branched and cyclic alkyl groups. The term “porogen” refers to a pore forming material, that is a polymeric material or particle dispersed in a dielectric material that is subsequently removed to yield pores, voids or free volume in the dielectric material. Thus, the terms “removable porogen,” “removable polymer” and “removable particle” are used interchangeably throughout this specification. The terms “pore,” “void” and “free volume” are used interchangeably throughout this specification. “Cross-linker” and “cross-linking agent” are used interchangeably throughout this specification and refer to a monomer containing two or more polymerizable sites, such as double or triple bonds. “Polymer” refers to polymers and oligomers, and also includes homopolymers and copolymers. The terms “oligomer” and “oligomeric” refer to dimers, trimers, tetramers and the like. “Monomer” refers to any ethylenically or acetylenically unsaturated compound capable of being polymerized. Such monomers may contain one or more double or triple bonds. “Halo” refers to fluoro, chloro, bromo and iodo. Likewise, “halogenated” refers to fluorinated, chlorinated, brominated and iodinated.
  • The term “B-staged” refers to uncured thermoset dielectric matrix materials. By “uncured” is meant any thermoset material that can be polymerized or cured to form higher molecular weight materials, such as coatings or films. Such B-staged material may be monomeric, oligomeric or mixtures thereof. B-staged material is further intended to include mixtures of polymeric material with monomers, oligomers or a mixture of monomers and oligomers. “Polyarylene” as used herein is intended to describe a wide variety of thermosetting resins or polymers having backbones containing arylene units. Such polyarylenes include polyarylene ethers.
  • Unless otherwise noted, all amounts are percent by weight and all ratios are by weight. All numerical ranges are inclusive and combinable in any order, except where it is obvious that such numerical ranges are constrained to add up to 100%.
  • The present invention relates to the synthesis, composition, size, distribution and purity of polymer particles useful as removable porogens, i.e., pore forming material. Such porogens are useful for forming porous thermoset dielectric materials in the fabrication of electronic and optoelectronic devices.
  • The present invention relates to a method of preparing porous thermoset dielectric materials including the steps of: a) dispersing a plurality of removable cross-linked polymeric porogen particles in B-staged thermoset dielectric material to form B-staged thermoset dielectric matrix material; b) forming a film of the B-staged thermoset dielectric matrix material; c) curing the B-staged thermoset dielectric matrix material to form a thermoset dielectric matrix material; and c) subjecting the thermoset dielectric matrix material to conditions which at least partially remove the porogen particles to form a porous thermoset dielectric material without substantially degrading the thermoset dielectric material; wherein the thermoset dielectric material is selected from the group consisting of benzocyclobutenes and polyarylenes; wherein the porogen particles are substantially compatible with the B-staged thermoset dielectric matrix material and wherein the porogen particles include as polymerized units one or more monomers selected from the group consisting of N-vinyl monomers and heteroatom-substituted styrene monomers and at least one (meth)acrylate cross-linking agent.
  • The porogens of the present invention are useful in reducing the dielectric constant of thermoset dielectric materials, particularly those materials having low dielectric constants (“k”). A low-k dielectric material is any material having a dielectric constant less than about 4.
  • Thermoset dielectric materials useful in the present invention include benzocyclobutenes, polyarylenes and mixtures thereof. Polyarylenes include polyarylene ethers. Suitable benzocyclobutenes include, but are not limited to, those disclosed in U.S. Pat. Nos. 4,540,763 and 4,812,588. A particularly suitable benzocyclobutene is 1,3-bis(2-bicyclo[4.2.0]octa-1,3,5trien-3-ylethynyl)-1,1,3,3-tetramethyldisiloxane, sold under the tradename CYCLOTENE by the Dow Chemical Company (Midland, Mich.).
  • A wide variety of polyarylenes and polyarylene ethers may be used in the present invention. Suitable polyarylenes may be synthesized from precursors such as ethynyl aromatic compounds of the formula:
    Figure US20050255710A1-20051117-C00001

    wherein each Ar is an aromatic group or inertly-substituted aromatic group: each R is independently hydrogen, an alkyl, aryl or inertly-substituted alkyl or aryl group; L is a covalent bond or a group which links one Ar to at least one other Ar; n and m are integers of at least 2; and q is an integer of at least 1. As such, the ethynyl aromatic compounds of the invention typically have four or more ethynyl groups (for example, tetraethynyl aromatic compounds) and are useful as monomers in the preparation of polymers, including their oligomeric precursors.
  • In another aspect, the polyarylenes used in the invention may include a polymer including as polymerized units:
    Figure US20050255710A1-20051117-C00002

    wherein Ar′ is the residual of the reaction of product of (C≡C)n—Ar or Ar—(C≡C)m moieties and R, L, n and m are as defined above.
  • In another embodiment, the polyarylene copolymers of the invention include as polymerized units a monomer having the formula:
    Figure US20050255710A1-20051117-C00003

    wherein Ar′ and R are as defined above.
  • Exemplary polyarylenes include, but are not limited to, those wherein Ar-L-Ar are: biphenyl; 2,2-diphenyl propane; 9,9′-diphenyl fluorene; 2,2-diphenyl hexafluoro propane; diphenyl sulfide; oxydiphenylene; diphenyl ether; bis(phenylene)diphenylsilane; bis(phenylene) phosphine oxide; bis(phenylene)benzene; bis(phenylene)naphthalene; bis(phenylene)enthracene; thiodiphenylene; 1,1,1-triphenyleneethane; 1,3,5-triphenylenebenzene; 1,3,5-(2-phenylene-2-propyl)benzene; 1,1,1-triphenylenemethane; 1,1,2,2-tetraphenylene-1,2-diphenylethane; bis(1,1-diphenyleneethyl)benzene; 2,2′-diphenylene-1,1,1,3,3,3-hexafluoropropane; 1,1-diphenylene-1-phenylethane; naphthalene; anthracene; or bis(phenylene)napthacene; more preferably biphenylene; naphthylene; p,p′(2,2-diphenylene propane) (i.e., —C6H4—C(CH3)2—C6H4—); p,p′-(2,2-diphenylene-1,1,1,3,3,3hexafluoropropene) and (—C6H4—C(CF3)2—C6H4—).
  • Useful bis-phenyl derivatives include 2,2-diphenyl propane; 9,9′-diphenyl fluorene; 2,2-diphenyl hexafluoro propane; diphenyl sulfide; diphenyl ether; bis(phenylene)diphenylsilane; bis(phenylene)phosphine oxide; bis(phenylene)benzene; bis(phenylene)naphthalene; bis(phenylene)anthracene; or bis(phenylene)napthacene.
  • The ethynyl groups on each Ar are either on adjacent carbon atoms or are vinylogously conjugated within the ring. It is believed that they dimerize upon application of heat to form an aromatic ring having a 1,4-diradical which serves to polymerize and/or cross-link the compound. While not being bound by theory, it is believed that this dimerization occurs via Bergman cyclization such as disclosed by Warner, et al. in Science, 268, Aug. 11, 1995, pp. 814-816.
  • The ethynyl aromatic monomer precursors to thermosetting polyarylenes are preferably bis(o-diethynyl) monomers (also referred to as BODA (bis(ortho-diacetylene)monomers)), which means there are at least two sets of adjacent substituted or vinylogously conjugated ethynyl groups on the monomer, that is, at least one set of ethynyl groups on each Ar group. Preferably, the ethynyl aromatic compound contains from 2 to 4, most preferably 2 or 3, diethynyl sets, most preferably, except when additional cross-linking is desired, two sets (i.e., four) of ethynyl groups.
  • The polyarylene precursor monomers may be prepared by a variety of methods known in the art, such as by: (a) selectively halogenating, preferably in a solvent, a polyphenol (preferably a bisphenol) to selectively halogenate, preferably brominate, each phenolic ring with one halogen on one of the two positions ortho to the phenolic hydroxyl group; (b) converting the phenolic hydroxyl on the resulting poly(ortho-halophenol), preferably in a solvent, to a leaving group such as a sulfonate ester (for example, a trifluoromethanesulfonate ester prepared from trifluoromethanesulfonyl halide or trifluoromethane sulfonic acid anhydride) which is reactive with and replaced by terminal ethynyl compounds; and (c) reacting the reaction product of step (b) with an ethynyl-containing compound or an ethynyl synthon in the presence of an aryl ethynylation, preferably palladium, catalyst and an acid acceptor to simultaneously replace the halogen and the trifluoromethylsulfonate with an ethynyl-containing group (for example, acetylene, phenylacetylene, substituted phenylacetylene or substituted acetylene). Further explanation of this synthesis is provided in PCT patent application WO 97/10193 (Babb).
  • The ethynyl aromatic monomers of Formula (D are useful to prepare polymers of either Formula (II) or (III). While not being bound by theory, it is believed that the ethynyl groups, specifically those of ortho orientation, on the aromatic ring cyclize upon heating, forming a dehydro aromatic ring which reacts to form a polymer chain. Monomers with more than two ortho ethynyl groups (that is, more than one set of ethynyl groups) are used to form thermoset polymers and depending on the concentration of monomer having more than one set of ortho-ethynyl groups may contain from almost none (that is, a polymer having essentially repeat units of Formula (II) only to substantial segments of linear polymer chain structure (that is, a polymer of Formula (III)).
  • Polymerization of the ethynyl aromatic monomers is well within the ability of one skilled in the art. Typically, polymerization is achieved thermally and will generally occur at a temperature more than 150° C., but polymerization temperatures are preferably at least 180° C., and more preferably at least 210° C. The polymerization temperature preferably does not exceed that temperature which would result in undesirable degradation of the resulting polymer, which means polymerization is generally conducted at a temperature less than 300° C. for monomers having benzylic hydrogen atoms, and, for monomers not having a benzylic hydrogen, less than 450° C., preferably less than 400° C., and more preferably less than 350° C. The polymerization temperature will vary with Ar-L-Ar and R, with smaller R groups like H generally requiring lower temperatures than larger R groups, and more conjugated Ar and R (when aromatic) groups requiring lower temperatures than less conjugated Ar and R groups. For example, when R or Ar is anthracene, the polymerization is more advantageously conducted at a lower temperature than when Ar or R is phenyl. Polymerization is conveniently conducted at atmospheric pressure, but pressures higher or lower than atmospheric pressure can be employed.
  • The polymerization may be conducted in the presence of agents for controlling (accelerating) the cyclization reaction such as free radical initiators, or the chlorides disclosed by Warner, et al. in Science 269, pp. 814-816 (1995) can be employed in the polymerization reaction. While the specific conditions of polymerization are dependent on a variety of factors including the specific ethynyl aromatic monomer(s) being polymerized and the desired properties of the resulting polymer, in general, the conditions of polymerization are detailed in PCT application WO 97/10193 (Babb).
  • Particularly suitable polyarylenes for use in the present invention include those sold as SiLK™ Semiconductor Dielectric (available from The Dow Chemical Company), FLARE™ dielectric (available from Honeywell), and VELOX™ poly(arylene ether) (available from Air Products/Shumacher). Other particularly suitable polyarylenes include those disclosed in WO 00/31183, WO 98/11149; WO 97/10193, WO 91/09081, EP 755 957, and U.S. Pat. Nos. 5,115,082; 5,155,175; 5,179,188; 5,874,516; and 6,093,636, all herein incorporated by reference to the extent they teach polyarylene thermosets.
  • It will be appreciated that a mixture of dielectric materials may be used in the present invention, such as two or more thermoset dielectric materials or a mixture of a thermoset dielectric material with one or more other dielectric materials, i.e. not a thermoset dielectric material. Suitable other dielectric materials include, but are not limited to, inorganic materials such as organo polysilicas, carbides, oxides, nitrides and oxyfluorides of silicon, boron, or aluminum; and organic matrix materials such as poly(aryl esters), poly(ether ketones), polycarbonates, polynorbornenes, poly(arylene ethers), poly(perfluorinated hydrocarbons) such as poly(tetrafluoroethylene), and polybenzoxazoles. Thus, the porogens of the present invention may be combined with a thermoset/other dielectric material mixture to form a thermoset/other dielectric matrix composite material.
  • The porogen polymers of the present invention are cross-linked particles and have a molecular weight or particle size suitable for use as a modifier in advanced interconnect structures in electronic devices. Typically, the useful mean particle size range for a plurality of these particles for such applications is up to about 1,000 nm, such as that having a mean particle size in the range of about 0.75 to about 1000 nm. It is preferred that the mean particle size is in the range of about 0.75 to about 200 nm, more preferably from about 0.75 to about 50 nm, and most preferably from about 1 nm to about 20 nm. An advantage of the present process is that the size of the pores formed in the dielectric matrix are substantially the same size, i.e., dimension, as the size of the removed porogen particles used. Thus, the porous dielectric material made by the process of the present invention has substantially uniformly dispersed pores with substantially uniform pore sizes having a mean pore size in the range of from 0.75 to 1000 nm, preferably 0.75 to 200 nm, more preferably 0.75 and 50 nm and most preferably 1 to 20 nm. Particularly suitable pore sizes are ≦10 nm, such as ≦5 nm, ≦3 nm and ≦2 nm.
  • The cross-linked polymeric porogens include as polymerized units at least one monomer selected from N-vinyl monomers and heteroatom-substituted styrene monomers. N-vinyl monomers suitable for use in the present invention include, but are not limited to: vinylpyridines such as 2-vinylpyridine or 4-vinylpyridine; (C1-C8)alkyl substituted N-vinyl pyridines such as 2-methyl-5-vinyl-pyridine, 2-ethyl-5-vinylpyridine, 3-methyl-5-vinylpyridine, 2,3-dimethyl-5-vinyl-pyridine, and 2-methyl-3-ethyl-5-vinylpyridine; N-vinylcaprolactam; N-vinylbutyrolactam; N-vinylpyrrolidone; vinyl imidazole; N-vinyl carbazole; N-vinyl-succinimide; N-vinyl-oxazolidone; N-vinylphthalimide; N-vinyl-pyrrolidones such as N-vinyl-thio-pyrrolidone, 3-methyl-1-vinyl-pyrroli done, 4-methyl-1-vinyl-pyrroli done, 5-methyl-1-vinyl-pyrrolidone, 3-ethyl-1-vinyl-pyrrolidone, 3-butyl-1-vinyl-pyrrolidone, 3,3-dimethyl-1-vinyl-pyrrolidone, 4,5-dimethyl-1-vinyl-pyrrolidone, 5,5-dimethyl-1-vinyl-pyrrolidone, 3,3,5-trimethyl-1-vinyl-pyrrolidone, 4-ethyl-1-vinyl-pyrrolidone, 5-methyl-5-ethyl-1-vinyl-pyrrolidone and 3,4,5-trimethyl-1-vinyl-pyrrolidone; vinyl pyrroles; vinyl anilines; and vinyl piperidines. Preferred N-vinyl monomers are N-vinylpyrrolidone and N-vinylphthalimide.
  • Heteroatom-substituted styrene monomers useful in the present invention are any styrene monomers having one or more of the aromatic hydrogens replaced with a heteroatom-containing substituent. Suitable heteroatom-containing substituents include, but are not limited to, (C1-C10)alkoxy, halo, amino, (C1-C10)alkylamino, di(C1-C10)alkylamino, nitro, cyano, carboxy, halo(C1-C10)alkyl, carb(C1-C10)alkoxy and the like. Exemplary heteroatom-substituted styrene monomers include, but are not limited to, vinylanisole, o-, m-, or p-aminostyrene, 4-fluorostyrene, 3-fluorostyrene, vinyldimethoxybenzene, and the like. Preferred heteroatom substituted styrene monomers are vinylanisole, and o-, m-, or p-aminostyrene, and more preferably vinylanisole.
  • The amount of N-vinyl monomers or heteroatom-substituted styrene monomers of the present invention is typically from about 1 to about 99% wt, based on the total weight of the monomers used. It is preferred that these monomers are present in an amount of from 1 to about 90% wt, and more preferably from about 5 to about 90% wt. It will be appreciated that a mixture of N-vinyl monomers and heteroatom-substituted styrene monomers may be used in the present porogens.
  • In addition to the N-vinyl monomers or heteroatom-substituted styrene monomers, the present porogens may further contain as polymerized units one or more ethylenically or acetylenically unsaturated monomers, including, but not limited to, (meth)acrylic acid, (meth)acrylamides, alkyl (meth)acrylates, alkenyl (meth)acrylates, aromatic (meth)acrylates, vinyl aromatic monomers, nitrogen-containing compounds, substituted ethylene monomers, and poly(alkylene oxide) monomers.
  • Typically, the alkyl (meth)acrylates useful in the present invention are (C1-C24)alkyl (meth)acrylates. Suitable alkyl (meth)acrylates include, but are not limited to, “low cut” alkyl (meth)acrylates, “mid cut” alkyl (meth)acrylates and “high cut” alkyl (meth)acrylates.
  • “Low cut” alkyl (meth)acrylates are typically those where the alkyl group contains from 1 to 6 carbon atoms. Suitable low cut alkyl (meth)acrylates include, but are not limited to: methyl methacrylate (“MMA”), methyl acrylate, ethyl acrylate, propyl methacrylate, butyl methacrylate (“BMA”), butyl acrylate (“BA”), isobutyl methacrylate (“IBMA”), hexyl methacrylate, cyclohexyl methacrylate, cyclohexyl acrylate and mixtures thereof.
  • “Mid cut” alkyl (meth)acrylates are typically those where the alkyl group contains from 7 to 15 carbon atoms. Suitable mid cut alkyl (meth)acrylates include, but are not limited to: 2-ethylhexyl acrylate (“EHA”), 2-ethylhexyl methacrylate, octyl methacrylate, decyl methacrylate, isodecyl methacrylate (“IDMA”, based on branched (C10)alkyl isomer mixture), undecyl methacrylate, dodecyl methacrylate (also known as lauryl methacrylate), tridecyl methacrylate, tetradecyl methacrylate (also known as myristyl methacrylate), pentadecyl methacrylate and mixtures thereof. Particularly useful mixtures include dodecyl-pentadecyl methacrylate (“DPMA”), a mixture of linear and branched isomers of dodecyl, tridecyl, tetradecyl and pentadecyl methacrylates; and lauryl-myristyl methacrylate (“LMA”).
  • “High cut” alkyl (meth)acrylates are typically those where the alkyl group contains from 16 to 24 carbon atoms. Suitable high cut alkyl (meth)acrylates include, but are not limited to: hexadecyl methacrylate, heptadecyl methacrylate, octadecyl methacrylate, nonadecyl methacrylate, cosyl methacrylate, eicosyl methacrylate and mixtures thereof. Particularly useful mixtures of high cut alkyl (meth)acrylates include, but are not limited to: cetyl-eicosyl methacrylate (“CEMA”), which is a mixture of hexadecyl, octadecyl, cosyl and eicosyl methacrylate; and cetyl-stearyl methacrylate (“SMA”), which is a mixture of hexadecyl and octadecyl methacrylate.
  • The mid-cut and high-cut alkyl (meth)acrylate monomers described above are generally prepared by standard esterification procedures using technical grades of long chain aliphatic alcohols, and these commercially available alcohols are mixtures of alcohols of varying chain lengths containing between 10 and 15 or 16 and 20 carbon atoms in the alkyl group. Examples of these alcohols are the various Ziegler catalyzed ALFOL alcohols from Vista Chemical company, i.e., ALFOL 1618 and ALFOL 1620, Ziegler catalyzed various NEODOL alcohols from Shell Chemical Company, i.e. NEODOL 25L, and naturally derived alcohols such as Proctor & Gamble's TA-1618 and CO-1270. Consequently, for the purposes of this invention, alkyl (meth)acrylate is intended to include not only the individual alkyl (meth)acrylate product named, but also to include mixtures of the alkyl (meth)acrylates with a predominant amount of the particular alkyl (meth)acrylate named.
  • The alkyl (meth)acrylate monomers useful in the present invention may be a single monomer or a mixture having different numbers of carbon atoms in the alkyl portion. Also, the (meth)acrylamide and alkyl (meth)acrylate monomers useful in the present invention may optionally be substituted. Suitable optionally substituted (meth)acrylamide and alkyl (meth)acrylate monomers include, but are not limited to: hydroxy(C2-C6)alkyl (meth)acrylates, dialkylamino(C2-C6)alkyl (meth)acrylates, dialkylamino(C2-C6)alkyl (meth)acrylamides.
  • Useful substituted alkyl (meth)acrylate monomers are those with one or more hydroxyl groups in the alkyl radical, especially those where the hydroxyl group is found at the β-position (2-position) in the alkyl radical. Hydroxyalkyl (meth)acrylate monomers in which the substituted alkyl group is a (C2-C6)alkyl, branched or unbranched, are preferred. Suitable hydroxyalkyl (meth)acrylate monomers include, but are not limited to: 2-hydroxyethyl methacrylate (“HEMA”), 2-hydroxyethyl acrylate (“HEA”), 2-hydroxypropyl methacrylate, 1-methyl-2-hydroxyethyl methacrylate, 2-hydroxy-propyl acrylate, 1-methyl-2-hydroxyethyl acrylate, 2-hydroxybutyl methacrylate, 2-hydroxybutyl acrylate and mixtures thereof.
  • Other substituted (meth)acrylate and (meth)acrylamide monomers useful in the present invention are those with a dialkylamino group or dialkylaminoalkyl group in the alkyl radical. Examples of such substituted (meth)acrylates and (meth)acrylamides include, but are not limited to: dimethylaminoethyl methacrylate, dimethylaminoethyl acrylate, N,N-dimethylaminoethyl methacrylamide, N,N-dimethyl-aminopropyl methacrylamide, N,N-dimethylaminobutyl methacrylamide, N,N-di-ethylaminoethyl methacrylamide, N,N-diethylaminopropyl methacrylamide, N,N-diethylaminobutyl methacrylamide, N-(1,1-dimethyl-3-oxobutyl) acrylamide, N-(1,3-diphenyl-1-ethyl-3-oxobutyl) acrylamide, N-(1-methyl-1-phenyl-3-oxobutyl) methacrylamide, and 2-hydroxyethyl acrylamide, N-methacrylamide of aminoethyl ethylene urea, N-methacryloxy ethyl morpholine, N-maleimide of dimethylaminopropylamine and mixtures thereof.
  • Other substituted (meth)acrylate monomers useful in the present invention are silicon-containing monomers such as γ-propyl tri(C1-C6)alkoxysilyl (meth)acrylate, γ-propyl tri(C1-C6)alkylsilyl (meth)acrylate, γ-propyl di(C1-C6)alkoxy(C1-C6)alkylsilyl (meth)acrylate, γ-propyl di(C1-C6)alkyl(C1-C6)alkoxysilyl (meth)acrylate, vinyl tri(C1-C6)alkoxysilyl (meth)acrylate, vinyl di(C1-C6)alkoxy(C1-C6)alkylsilyl (meth)acrylate, vinyl (C1-C6)alkoxydi(C1-C6)alkylsilyl (meth)acrylate, vinyl tri(C1-C6)alkylsilyl (meth)acrylate, and mixtures thereof.
  • The vinylaromatic monomers useful as unsaturated monomers in the present invention include, but are not limited to: styrene (“STY”), α-methylstyrene, vinyltoluene, p-methylstyrene, ethylvinylbenzene, vinylnaphthalene, vinylxylenes, and mixtures thereof.
  • Substituted ethylene monomers useful as unsaturated monomers is in the present invention include, but are not limited to: vinyl acetate, vinyl formamide, vinyl chloride, vinyl fluoride, vinyl bromide, vinylidene chloride, vinylidene fluoride and vinylidene bromide.
  • Suitable poly(alkylene oxide) monomers include, but are not limited to, poly(propylene oxide) monomers, poly(ethylene oxide) monomers, poly(ethylene oxide/propylene oxide) monomers, poly(propylene glycol) (meth)acrylates, poly(propylene glycol) alkyl ether (meth)acrylates, poly(propylene glycol) phenyl ether (meth)acrylates, poly(propylene glycol) 4-nonylphenol ether (meth)acrylates, poly(ethylene glycol) (meth)acrylates, poly(ethylene glycol) alkyl ether (meth)acrylates, poly(ethylene glycol) phenyl ether (meth)acrylates, poly(propylene/ethylene glycol) alkyl ether (meth)acrylates and mixtures thereof. Preferred poly(alkylene oxide) monomers include trimethoylolpropane ethoxylate tri(meth)acrylate, trimethoylolpropane propoxylate tri(meth)acrylate, poly(propylene glycol) methyl ether acrylate, and the like. Particularly suitable poly(propylene glycol) methyl ether acrylate monomers are those having a molecular weight in the range of from about 200 to about 2000. The poly(ethylene oxide/propylene oxide) monomers useful in the present invention may be linear, block or graft copolymers. Such monomers typically have a degree of polymerization of from about 1 to about 50, and preferably from about 2 to about 50.
  • Typically, the amount of such additional monomers useful in the porogen particles of the present invention is from about 1 to about 99% wt, based on the total weight of the monomers used. The amount of such additional monomers is preferably from about 2 to about 90% wt, and more preferably from about 5 to about 80% wt.
  • The porogen particles of the present invention also contain as polymerized units one or more cross-linking agents. At least one cross-linking agent is a (meth)acrylate cross-linking agent. Suitable (meth)acrylate cross-linkers useful in the present invention include di-, tri-, tetra- or higher multi-functional (meth)acrylate unsaturated monomers. Examples of (meth)acrylate cross-linkers useful in the present invention include, but are not limited to: ethyleneglycol diacrylate, trimethylolpropane triacrylate, allyl methacrylate (“ALMA”), ethyleneglycol dimethacrylate (“EGDMA”), diethyleneglycol dimethacrylate (“DEGDMA”), propyleneglycol dimethacrylate, propyleneglycol diacrylate, trimethylolpropane trimethacrylate (“TMPTMA”), glycidyl methacrylate, 2,2-dimethylpropane-1,3-diacrylate, 1,3-butylene glycol diacrylate, 1,3-butylene glycol dimethacrylate, 1,4-butanediol diacrylate, diethylene glycol diacrylate, diethylene glycol dimethacrylate, 1,6-hexanediol diacrylate, 1,6-hexanediol dimethacrylate, tripropylene glycol diacrylate, triethylene glycol dimethacrylate, tetraethylene glycol diacrylate, polyethylene glycol 200 diacrylate, tetraethylene glycol dimethacrylate, polyethylene glycol dimethacrylate, ethoxylated bisphenol A diacrylate, ethoxylated bisphenol A dimethacrylate, polyethylene glycol 600 dimethacrylate, poly(butanediol) diacrylate, pentaerythritol triacrylate, trimethylolpropane triethoxy triacrylate, glyceryl propoxy triacrylate, pentaerythritol tetraacrylate, pentaerythritol tetramethacrylate, dipentaerythritol monohydroxypentaacrylate, and mixtures thereof.
  • One or more additional cross-linking agents may be combined with the (meth)acrylate cross-linking agent. A wide variety of cross-linking agents may suitable be combined with the (meth)acrylate cross-linker. Such additional cross-linking agents include, but are not limited to, trivinylbenzene, divinyltoluene, divinylpyridine, divinylnaphthalene, divinylxylene, diethyleneglycol divinyl ether, trivinylcyclohexane, divinyl benzene, divinylsilane, trivinylsilane, dimethyldivinylsilane, divinylmethylsilane, methyltrivinylsilane, diphenyldivinylsilane, divinylphenylsilane, trivinylphenylsilane, divinylmethylphenylsilane, tetravinylsilane, dimethylvinyldisiloxane, poly(methylvinylsiloxane), poly(vinylhydrosiloxane), poly(phenylvinylsiloxane), tetraallylsilane, 1,3-dimethyl tetravinyldisiloxane, 1,3-divinyl tetramethyldisiloxane and mixtures thereof.
  • The (meth)acrylate cross-linking agents and additional cross-linking agents may be used in a wide range of amounts, such as from 1 to 99%, based on the total weight of monomers and cross-linking agents. It is preferred that the amount of cross-linking agent is from 5 to 95%, more preferably from 8 to 90%, and still more preferably from 10 to 50%.
  • In general, suitable B-staged thermoset dielectric materials useful in the present invention have a molecular weight of less than or equal to about 100,000. Preferably, the molecular weight of the B-staged thermoset dielectric material is from about 1000 to about 50,000, and more preferably from 1000 to 35,000. As the molecular weight of the B-staged dielectric material increases above about 35,000, it becomes increasingly difficult for the porogen particles to be compatible with the dielectric material. In cases where the molecular weight is greater than about 35,000, particularly greater than or equal to 50,000, it is preferred that the N-vinyl monomers or heteroatom-substituted styrene monomers be present in the porogen particles in an amount of at least 20% based on the total weight of the monomers and cross-linker, and more preferably greater than 20%, such as 25%, 30%, 35%, 40% and most preferably at least 45%. For B-staged thermoset dielectric materials having molecular weights greater than about 35,000, it is preferred that the porogen particles include N-vinylpyrrolidone as polymerized units. In addition, for such thermoset materials one or more different monomers may also be required to compatiblize the porogen. Such monomers include, but are not limited to, vinyl benzoate, vinyl naphthalene, vinyl biphenyl and 4-allyl-2-methoxyphenol. In such cases, a (meth)acrylate cross-linking agent is still used. In an alternate embodiment, when the B-staged dielectric material is benzocyclobutene, it is preferred that the porogen particles include vinylanisole as polymerized units.
  • The polymers useful as porogen particles in the present invention may be prepared by a variety of polymerization techniques, such as solution polymerization or emulsion polymerization, and preferably by solution polymerization. The solution polymers useful in the present invention may be copolymers or homopolymers and are cross-linked.
  • The solution polymers of the present invention may be prepared by a variety of methods, such as those disclosed in U.S. Pat. No. 5,863,996 (Graham) and U.S. patent application Ser. No. 09/460,326, both of which are hereby incorporated by reference to the extent they teach the preparation of such polymers. The solution polymers of the present invention are generally prepared in a non-aqueous solvent. Suitable solvents for such polymerizations are well known to those skilled in the art. Examples of such solvents include, but are not limited to: hydrocarbons, such as alkanes, fluorinated hydrocarbons, and aromatic hydrocarbons, ethers, ketones, esters, alcohols and mixtures thereof. Particularly suitable solvents include dodecane, mesitylene, xylenes, diphenyl ether, gamma-butyrolactone, ethyl lactate, propyleneglycol monomethyl ether acetate, caprolactone, 2-hepatanone, methylisobutyl ketone, diisobutylketone, propyleneglycol monomethyl ether, decanol, and t-butanol.
  • The solution polymer porogen particles of the present invention typically have a weight average molecular weight in the range of 5,000 to 1,000,000, preferably in the range of 10,000 to 500,000 and more preferably in the range of 10,000 to 100,000. These solution polymer porogen particles typically have a particle size up to about 1,000 nm, such as in the range of 0.75 to 1000 nm. It is preferred that the mean particle size of a plurality of these particles is in the range of about 0.75 to about 100 nm, more preferably from about 0.75 about 50 nm, and most preferably from about 1 nm to about 20 nm. Particularly useful porogen particles are those having a mean particle size of ≦10 nm, particularly ≦5 nm, such as 1 nm, 2 nm or 3 nm. The polydispersity of these solution polymers is in the range 1 to 20 and more preferably in the range of 1.001 to 15 and most preferably in the range of 1.001 to 10.
  • The emulsion polymers useful in the present invention are generally prepared the methods described in U.S. patent application Ser. No. 09/460,326, described above. Controlled polymerization of the monomers in these droplets produces small polymer particles, i.e. ≦100 nm, and preferably extremely small polymer particles, i.e. ≦50 nm in size. Emulsion polymers having other suitable mean particle sizes, such as ≦45 nm, ≦40 nm, ≦35 nm, and ≦30 nm, may be produced according to the present invention. Such polymer particles typically have a lower mean particle size of about 1 nm. Thus, the present polymer particles have a mean particle size range of from 0.75 to 100 nm, and preferably from 1 to 50 nm. The particle size polydispersity of these emulsion polymer particles is in the range 1.0001 to 10, more preferably 1.001 to 5, and most preferably 1.001 to 2.5.
  • It is preferred that the polymers of the present invention are prepared using anionic polymerization or free radical polymerization techniques. It is also preferred that the polymers useful in the present invention are not prepared by step-growth polymerization processes.
  • The porogen particles of the present invention may be directly added to the B-staged thermoset dielectric material as is or may be first purified to remove impurities that might effect the electrical or physical properties of electronic devices. Purification of the porogen particles may be accomplished either by precipitation of the porogen particles or adsorption of the impurities.
  • In general, the cross-linked particles of the present invention useful as porogens must be dispersible, miscible or otherwise substantially compatible with the host B-staged dielectric matrix material in solution and in the thin film. Thus, the porogen particles must be soluble in the same solvent or mixed solvent system as the host B-staged thermoset dielectric matrix material. Also, the porogen particles must be present within this solution as substantially discrete, substantially non-aggregated or substantially non-agglomerated particles in order to achieve the desired benefit of this invention, namely substantially uniformly dispersed pores with a size comparable to that of the porogen's size. This is accomplished by modifying the porogen particle composition such that it is “compatible” with the host B-staged thermoset dielectric matrix material.
  • It has been surprisingly found that when the present porogen particles include as polymerized units at least one monomer selected from N-vinyl monomers and heteroatom-substituted styrene monomers and at least one (meth)acrylate cross-linking agent, that such porogen particles are substantially compatible with B-staged thermoset dielectric matrix materials. Such porogen particles, when added to B-staged thermoset dielectric materials, remain as substantially discrete, substantially non-aggregated or substantially non-agglomerated particles. In this way, porous materials are obtained containing pores having a mean pore diameter substantially equal to the mean pore diameter of the porogen particles used. Thus, very large pores, such as so-called “killer defects or pores” obtained upon agglomeration or aggregation of porogens, are substantially reduced or eliminated according to the present invention. Thus, the present invention provides porous thermoset dielectric materials having much smaller pores, more uniformly sized pores and more uniformly dispersed pores than conventional methods.
  • An advantage of the present invention is that the porogen particles are substantially compatible, and preferably fully compatible, with the dielectric material used. By “compatible” is meant that a composition of B-staged thermoset dielectric matrix material and a plurality of porogen particles are optically transparent to visible light. It is preferred that a solution of B-staged thermoset dielectric matrix material and porogen particles, a film or layer including a composition of B-staged thermoset dielectric material and porogen particles, a composition including B-staged thermoset dielectric matrix material having porogen particles dispersed therein, and the resulting porous dielectric material after removal of the porogen particles are all optically transparent to visible light. By “substantially compatible” is meant that a composition of B-staged polyimide dielectric matrix material and a plurality of porogen particles is slightly cloudy or slightly opaque. Preferably, “substantially compatible” means at least one of a solution of B-staged thermoset dielectric matrix material and porogen particles, a film or layer including a composition of B-staged thermoset dielectric matrix material and porogen particles, a composition including B-staged thermoset dielectric matrix material having porogen particles dispersed therein, and the resulting porous thermoset dielectric material after removal of the porogen particles is slightly cloudy or slightly opaque.
  • To be compatible, the porogen particles must be soluble or miscible in the B-staged thermoset dielectric matrix material, in the solvent used to dissolve the B-staged thermoset dielectric matrix material or both. When a film or layer of a composition including the B-staged thermoset dielectric material, a plurality of porogen particles and solvent is cast, such as by spin casting, much of the solvent evaporates. After such film casting, the porogen particles must be soluble in the B-staged thermoset dielectric matrix material so that it remains substantially uniformly dispersed. If the porogen particles are not compatible, phase separation of the porogen particles from the B-staged thermoset dielectric matrix material occurs and large domains or aggregates form, resulting in an increase in the size and non-uniformity of pores. Such compatible porogen particles provide cured dielectric materials having substantially uniformly dispersed pores having substantially the same sizes as the porogen particles.
  • The compatibility of the porogen particles and B-staged thermoset dielectric matrix material is typically determined by a matching of their solubility parameters, such as the Van Krevelen parameters of delta h and delta v. See, for example, Van Krevelen et al., Properties of Polymers. Their Estimation and Correlation with Chemical Structure, Elsevier Scientific Publishing Co., 1976; Olabisi et al., Polymer-Polymer Miscibility, Academic Press, NY, 1979; Coleman et al., Specific Interactions and the Miscibility of Polymer Blends, Technomic, 1991; and A. F. M. Barton, CRC Handbook of Solubility Parameters and Other Cohesion Parameters, 2nd Ed., CRC Press, 1991. Delta h is a hydrogen bonding parameter of the material and delta v is a measurement of both dispersive and polar interaction of the material. Such solubility parameters may either be calculated, such as by the group contribution method, or determined by measuring the cloud point of the material in a mixed solvent system consisting of a soluble solvent and an insoluble solvent. The solubility parameter at the cloud point is defined as the weighted percentage of the solvents. Typically, a number of cloud points are measured for the material and the central area defined by such cloud points is defined as the area of solubility parameters of the material.
  • When the solubility parameters of the porogen particles and B-staged thermoset dielectric matrix material are substantially similar, the porogen particles will be compatible with the dielectric matrix material and phase separation and/or aggregation of the porogen particles is less likely to occur. It is preferred that the solubility parameters, particularly delta h and delta v, of the porogen particles and B-staged thermoset dielectric matrix material are substantially matched. It will be appreciated by those skilled in the art that the properties of the porogen particles that affect the particles' solubility also affect the compatibility of these particles with the B-staged thermoset dielectric matrix material. It will be further appreciated by those skilled in the art that porogen particles may be compatible with one thermoset dielectric matrix material, but not another. This is due to the difference in the solubility parameters of the different B-staged thermoset dielectric matrix materials.
  • To be useful as porogen particles in forming porous dielectric materials, the porogens of the present invention must be at least partially removable under conditions which do not adversely affect the dielectric matrix material, preferably substantially removable, and more preferably completely removable. By “removable” is meant that the polymer depolymerizes or otherwise breaks down into volatile components or fragments which are then removed from, or migrate out of, the dielectric material yielding pores or voids. Any procedures or conditions which at least partially remove the porogen without adversely affecting the dielectric matrix material may be used. It is preferred that the porogen is substantially removed. Typical methods of removal include, but are not limited to, exposure to heat or radiation, such as, but not limited to, UV, x-ray, gamma ray, alpha particles, neutron beam or electron beam. It is preferred that the matrix material is exposed to heat or UV light to remove the porogen.
  • The porogen particles of the present invention can be thermally removed under vacuum, nitrogen, argon, mixtures of nitrogen and hydrogen, such as forming gas, or other inert or reducing atmosphere. The porogen particles of the present invention may be removed at any temperature that is higher than the thermal curing temperature of the B-staged thermoset matrix material and lower than the thermal decomposition temperature of the thermoset dielectric material. Typically, the porogen particles of the present invention may be removed at temperatures in the range of 150° to 500° C. and preferably in the range of 250° to 450° C. Typically, the porogen particles of the present invention are removed upon heating for a period of time in the range of 1 to 120 minutes. An advantage of the porogens of the present invention is that 0 to 20% by weight of the porogen remains after removal from the thermoset dielectric material.
  • In one embodiment, when a porogen of the present invention is removed by exposure to radiation, the porogen polymer is typically exposed under an inert atmosphere, such as nitrogen, to a radiation source, such as, but not limited to, visible or ultraviolet light. The porogen fragments generated from such exposure are removed from the matrix material under a flow of inert gas. The energy flux of the radiation must be sufficiently high to generate a sufficient number of free radicals such that porogen particle is at least partially removed. It will be appreciated by those skilled in the art that a combination of heat and radiation may be used to remove the porogens of the present invention.
  • In preparing the dielectric matrix materials of the present invention, the porogen particles described above are first dispersed within, or dissolved in, a B-staged thermoset dielectric material. Any amount of porogen particles may be combined with the B-staged thermoset dielectric matrix materials according to the present invention. The amount of porogen particles used will depend on the particular porogen employed, the particular B-staged thermoset dielectric matrix material employed, and the extent of dielectric constant reduction desired in the resulting porous dielectric material. Typically, the amount of porogen particles used is in the range of from 1 to 90 wt %, based on the weight of the B-staged thermoset dielectric matrix material, preferably from 10 to 80 wt %, more preferably from 15 to 60 wt %, and still more preferably from 15 to 30 wt %. A particularly useful amount of porogen is in the range of form about 1 to about 60 wt %.
  • The porogen particles of the present invention may be combined with the B-staged thermoset dielectric material by any methods known in the art. Typically, the B-staged thermoset material is first dissolved in a suitable solvent, such as, but not limited to, methyl isobutyl ketone, diisobutyl ketone, 2-heptanone, γ-butyrolactone, ε-caprolactone, ethyl lactate propyleneglycol monomethyl ether acetate, propyleneglycol monomethyl ether, diphenyl ether, anisole, n-amyl acetate, n-butyl acetate, cyclohexanone, N-methyl-2-pyrrolidone, N,N′dimethylpropyleneurea, mesitylene, xylenes, or mixtures thereof, to form a solution. The porogen particles are then dispersed or dissolved within the solution. The resulting dispersion is then deposited on a substrate by methods known in the art, such as spin coating, spray coating or doctor blading, to form a film or layer.
  • After being deposited on a substrate, the B-staged thermoset dielectric matrix material is then substantially cured to form a film, layer or coating. The dielectric matrix material is typically cured by heating at a temperature below that required for removal of the porogen. Suitable cure temperatures for the B-staged thermoset dielectric matrix material vary across a wide range but are generally from about 150° to about 455° C., preferably from about 200° to about 400° C.
  • Once the B-staged thermoset dielectric matrix material is cured, the film is subjected to conditions which remove the porogen particles without substantially degrading the polyimide dielectric material, that is, less than 5% by weight of the dielectric material is lost. Typically, such conditions include exposing the film to heat and/or radiation. It is preferred that the material is exposed to heat or light to remove the porogen. To remove the porogen particles thermally, the dielectric material can be heated by oven heating or microwave heating. Under typical thermal removal conditions, the polymerized dielectric material is heated to about 300° to about 450° C. It will be recognized by those skilled in the art that the particular removal temperature of a thermally labile porogen will vary according to composition of the porogen. The choice of porogen particles will depend upon the thermal degradation temperature of the thermoset dielectric material. Upon removal, the porogen polymer depolymerizes or otherwise breaks down into volatile components or fragments which are then removed from, or migrate out of, the dielectric matrix material yielding pores or voids, which fill up with the carrier gas used in the process. Thus, a porous thermoset dielectric material having voids is obtained, where the size of the voids is substantially the same as the particle size of the porogen. The resulting dielectric material having voids thus has a lower dielectric constant than such material without such voids.
  • The compatible, i.e., optically transparent, compositions of the present invention do not suffer from agglomeration or long range ordering of porogen materials, i.e. the porogen particles are substantially uniformly dispersed throughout the B-staged thermoset dielectric matrix material. Thus, the porous thermoset dielectric materials resulting from removal of the porogen particles have substantially uniformly dispersed pores. Such substantially uniformly dispersed, very small pores are very effective in reducing the dielectric constant of the dielectric materials.
  • A further advantage of the present invention is that low dielectric constant materials are obtained having uniformly dispersed voids, a higher volume of voids than known dielectric materials and/or smaller void sizes than known dielectric materials. These voids are on the order of 0.75 to 1000 nm, preferably 0.75 to 200 nm, more preferably 0.75 to 50 nm, and most preferably 1 to 20 nm. Particularly suitable are pores having a mean pore size of ≦10 nm, ≦5 nm, ≦3 nm, and ≦2 nm. Further, the void size can be adjusted, from 1 to 1000 nm and above, by varying the size of the removable porogen particles. The resulting porous theromoset dielectric material has low stress, less brittleness, low dielectric constant, low refractive index, improved toughness and improved compliance during mechanical contacting to require less contact force during compression. The porogens of the present invention also act as impact modifiers for the thermoset materials and improve thermoset film formation as well as film properties.
  • The porogens of the present invention are compatible with B-staged thermoset material without the need for further functionalization of the porogen. It is preferred that the present porogens are not further functionalized, and particularly that they are not further surface functionalized. Also, the present porogens are not incorporated into the vitrifying polymer, i.e. the porogens are not copolymerized with the B-staged thermoset dielectric material. The present porogen particles are compatibilized with the B-staged thermoset matrix material by appropriate choice of monomer, cross-linking agent or both. The present porogens can be mixed or blended with the B-staged thermoset material without macroscopic phase separation. Phase separation typically results in a visually detectable second layer, i.e. the compositions are opaque. The compositions of the present invention containing porogen particles in a thermoset dielectric matrix material are substantially non-phase separated and preferably are not phase separated. Surprisingly, phase separation of the porogens is prevented according to the present invention by compatibilizing the porogens with the thermoset dielectric matrix material. Such compatibilization, which is based on solubility, is achieved by choice of monomers used to prepare the porogen, not by immobilizing (i.e. copolymerizing) the porogen in the matrix polymer.
  • The porous thermoset dielectric material made by the process of the present invention is suitable for use in any application where a low refractive index or low dielectric constant material may be used. When the porous dielectric material of the present invention is a thin film, it is useful as insulators, anti-reflective coatings, sound barriers, thermal breaks, insulation, optical coatings and the like. The porous thermoset dielectric materials of the present invention are preferably useful in electronic and optoelectronic devices including, but not limited to, the fabrication of multilevel integrated circuits, e.g. microprocessors, digital signal processors, memory chips and band pass filters, thereby increasing their performance and reducing their cost.
  • The porous thermoset dielectric materials of the present invention are particularly suitable for use in integrated circuits, optoelectronic devices and wireless devices such as mobile telephones. The present porous thermosets are suitable used on a variety of substrates, such as, but not limited to, gallium arsenide, silicon-germanium, silicon-on-insulator, silicon, alumina, aluminum-nitride, printed wiring boards, flexible circuits, multichip modules, flip chips, copper, copper alloys, aluminum, high dielectric materials, low dielectric materials, resistors, barrier layers such as titanium or tantalum nitride, etch stop or cap layers such as silicon nitride, silicon oxide or silicon oxycarbide, and the like. It will be appreciated that an overlayer may be applied to such porous thermosets in certain applications.
  • In one embodiment of integrated circuit manufacture, as a first step, a layer of a composition including B-staged thermoset dielectric matrix material having a plurality of cross-linked polymeric porogen dispersed or dissolved therein and optionally a solvent is deposited on a substrate. Suitable deposition methods include spin casting, spray casting and doctor blading. Suitable optional solvents include, but are not limited to: methyl isobutyl ketone, diisobutyl ketone, 2-heptanone, γ-butyrolactone, ε-caprolactone, ethyl lactate propyleneglycol monomethyl ether acetate, propyleneglycol monomethyl ether, diphenyl ether, anisole, n-amyl acetate, n-butyl acetate, cyclohexanone, N-methyl-2-pyrrolidone, N,N′-dimethylpropyleneurea, mesitylene, xylenes or mixtures thereof. Suitable substrates include, but are not limited to: silicon, silicon dioxide, silicon oxycarbide, silicon germanium, silicon-on-insulator, glass, silicon nitride, ceramics, aluminum, copper, gallium arsenide, plastics, such as polycarbonate, circuit boards, such as FR-4 and polyimide, and hybrid circuit substrates, such as aluminum nitride-alumina. Such substrates may further include thin films deposited thereon, such films including, but not limited to: metal nitrides, metal carbides, metal suicides, metal oxides, and mixtures thereof. In a multilayer integrated circuit device, an underlying layer of insulated, planarized circuit lines can also function as a substrate.
  • In a second step in the manufacture of integrated circuits, the B-staged thermoset matrix material is cured to form a thermoset dielectric matrix material. In a third step, the resulting cured thermoset dielectric matrix material is then subjected to conditions such that the porogen particles contained therein is substantially removed without adversely affecting the dielectric material to yield a porous thermoset dielectric material.
  • The porous thermoset dielectric material is then lithographically patterned to form vias and/or trenches in subsequent processing steps. The trenches generally extend to the substrate and connect to at least one metallic via. Typically, lithographic patterning involves (i) coating the dielectric material layer with a positive or negative photoresist, such as those marketed by Shipley Company (Marlborough, Mass.); (ii) imagewise exposing, through a mask, the photoresist to radiation, such as light of appropriate wavelength or e-beam; (iii) developing the image in the resist, e.g., with a suitable developer; and (iv) transferring the image through the dielectric layer to the substrate with a suitable transfer technique such as reactive ion beam etching. Optionally, an antireflective composition may be disposed on the dielectric material prior to the photoresist coating. Such lithographic patterning techniques are well known to those skilled in the art.
  • A metallic film is then deposited onto the patterned dielectric layer to fill the trenches. Preferred metallic materials include, but are not limited to: copper, tungsten, gold, silver, aluminum or alloys thereof. The metal is typically deposited onto the patterned dielectric layer by techniques well known to those skilled in the art. Such techniques include, but are not limited to: chemical vapor deposition (“CVD”), plasma-enhanced CVD, combustion CVD (“CCVD”), electro and electroless deposition, sputtering, or the like. Optionally, a metallic liner, such as a layer of nickel, tantalum, titanium, tungsten, or chromium, including nitrides or silicides thereof, or other layers such as barrier or adhesion layers, e.g. silicon nitride or titanium nitride, is deposited on the patterned and etched dielectric material.
  • In a fifth step of the process for integrated circuit manufacture, excess metallic material is removed, e.g. by planarizing the metallic film, so that the resulting metallic material is generally level with the patterned dielectric layer. Planarization is typically accomplished with chemical/mechanical polishing or selective wet or dry etching. Such planarization methods are well known to those skilled in the art.
  • It will be appreciated by those skilled in the art that multiple layers of dielectric material, including multiple layers of thermoset dielectric material, and metal layers may subsequently be applied by repeating the above steps. The above steps in the manufacture of an electronic device may further include one or more other steps, such as, but not limited to, the application of etch stops, cap layers, barrier layers, seed layers and the like. It will be further appreciated by those skilled in the art that the compositions of the present invention are useful in any and all methods of integrated circuit manufacture.
  • The following examples are presented to illustrate further various aspects of the present invention, but are not intended to limit the scope of the invention in any aspect.
  • EXAMPLE 1
  • A vinylanisole containing cross-linked porogen was prepared by polymerizing the monomers vinylanisole/styrene/trimethylolpropane triacrylate in a weight ratio of 45/45/10.
  • Approximately 2 mL of a 35 wt % solids solution of a commercially available B-staged benzocyclobutene (“BCB”) in mesitylene and 2 mL of a 15 wt % solids solution of the porogen in mesitylene were combined in a 20 mL vial and mixed thoroughly. The resultant pale yellow transparent solution contained 70% BCB and 30% porogen with a total solids content of 25%. 2 mL of the solution was disposed by a pipette onto a stationary 4 inch (ca. 10 cm) wafer on a spin coater. The wafer was then spun at 2500 rpm for 30 seconds and the wafer was removed and the film visually examined. The BCB/porogen film was clear and free of visible defects, striations or haze. The wafer was then heated to 150° C. on a brass hot plate for 1 minute to remove excess solvent. The film was then again visually examined and was clear and free of visible defects, striations or haze. Thus, the porogen was compatible with the B-staged BCB dielectric material.
  • EXAMPLE 2
  • The following porogen samples were prepared by polymerizing the monomers in the amounts reported in Table 1.
    TABLE 1
    Porogen
    Sample Monomer A Monomer B Cross-linker C A/B/C
    A STY NVP TMPTA 45/45/10
    B NVP TMPTA 90/10
    C STY NVP TMPTA 80/10/10
    D STY VAS TMPTA 45/45/10
    E STY NVPIM TMPTA 45/45/10
    F STY 4FSTY TMPTA 80/10/10
    G STY VAS TMPTA 80/10/10
  • EXAMPLE 3
  • The compatibility of a number of porogen samples from Example 2 in B-staged polyarylene ether dielectric materials in cyclohexanone was determined. The B-staged polyarylene ether material was either VELOX A poly(arylene ether) (“Polyarylene ether A”) or VELOX N poly(arylene ether) (“Polyarylene ether N”), both available from Air Products/Shumacher. Both commercially available B-staged materials had a molecular weight of approximately 50,000. Compatibility determinations were performed by visually inspecting a film of the B-staged polyarylene ether dielectric material and porogen that was spun cast on a silicon wafer at 1000 rpm. The porogen was loaded into the B-staged polyarylene dielectric material at ca. 50% by weight with a total solids content of ca. 20%. The film thicknesses were from 0.9 to 2 μm. All visual inspections were by naked-eye under daylight. Film compatibility of the porogen in the polyarylene ether dielectric material was determined after removal of the solvent, but before removal of the porogen. The compatibility results are reported in Table 2.
    TABLE 2
    Polyarylene Porogen Solution Film
    Ether Sample Compatibility Compatibility
    A A clear clear
    B clear clear
    C cloudy
    N A clear clear
    B clear clear
    C cloudy
  • From these data, it can be clearly seen that Porogen Samples A and B were compatible with both polyarylene ether samples. Porogen Sample C, which contained the same components as Porogen Sample A, did not contain a sufficient amount of NVP to compatiblize the porogen with the high molecular weight (ca. 50,000) B-staged polyarylene ether.

Claims (6)

1-15. (canceled)
16. A composition comprising B-staged thermoset dielectric matrix material and a plurality of cross-linked polymeric porogen particles; wherein the thermoset dielectric material is selected from the group consisting of benzocyclobutenes and polyarylenes; wherein the porogen particles are substantially compatible with the B-staged thermoset dielectric matrix material and wherein the porogen particles comprise as polymerized units one or more monomers selected from the group consisting of N-vinyl monomers and heteroatom-substituted styrene monomers and at least one (meth)acrylate cross-linking agent.
17. The composition of claim 16 wherein the (meth)acrylate cross-linking agent is selected from the group consisting of ethyleneglycol diacrylate, trimethylolpropane triacrylate, allyl methacrylate, ethyleneglycol dimethacrylate, diethyleneglycol dimethacrylate, propyleneglycol dimethacrylate, propyleneglycol diacrylate, trimethylolpropane trimethacrylate, glycidyl methacrylate, 2,2-dimethylpropane-1,3-diacrylate, 1,3-butylene glycol diacrylate, 1,3-butylene glycol dimethacrylate, 1,4-butanediol diacrylate, diethylene glycol diacrylate, diethylene glycol dimethacrylate, 1,6-hexanediol diacrylate, 1,6-hexanediol dimethacrylate, tripropylene glycol diacrylate, triethylene glycol dimethacrylate, tetraethylene glycol diacrylate, polyethylene glycol 200 diacrylate, tetraethylene glycol dimethacrylate, polyethylene glycol dimethacrylate, ethoxylated bisphenol A diacrylate, ethoxylated bisphenol A dimethacrylate, polyethylene glycol 600 dimethacrylate, poly(butanediol) diacrylate, pentaerythritol triacrylate, trimethylolpropane triethoxy triacrylate, glyceryl propoxy triacrylate, pentaerythritol tetraacrylate, pentaerythritol tetranethacrylate, dipentaerythritol monohydroxypentaacrylate, and mixtures thereof.
18. The composition of claim 16 wherein the N-vinyl monomers are selected from the group consisting of vinylpyridines, (C1-C8)alkyl substituted N-vinyl pyridines; N-vinylcaprolactam; N-vinylbutyrolactam; N-vinylpyrrolidone; vinyl imidazole; N-vinyl carbazole; N-vinyl-succinimide; N-vinyl-oxazolidone; N-vinylphthalimide; N-vinyl-pyrrolidones; vinyl pyrroles; vinyl anilines; and vinyl piperidines.
19. The composition of claim 16 wherein the N-vinyl monomers are selected from the group consisting of N-vinylpyrrolidone and N-vinylphthalimide.
20. The composition of claim 16 wherein the heteroatom-substituted styrene monomers are selected from the group consisting of vinylanisole, o-aminostyrene, m-aminostyrene, p-aminostyrene, 4-fluorostyrene, 3-fluorostyrene, and vinyldimethoxybenzene.
US11/166,582 2001-03-28 2005-06-24 Porous materials Abandoned US20050255710A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/166,582 US20050255710A1 (en) 2001-03-28 2005-06-24 Porous materials

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US27954101P 2001-03-28 2001-03-28
US10/106,338 US6998148B1 (en) 2001-03-28 2002-03-26 Porous materials
US11/166,582 US20050255710A1 (en) 2001-03-28 2005-06-24 Porous materials

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/106,338 Division US6998148B1 (en) 2001-03-28 2002-03-26 Porous materials

Publications (1)

Publication Number Publication Date
US20050255710A1 true US20050255710A1 (en) 2005-11-17

Family

ID=35767871

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/106,338 Expired - Lifetime US6998148B1 (en) 2001-03-28 2002-03-26 Porous materials
US11/166,582 Abandoned US20050255710A1 (en) 2001-03-28 2005-06-24 Porous materials

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/106,338 Expired - Lifetime US6998148B1 (en) 2001-03-28 2002-03-26 Porous materials

Country Status (1)

Country Link
US (2) US6998148B1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040041240A1 (en) * 2002-08-29 2004-03-04 Farnworth Warren M. Porous insulator created from a "void" creating material, structures and semiconductor devices including same, and methods of forming
US20040096672A1 (en) * 2002-11-14 2004-05-20 Lukas Aaron Scott Non-thermal process for forming porous low dielectric constant films
US20050101156A1 (en) * 2002-05-20 2005-05-12 Tokyo Electron Limited Film forming apparatus and film forming method
US20090061201A1 (en) * 2007-09-05 2009-03-05 United Microelectronics Corp. Ultra low dielectric constant (k) dielectric layer and method of fabricating the same
US20100003790A1 (en) * 2006-10-19 2010-01-07 Silvia Kronmueller Method for producing a micromechanical component having a thin-layer capping

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004292636A (en) * 2003-03-27 2004-10-21 Shin Etsu Chem Co Ltd Porous film, composition and method for forming the same, interlayer insulating film and semiconductor device
CN1757264A (en) * 2003-05-30 2006-04-05 日本电气株式会社 Resistance heater with thin-line-shaped resistor
US8685296B2 (en) 2010-05-11 2014-04-01 Allergan, Inc. Porogen compositions, method of making and uses
US9138308B2 (en) 2010-02-03 2015-09-22 Apollo Endosurgery, Inc. Mucosal tissue adhesion via textured surface
WO2014022657A1 (en) 2012-08-02 2014-02-06 Allergan, Inc. Mucosal tissue adhesion via textured surface
EP2900289A1 (en) 2012-09-28 2015-08-05 Allergan, Inc. Porogen compositions, methods of making and uses
US10665545B2 (en) * 2018-09-19 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices, semiconductor packages and methods of forming the same

Citations (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US173587A (en) * 1876-02-15 Improvement in paper-cutters
US186168A (en) * 1877-01-09 Improvement in corn-harvesters
US186169A (en) * 1877-01-09 John s
US5384376A (en) * 1992-12-23 1995-01-24 Eastman Kodak Company Organic/inorganic hybrid materials
US5700844A (en) * 1996-04-09 1997-12-23 International Business Machines Corporation Process for making a foamed polymer
US5726211A (en) * 1996-03-21 1998-03-10 International Business Machines Corporation Process for making a foamed elastometric polymer
US5756021A (en) * 1994-12-20 1998-05-26 International Business Machines Corporation Electronic devices comprising dielectric foamed polymers
US5776990A (en) * 1991-09-13 1998-07-07 International Business Machines Corporation Foamed polymer for use as dielectric material
US5804607A (en) * 1996-03-21 1998-09-08 International Business Machines Corporation Process for making a foamed elastomeric polymer
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6093636A (en) * 1998-07-08 2000-07-25 International Business Machines Corporation Process for manufacture of integrated circuit device using a matrix comprising porous high temperature thermosets
US6107357A (en) * 1999-11-16 2000-08-22 International Business Machines Corporatrion Dielectric compositions and method for their manufacture
US6146749A (en) * 1999-05-03 2000-11-14 Jsr Corporation Low dielectric composition, insulating material, sealing material, and circuit board
US6162838A (en) * 1998-06-05 2000-12-19 Georgia Tech Research Corporation Porous insulating compounds and method for making same
US6214748B1 (en) * 1997-05-28 2001-04-10 Dow Corning Toray Silicone Co. Semiconductor device and method for the fabrication thereof
US6271273B1 (en) * 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US6391932B1 (en) * 2000-08-08 2002-05-21 Shipley Company, L.L.C. Porous materials
US6420441B1 (en) * 1999-10-01 2002-07-16 Shipley Company, L.L.C. Porous materials
US20030008989A1 (en) * 2001-03-26 2003-01-09 Shipley Company, L.L.C Polymer synthesis and films therefrom
US6528426B1 (en) * 1998-10-16 2003-03-04 Texas Instruments Incorporated Integrated circuit interconnect and method
US6566243B2 (en) * 2000-02-29 2003-05-20 Novellus Systems, Inc. Dielectric layer including silicalite crystals and binder and method for producing same for microelectronic circuits
US6589865B2 (en) * 1995-12-12 2003-07-08 Texas Instruments Incorporated Low pressure, low temperature, semiconductor gap filling process
US6596467B2 (en) * 2000-09-13 2003-07-22 Shipley Company, L.L.C. Electronic device manufacture
US6630520B1 (en) * 1998-11-24 2003-10-07 Dow Global Technologies Inc. Composition containing a cross-linkable matrix precursor and a poragen, and a porous matrix prepared therefrom
US6685983B2 (en) * 2001-03-14 2004-02-03 International Business Machines Corporation Defect-free dielectric coatings and preparation thereof using polymeric nitrogenous porogens

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5412500A (en) 1977-06-30 1979-01-30 Matsushita Electric Ind Co Ltd Film material providing high electrostatic capacitance
US4483987A (en) 1983-06-20 1984-11-20 G. D. Searle & Co. 8-Substituted 7-phenyl-1,2,4-triazolo[2,3-c]pyrimidines-5-amines and amides
US5962113A (en) 1996-10-28 1999-10-05 International Business Machines Corporation Integrated circuit device and process for its manufacture
WO1999003926A1 (en) 1997-07-15 1999-01-28 Asahi Kasei Kogyo Kabushiki Kaisha Alkoxysilane/organic polymer composition for thin insulating film production and use thereof
JPH11217458A (en) 1998-02-04 1999-08-10 Hitachi Chem Co Ltd Porous film, its production and article
EP1035183B1 (en) 1998-09-25 2009-11-25 JGC Catalysts and Chemicals Ltd. Coating fluid for forming low-permittivity silica-based coating film and substrate with low-permittivity coating film
US6787601B2 (en) 2001-03-26 2004-09-07 Shipley Company, L.L.C. Polymer synthesis

Patent Citations (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US186168A (en) * 1877-01-09 Improvement in corn-harvesters
US186169A (en) * 1877-01-09 John s
US173587A (en) * 1876-02-15 Improvement in paper-cutters
US5776990A (en) * 1991-09-13 1998-07-07 International Business Machines Corporation Foamed polymer for use as dielectric material
US5384376A (en) * 1992-12-23 1995-01-24 Eastman Kodak Company Organic/inorganic hybrid materials
US5756021A (en) * 1994-12-20 1998-05-26 International Business Machines Corporation Electronic devices comprising dielectric foamed polymers
US6589865B2 (en) * 1995-12-12 2003-07-08 Texas Instruments Incorporated Low pressure, low temperature, semiconductor gap filling process
US5804607A (en) * 1996-03-21 1998-09-08 International Business Machines Corporation Process for making a foamed elastomeric polymer
US5726211A (en) * 1996-03-21 1998-03-10 International Business Machines Corporation Process for making a foamed elastometric polymer
US5700844A (en) * 1996-04-09 1997-12-23 International Business Machines Corporation Process for making a foamed polymer
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6214748B1 (en) * 1997-05-28 2001-04-10 Dow Corning Toray Silicone Co. Semiconductor device and method for the fabrication thereof
US6162838A (en) * 1998-06-05 2000-12-19 Georgia Tech Research Corporation Porous insulating compounds and method for making same
US6093636A (en) * 1998-07-08 2000-07-25 International Business Machines Corporation Process for manufacture of integrated circuit device using a matrix comprising porous high temperature thermosets
US6528426B1 (en) * 1998-10-16 2003-03-04 Texas Instruments Incorporated Integrated circuit interconnect and method
US6653358B2 (en) * 1998-11-24 2003-11-25 Dow Global Technologies Inc. Composition containing a cross-linkable matrix precursor and a poragen, and a porous matrix prepared therefrom
US6630520B1 (en) * 1998-11-24 2003-10-07 Dow Global Technologies Inc. Composition containing a cross-linkable matrix precursor and a poragen, and a porous matrix prepared therefrom
US6146749A (en) * 1999-05-03 2000-11-14 Jsr Corporation Low dielectric composition, insulating material, sealing material, and circuit board
US6420441B1 (en) * 1999-10-01 2002-07-16 Shipley Company, L.L.C. Porous materials
US6602804B2 (en) * 1999-10-01 2003-08-05 Shipley Company, L.L.C. Porous materials
US6107357A (en) * 1999-11-16 2000-08-22 International Business Machines Corporatrion Dielectric compositions and method for their manufacture
US6566243B2 (en) * 2000-02-29 2003-05-20 Novellus Systems, Inc. Dielectric layer including silicalite crystals and binder and method for producing same for microelectronic circuits
US6271273B1 (en) * 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US6391932B1 (en) * 2000-08-08 2002-05-21 Shipley Company, L.L.C. Porous materials
US6596467B2 (en) * 2000-09-13 2003-07-22 Shipley Company, L.L.C. Electronic device manufacture
US6667147B2 (en) * 2000-09-13 2003-12-23 Shipley Company, L.L.C. Electronic device manufacture
US6685983B2 (en) * 2001-03-14 2004-02-03 International Business Machines Corporation Defect-free dielectric coatings and preparation thereof using polymeric nitrogenous porogens
US20030008989A1 (en) * 2001-03-26 2003-01-09 Shipley Company, L.L.C Polymer synthesis and films therefrom

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050101156A1 (en) * 2002-05-20 2005-05-12 Tokyo Electron Limited Film forming apparatus and film forming method
US7285502B2 (en) 2002-08-29 2007-10-23 Micron Technology, Inc. Methods for forming porous insulator structures on semiconductor devices
US8680680B2 (en) 2002-08-29 2014-03-25 Micron Technology, Inc. Semiconductor devices including porous insulators
US7262487B2 (en) 2002-08-29 2007-08-28 Micron Technology, Inc. Semiconductor devices and other electronic components including porous insulators created from “void” creating materials
US20050037606A1 (en) * 2002-08-29 2005-02-17 Farnworth Warren M. Insulative materials including voids and precursors thereof
US20050040533A1 (en) * 2002-08-29 2005-02-24 Farnworth Warren M. Semiconductor devices including porous insulators
US20050040534A1 (en) * 2002-08-29 2005-02-24 Farnworth Warren M. Semiconductor devices and other electronic components including porous insulators created from "void" creating materials
US20040041240A1 (en) * 2002-08-29 2004-03-04 Farnworth Warren M. Porous insulator created from a "void" creating material, structures and semiconductor devices including same, and methods of forming
US7153754B2 (en) * 2002-08-29 2006-12-26 Micron Technology, Inc. Methods for forming porous insulators from “void” creating materials and structures and semiconductor devices including same
US20050032395A1 (en) * 2002-08-29 2005-02-10 Farnworth Warren M. Methods for forming porous insulator structures on semiconductor devices
US20090256262A1 (en) * 2002-08-29 2009-10-15 Micron Technology, Inc. Semiconductor devices including porous insulators
US7554200B2 (en) 2002-08-29 2009-06-30 Micron Technology, Inc. Semiconductor devices including porous insulators
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US7470454B2 (en) * 2002-11-14 2008-12-30 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US20040096593A1 (en) * 2002-11-14 2004-05-20 Lukas Aaron Scott Non-thermal process for forming porous low dielectric constant films
US20040096672A1 (en) * 2002-11-14 2004-05-20 Lukas Aaron Scott Non-thermal process for forming porous low dielectric constant films
US20100003790A1 (en) * 2006-10-19 2010-01-07 Silvia Kronmueller Method for producing a micromechanical component having a thin-layer capping
US7851248B2 (en) * 2006-10-19 2010-12-14 Robert Bosch Gmbh Method for producing a micromechanical component having a thin-layer capping
US20090061201A1 (en) * 2007-09-05 2009-03-05 United Microelectronics Corp. Ultra low dielectric constant (k) dielectric layer and method of fabricating the same
US8092861B2 (en) * 2007-09-05 2012-01-10 United Microelectronics Corp. Method of fabricating an ultra dielectric constant (K) dielectric layer

Also Published As

Publication number Publication date
US6998148B1 (en) 2006-02-14

Similar Documents

Publication Publication Date Title
US20050255710A1 (en) Porous materials
EP1172823B1 (en) Porous materials
US6391932B1 (en) Porous materials
EP1088848B1 (en) Porous materials
US6596405B2 (en) Antireflective porogens
EP1632956A1 (en) Compositions comprising an organic polysilica and an arylgroup-capped polyol, and methods for preparing porous organic polysilica films
US6903175B2 (en) Polymer synthesis and films therefrom
US20030001239A1 (en) Porous materials
US7501185B2 (en) Film-forming composition, insulating material-forming composition, insulating film and electronic device
US6787601B2 (en) Polymer synthesis
JP5239968B2 (en) Resin composition, resin film and semiconductor device
JP4004983B2 (en) Insulating film forming material and insulating film using the same

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION