US20050252454A1 - Contaminant reducing substrate transport and support system - Google Patents

Contaminant reducing substrate transport and support system Download PDF

Info

Publication number
US20050252454A1
US20050252454A1 US11/065,702 US6570205A US2005252454A1 US 20050252454 A1 US20050252454 A1 US 20050252454A1 US 6570205 A US6570205 A US 6570205A US 2005252454 A1 US2005252454 A1 US 2005252454A1
Authority
US
United States
Prior art keywords
substrate
support
coating
chamber
diamond
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/065,702
Inventor
Vijay Parkhe
Matthew Leopold
Timothy Ronan
Todd Martin
Edward Ng
Nitin Khurana
Song-Moon Suh
Richard Fay
Christopher Hagerty
Michael Rice
Darryl Angelo
Kurt Ahman
Matthew Tsai
Steve Sansoni
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/065,702 priority Critical patent/US20050252454A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FAY, RICHARD, HAGERTY, CHIRSTOPHER, RICE, MICHAEL, LEOPOLD, MATTHEW, SUH, SONG-MOON, Martin, Todd W., AHMANN, KURT J., ANGELO, DARRYL, KHURANA, NITIN, NG, EDWARD, PARKHE, VIJAY D., RONAN, TIMOTHY, SANSONI, STEVE, Tsai, Matthew C.
Publication of US20050252454A1 publication Critical patent/US20050252454A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Abstract

A lifting assembly can lift a substrate from a substrate support and transport the substrate. The lift assembly has a hoop sized to fit about a periphery of the substrate support, and a pair of arcuate fins mounted on the hoop, each arcuate fin comprising a pair of opposing ends having ledges that extend radially inward, each ledge having a raised protrusion to lift a substrate so that the substrate contacts substantially only the raised protrusion, thereby minimizing contact with the ledge, when the pair of fins is used to lift the substrate off the substrate support. The lifting assembly and other process chamber components can have a diamond-like coating having interlinked networks of (i) carbon and hydrogen, and (ii) silicon and oxygen. The diamond-like coating has a contact surface having a coefficient of friction of less than about 0.3, a hardness of at least about 8 GPa, and a metal concentration level of less than about 5×1012 atoms/cm2 of metal. The contact surface reduces contamination of a substrate when directly or indirectly contacting a substrate.

Description

    CROSS-REFERENCE
  • This application is a continuation of U.S. patent application Ser. No. 10/786,876, entitled “Coating for Reducing Contamination of Substrates During Processing” to Parkhe et al, assigned to Applied Materials, Inc. and filed on Feb. 24, 2004, which is herein incorporated by reference in its entirety.
  • BACKGROUND
  • Embodiments of the present invention relate to components used in the transportion and support of substrates in process chambers.
  • Electronic circuits of CPUs, displays and memories, are fabricated in a process chamber by depositing or forming materials on a substrate and then selectively etching the materials. The substrate includes semiconductor wafers and dielectric boards. The substrate materials are deposited or formed by processes such as chemical vapor deposition (CVD), physical vapor deposition (PVD), oxidation, nitridation and ion implantation. The substrate materials are then etched to define electrical circuit lines, vias, and other features on the substrate. A typical process chamber has enclosure walls that enclose a substrate support, gas distributor and exhaust port, and can also include a gas energizer to energize process gas in the chamber by high frequency (RF) or microwave energy.
  • The contact surfaces of transport and support structures contact the substrate during its transportation and support in a typical process cycle. Typically, a substrate is transported from a substrate stack in a cassette within a load-lock chamber to a process chamber on a transport blade operated by a robot arm. The transported substrate is placed on a set of lift pins which are lowered though holes in a substrate support to rest the backside of the substrate on the receiving surface of a substrate support. The substrate support can include a pedestal, a vacuum chuck having a vacuum port to suck down the substrate, or an electrostatic chuck comprising a dielectric covering an electrode to which a voltage is applied to generate an electrostatic force to hold the substrate. In some processes, the substrate is also initially transported and rested on a degassing heater plate to degas the substrate. The substrate may also be transferred to a cool-down pedestal to cool the substrate after rapid thermal processing or other high temperature processes. Shutter discs can also be used to protect the receiving surface of a substrate support when the substrate is not being held on the support.
  • The contact surfaces that contact the substrate, directly or indirectly, can contaminate the substrate surface with contaminant particulates. For example, stainless steel surfaces of a substrate support pedestal, cool down plate, or degas heater, can leave behind trace amounts of iron, chromium or copper on the backside surfaces of the substrate. Nickel coated robotic blades can also contaminate the substrate with residual nickel particles when they are used to lift and transport the substrate. Similarly, aluminum pedestals can leave behind aluminum particulates on the backside surface of a substrate. Although the particulate contaminants are often deposited on the inactive backside surface of the substrate, they can diffuse to the active front side in subsequent high temperature annealing processes, causing shorts or failure of the circuits or displays formed on the substrate. The backside edge of the substrate may have a particularly high number of contaminants particles, due to abrasion of the backside edge with transport components such as robotic transfer blades and lifting assemblies. The contaminants can also flake off from the substrate and fall upon and contaminate other substrates. These contaminants eventually reduce the effective yields of circuits or displays obtained from the substrate.
  • Thus, it is desirable to reduce contamination of the backside of the substrate to increase substrate yields and process efficiency.
  • SUMMARY
  • In yet another version, a substrate transfer arm capable of transferring a substrate into and out of a process chamber has a transfer blade, and a diamond-like coating on the transfer blade. The diamond-like coating has interlinked networks of (i) carbon and hydrogen, and (ii) silicon and oxygen, and the diamond-like coating has a contact surface having (i) a coefficient of friction of less than about 0.3, (ii) a hardness of at least about 8 GPa, and (iii) a metal concentration level of less than about 5×1012 atoms/cm2 of metal. The contact surface reduces contamination of a substrate when directly or indirectly contacting a substrate.
  • In another version, a support pedestal capable of reducing particulate contamination of a substrate has a pedestal structure having a disc with a recessed peripheral ledge, and a diamond-like coating on the body. The diamond-like coating has interlinked networks of (i) carbon and hydrogen, and (ii) silicon and oxygen. The diamond-like coating has a contact surface having (i) a coefficient of friction of less than about 0.3, (ii) a hardness of at least about 8 GPa, and (iii) a metal concentration level of less than about 5×1012 atoms/cm2 of metal. The contact surface reduces contamination of a substrate when directly or indirectly contacting a substrate.
  • In yet another version, a substrate lifting assembly is adapted to lift a substrate from a substrate support and transports the substrate. The lifting assembly has a hoop sized to fit about a periphery of the substrate support, and a pair of arcuate fins mounted on the hoop. Each arcuate fin has a pair of opposing ends having ledges that extend radially inward, each ledge having a raised protrusion to lift a substrate so that the substrate contacts substantially only the raised protrusion. Thus, contact with the ledge is minimized when the pair of fins is used to lift the substrate off the substrate support.
  • In yet another version, a heat exchanging support has a body having a substrate receiving surface with a pattern of grooves and a diamond-like coating covering the substrate receiving surface, the diamond-like coating having a network of carbon, hydrogen, silicon and oxygen. The substrate receiving surface has a pattern of grooves thereon. The heat exchanging support also has a heat exchanger.
  • In yet another version, a substrate transport system transports a substrate onto a substrate support in a process chamber. The transport system has a transfer arm to transport the substrate into the chamber, a detector to detect a position of the transfer arm in the chamber and generate a signal in relation to the position, a lifting assembly adapted to receive the substrate from the transfer arm and lower the substrate onto the support, and a controller having program code to control the transfer arm, detector, and transport blade to transport the substrate onto the substrate support. The program code has substrate centering control code to control the movement of the substrate transfer arm to position the substrate over substantially the center of the support by (1) receiving the signal from the detector and determining the position of the substrate in the process chamber, (2) calculating an offset distance comprising a difference between the detected position of the substrate and the center of the process chamber, and (3) generating a control signal in relation to the offset distance to control the movement of the transfer arm to position the substrate substantially over the center of the support.
  • In yet another version, a substrate processing apparatus has a process chamber having a gas supply, a gas energizer, a substrate support to support the substrate in the chamber, the support having a body with a disc having a recessed peripheral ledge, a gas exhaust, and a lifting assembly to lift a substrate from the support. The lifting assembly has (1) a hoop sized to fit about a periphery of the substrate support, and (2) a pair of arcuate fins mounted on the hoop, each arcuate fin having a pair of opposing ends having ledges that extend radially inward, each ledge having a raised protrusion to lift a substrate so that the substrate contacts substantially only the raised protrusion, thereby minimizing contact with the ledge, when the pair of fins is used to lift the substrate off the substrate support. The apparatus also has a transfer arm to transport the substrate into the chamber, a detector to detect a position of the transfer arm in the chamber and generate a signal in relation to the position, and a controller comprising program code to control the gas supply, gas energizer, support, lifting assembly, transfer arm and detector to transport the substrate into the process chamber and onto the substrate support. The program code has substrate centering control code to control the movement of the substrate transfer arm to position the substrate over substantially the center of the support by (1) receiving the signal from the detector and determining the position of the substrate in the process chamber, (2) calculating an offset distance comprising a difference between the detected position of the substrate and the center of the process chamber, and (3) generating a control signal in relation to the offset distance to control the movement of the transfer arm to position the substrate substantially over the center of the support.
  • In still another version, a multi-chamber substrate processing apparatus has (i) a transfer chamber having a transfer arm to transfer a substrate between chambers, (ii) a heating chamber to heat the substrate, the heating chamber having a heating pedestal to support the substrate thereon, (iii) a pre-clean chamber to clean a substrate by exposing the substrate to an energized gas, the pre-clean chamber having a pre-clean support to support the substrate thereon, (iv) a deposition chamber to deposit a material on the substrate, the deposition chamber having a deposition support to support the substrate thereon, (v) a cool-down chamber to cool the substrate, the cool-down chamber having a cooling pedestal to support the substrate thereon, (vi) one or more lifting assemblies in the chamber to raise and lower the substrate onto at least one of the pedestals and supports, and (vii) a controller adapted to control the transfer arm and lifting assemblies to transport the substrate into each of the chambers and place the substrate on the pedestals and supports. At least one of the transfer arm, lifting assemblies, heating pedestal, cooling pedestal, pre-clean support and deposition support have a coating having a contamination-reducing material. A substrate that is transferred by the transfer arm to each chamber, raised by the lifting assemblies, and processed on the pedestals and supports in each chamber, has a metal contamination level of less than about 1×1011 atoms/cm2.
  • DRAWINGS
  • These features, aspects, and advantages of the present invention will become better understood with regard to the following description, appended claims, and accompanying drawings, which illustrate examples of the invention. However, it is to be understood that each of the features can be used in the invention in general, not merely in the context of the particular drawings, and the invention includes any combination of these features, where:
  • FIG. 1 is a sectional side view of an embodiment of a substrate support having a plurality of mesas comprising a contamination reducing coating;
  • FIG. 2 a is a sectional side view of an embodiment of a heating pedestal having a contamination reducing coating;
  • FIG. 2 b is a sectional side view of an embodiment of a cooling pedestal with a contamination reducing coating;
  • FIG. 3 is a sectional side view of an embodiment of a lift pin assembly having lift pins with a contamination reducing coating;
  • FIG. 4 is a sectional side view of an embodiment of a shutter having a contamination reducing coating;
  • FIG. 5 is a sectional side view of an embodiment of a component having a protective cap comprising a base layer covered by a contamination reducing coating;
  • FIG. 6 is a sectional top view of an embodiment of multi-chamber apparatus;
  • FIG. 7 a is a sectional side view of an embodiment of a component processing chamber;
  • FIG. 7 b is a sectional side view of an embodiment of a substrate processing chamber;
  • FIG. 8 is a top view of an embodiment of a support having a pattern of grooves formed therein;
  • FIG. 9 a is top view of an embodiment of a support having a recessed peripheral ledge;
  • FIG. 9 b is a sectional side view of an embodiment of the support of FIG. 9 a having a substrate thereon;
  • FIG. 10 a is a side view of an embodiment of a lifting assembly having arcuate fins, and a support having a pattern of grooves;
  • FIG. 10 b is a top view of an embodiment of an arcuate fin from the lifting assembly of FIG. 10 a; and
  • FIG. 11 is a side view of an embodiment of a transport system having a detector to detect a position of a substrate.
  • DESCRIPTION
  • In the substrate processing methods, substrates 104 are transported and held by various support components 20. For example, a substrate 104 may be held during processing in a chamber 106 on a support component 20 that is a substrate support 100, and which has an a support structure 25 that can also serve as an electrostatic chuck 102 as shown in FIG. 1. The substrate 104 may also be supported by a support component 20 comprising a support structure 25 that is a heat exchange pedestal 150, such as a heating pedestal 151 or cooling pedestal 152, as illustrated in FIGS. 2 a and 2 b, that is used to degas a substrate 104 by heating it, or to cool a substrate 104 after a high temperature process. Further types of support components 20 include support structures 25 suitable for transporting the substrate, such as lift pins 160 as shown in FIG. 3, and robotic arms having robot blades, can be used to place and remove substrates 104 on supports 100, as well as to transfer substrates 104 between chambers 106 in a multi-chamber apparatus 101. Yet another support component 20 is a support shutter 180, as shown in FIG. 4, to cover a portion of the substrate support 100 when the substrate 104 is not present during a chamber cleaning process. It should be understood that the various embodiments of support components 20 that are described herein are provided to illustrate the invention, and should not be used to limit the scope of the present invention, and that other versions of support components apparent to those of ordinary skill are also within the scope of the present invention.
  • The processing yields of substrates 104 is substantially improved with support components 20 having contact surfaces 22 capable of reducing, and even eliminating, the formation and/or deposition of contaminant residues that arise from frictional and abrasive forces between the contact surface 22 of the support component 20 and the substrate 104. For example, when the component 20 is made from a metal containing material, metal contaminant particles deposit on the substrate 104 when the substrate 104 rubs against the contact surface 22 of the support component 20. It has been found that the frictional residues have larger particle sizes or numbers, when the contact surface 22 is excessively soft, has a high frictional coefficient causing abrasion of the surfaces, or has a high level of impurities. To reduce such contamination, the contact surfaces 22 of the support component 20 are provided with a surface coating 24 that has desirable abrasion or hardness, frictional properties, and/or low-levels of contaminants. The contact surfaces 22 comprising the coating 24 desirably reduce the contamination of substrates 104 when directly or even indirectly contacting the substrates 104. For example, a support shutter 180 having the contact surface 22 on the contamination reducing coating 24 may indirectly reduce the contamination of substrates 104 by reducing the contamination of a support surface 28 on which substrates 104 are placed. The contamination reducing coating 24 may cover at least a portion of a surface 26 of a component structure 25, as shown for example in FIG. 2 a, or may even cover substantially the entire surface that is in contact with the substrate 104. The coating 24 is also sufficiently thick to protect the substrate 104 from contamination by the underlying support structure, for example the coating 24 may comprise a thickness of at least about 0.02 microns, such as from about 0.02 microns to about 1000 microns, and even about 0.02 microns to about 20 microns, such as from about 1 to about 20 microns, and even about 1.5 microns. The coating thickness may also be selected to provide good resistance to wearing of the coating by contact with the substrate 104.
  • In one version, the contamination reducing coating comprises a material having a coefficient of friction that is sufficiently low to reduce the formation and deposition of friction or abrasion resulting particulates on the substrate 104. The low-friction material can improve substrate processing yields by contacting the substrate 104 only with a low-friction material that is less likely to flake or “rub-off” the surface 22 and deposit onto the substrate 104. The low-friction material suitable for the surface 22 desirably comprises a coefficient of friction of less than about 0.3, such as from about 0.05 to about 0.2. The coefficient of friction is the ratio of the limiting frictional force to the normal contact force when moving the surface 22 relative to another surface. By comparison, a supporting surface of a heating pedestal 151 made of stainless steel, and without the aforementioned coating, can have a coefficient of friction of at least about 0.7. The contamination reducing coating further comprises a low average surface roughness, such as for example, an average surface roughness of less than about 0.4 micrometers. The lower surface roughness makes the contact surface 22 of the coating less likely to catch or tear out the substrate 104 when the substrate is transferred onto or off the contact surface 22.
  • The contamination reducing coating also desirably has a high hardness to provide better resistance to scratching and abrasion by the substrate 104. When the substrate is a relatively hard material, it is desirable for the contact surface 22 to also be composed of a material having a relatively high hardness to be less likely to generate loose particles or flakes due to scratching of the surface 22. A suitable contamination reducing coating may comprise a hardness of at least about 8 GPa, such as from about 8 Gpa to about 25 Gpa, and even at least about 10 GPa, such as from about 18 Gpa to about 25 GPa. The surface 22 desirably comprises a hardness that is selected with respect to the substrate 104 being processed. For example, the surface 22 of a component for processing a substrate 104 comprising a semiconductor wafer may have a hardness that is different than the hardness of a surface 22 for processing a substrate 104 comprising a dielectric glass panel used for displays.
  • The hardness of the surface 22 can be measured by, for example, a hardness load and displacement indentation test. A suitable instrument for performing the hardness test may be, for example, a “Nano Indenter II” available from Nano Instruments, Inc. in Oak Ridge, Tenn. In this test, the tip of an indenter probe is placed against the surface 22, and a load is applied to the indenter probe that presses the tip into the surface 22 and forms an indentation in the surface 22. The tip of the indenter probe can be, for example, pyramidal shaped, and a suitable load may be in the microgram range. The hardness of the surface 22 can be found by evaluating the indentation, for example, by taking a ratio of the force applied to the indenter probe divided by the area of the indentation that results from the force, as described for example in Review of Instrumented Indentation in the Journal of Research of the National Institute of Standards and Technology, Vol. 108, No. 4, July-August 2003, which is herein incorporated by reference in its entirety. The area of the indentation can be calculated, for example, optically or by monitoring a depth of the indenter probe in the surface and using a known geometry of the tip of the indenter probe.
  • It is further desirable for the contact surface 22 to have low levels of contamination-reducing metals that have a high purity with a low concentration of impurities, especially metal impurities such as Fe, Cr, Ni, Co, Ti, W, Zn, Cu, Mn, Al, Na, Ca, K and B. The metal impurities can rub off on and migrate from the surfaces of supporting components and into the substrates to contaminate the substrates. Suitable contamination reducing coatings have a metal concentration level of less than about 5×1012 atoms/cm2 of metal atoms at the surface 22 of the coating, or even less than about 5×1010 atoms/cm2 of metal atoms. The contamination-reducing material is also desirably resistant to corrosion by energized process gases. While a coating comprising a ceramic material having the desired low levels of metal atoms can be applied to a metal or ceramic support structure to reduce its contaminating effect on a substrate, the surface of a ceramic support component, such as ceramic electrostatic chuck having an embedded electrode can also be treated to clean the surface to reduce the contaminant levels of the surface.
  • The contamination reducing coating 24 can also be tailored to have provide good adhesion to the underlying support structure 25 by controlling, for example, the coating thickness, coefficient of thermal expansion, or tensile strength. For example, the coating 24 comprising the contamination reducing coating desirably comprises a thermal coefficient of expansion that is sufficiently matched to the expansion coefficient of the underlying component 22 to reduce cracking or spalling of the coating 24 from the component 22. A coefficient that is too high or too low can result in cracking and de-lamination of the coating 24 from the structure as a result of unequal expansion/contraction rates of the coating and underlying structure materials during heating or cooling of the component 22. The thickness of the coating 24 can also affect the adhesion of the coating 24. For example, for an underlying structure comprising aluminum nitride, a suitable coating 24 comprising the contamination reducing coating may comprise a coefficient of thermal expansion of from about 4 ppm to about 6 ppm per degree Celsius. For an underlying structure comprising a metal such as aluminum or stainless steel, a suitable coating 24 of contamination reducing coating may comprise a similar coefficient of thermal expansion of from about 4 ppm to about 6 ppm, and may also comprise a reduced thickness to inhibit spalling of the coating 24.
  • In one version, the contamination-reducing material comprises a diamond-like material, such a diamond-like carbon (also referred to as DLC.) Diamond-like materials are carbon-based materials with a network of carbon and hydrogen atoms. They typically have a significant fraction of sp3 hybridized carbon, such as at least about 50% sp3 hybridized carbon to at least about 98% sp3 hybridized carbon. Thus, many of the carbon atoms in the network are be bonded to other carbon or hydrogen atoms in several directions, similar to diamond, as opposed to being substantially limited to bonding to atoms that are in the same plane, as in graphite. However, the bonded carbon atoms have only a short range order in the form of micro-crystals or crystallites, and typically do not form a full three-dimensional crystalline lattice of diamond having a long range order. Depending on the fabrication conditions, the diamond-like materials can be amorphous or can contain crystallites with nanoscale sizes. The diamond-like materials can also contain a significant amount of hydrogen, such as a content of at least about 2 atom % of hydrogen, for example from about 2 atom % to about 25 atom % of hydrogen. Diamond-like carbon (DLC) also has a high hardness and a low coefficient of friction that can reduce the contamination of substrates 104 from surfaces 22 having the materials. For example, the diamond-like carbon material can have a hardness of at least about 18 GPa, such as from about 18 GPa to about 25 GPa. The coefficient of friction of the surface of the diamond-like carbon is also desirably low, such as a coefficient of less than about 0.3, such as from about 0.05 to about 0.2. The diamond-like carbon material can also comprise a low surface roughness, such as an average surface roughness of less than about 0.4 micrometers, such as from about 0.05 to about 0.4 micrometers. The diamond like-carbon can also be manufactured with a low amount of metal impurities, such as less than about 5×1012 atoms/cm2 of metal impurities, and even less than about 5×1011 atoms/cm2 of metal atoms. For example, the material can comprise a concentration of titanium atoms of less than about 10 atom %, and even less than about 6 atom % of titanium. Thus, diamond-like materials such as diamond-like carbon provide characteristics such as a low coefficient of friction, high hardness and high purity that are desirable for contamination-reducing materials on surfaces 22.
  • In one version, the diamond-like carbon materials are formed as coatings 24 over underlying components surfaces 26 to provide a metal contamination reducing component surface. A coating 24 of the diamond-like carbon materials can be formed by methods including chemical vapor deposition, carbon ion beam deposition, ion-assisted sputtering from graphite and laser ablation of graphite. An example of a method of depositing a diamond-like carbon coating layer by a chemical vapor deposition method is described in U.S. Pat. No. 6,228,471 to Neerinck et al, PCT filed Jan. 23, 1998, assigned to N. V. Bekaert S. A., which is herein incorporated by reference in its entirety. The fabrication process can be controlled to tailor the properties of the resulting coating. For example, the fabrication conditions can be controlled to tailor the amount of hydrogen incorporated into the coating 24. Also, the fabrication conditions can be controlled to tailor the electrical properties of the coating 24, for example to provide electrical properties that may be desirable for an electrostatic chuck 102. For example, the electrical resistivity of the coating 24 can be controlled by controlling the proportion of sp3 to sp2 hybridized carbon atoms. A higher proportion of sp3 hybridized carbon atoms gives a higher resistivity, while a higher proportion of sp2 hybridized carbon atoms gives a lower resistivity.
  • In another version, the contamination reducing coating can comprise a diamond-like material comprising a diamond-like nanocomposite having both (i) networks of carbon and hydrogen, and (ii) networks of silicon and oxygen. The diamond-like nanocomposite is similar to the diamond like carbon, in that it comprises a network of bonded carbon atoms of which a substantial fraction are sp3 hybridized but does not have a substantially long-range order as in pure diamond, and can further comprise bonded hydrogen atoms. Depending on the fabrication conditions, the diamond-like nanocomposite can be fully amorphous or can contain diamond crystallites, for example, at the nanoscale level. The diamond-like nanocomposite comprises a networks of silicon bonded oxygen that interpenetrate the carbon networks in a substantially random fashion, to form a composite material having high temperature stability, high hardness and a low coefficient of friction. The percentage of each of C, H, Si and O atom in the nanocomposite can be selected to provide the desired composition characteristics. A suitable diamond-like nanocomposite may comprise a composition of, for example, from about 50 atom % to about 90 atom % carbon, from about 5 atom % to about 10 atom % hydrogen, from about 10 atom % to about 20 atom % silicon and from about 5 atom % to about 10 atom % oxygen. The diamond-like nanocomposites may comprise a low coefficient of friction of less than about 0.3, such as from about 0.05 to about 0.2, and a low average surface roughness of less than about 0.4 micrometers, such as from about 0.05 micrometers to about 0.4 micrometers, and even less than about 0.1 micrometers. The diamond-like nanocomposite may also comprise a microhardness of at least about 8 GPa, such as from about 8 to about 18 GPa. The diamond-like nanocomposite may also comprise a high purity, for example, the diamond-like nanocomposite can comprise less than about 5×1012 atoms/cm2 and even less than about 5×1011 atoms/cm2 of metal impurities. For example, the material can comprise less than about 10 atom % of metal impurities such as titanium, and even less than about 7 atom % of titanium.
  • In one version, a coating 24 comprising the diamond-like carbon materials may further comprise a wear factor that provides reduced wear of the coating 24 when used to process substrates 104. The wear factor is a measure of the amount of wear experienced by a surface when slid or rubbed along another surface. The wear factor can be obtained, for example, by sliding the surface against a reference surface and measuring the slope of the volume loss of a linear region versus the sliding distance, typically while maintaining the normal load and sliding speed constant. A suitable wear factor for a coating 24 comprising a diamond like nanocomposite may be, for example, less than about 5×10−6 mm3/Nm.
  • The diamond-like nanocomposite can be formed by methods similar to those described for diamond-like carbon materials, including by a chemical vapor deposition method, and can be formed as a coating 24 on the component 20. Examples of methods of forming diamond-like nanocomposite coatings is described, for example, in U.S. Pat. No. 5,352,493 to Dorfman et al, filed Oct. 4, 1994, assigned to Veniamin Dorfman, and U.S. Pat. No. 6,228,471 to Neerinck et al, PCT filed Jan. 23, 1998, assigned to N. V. Bekaert S. A., both of which are herein incorporated by reference in their entireties. The diamond-like nanocomposite material can also be commercially available materials such as DLN or Dylyn® from Bekaert Advanced Coating Technologies, Belgium.
  • The diamond-like materials, including diamond-like carbon and diamond-like nanocomposites, can also be tailored by incorporating metal additives into the materials. The metal additives can be added to provide desired properties, such as a desired electrical resistivity or conductance of the material. The metal additives are distributed about the diamond-like material, and may even form a separate bonded metal network that interpenetrates at least one of the carbon and a silicon networks. Suitable metal additives may comprise, for example, at least one of B, Li, N, Si, Ge, Te, Mo, W, Ta, Nb, Pd, Ir, Pt, V, Fe, Co, Mg, Mn, Ni, Ti, Zr, Cr, Re, Hf, Cu, Ag and Au. The diamond-like material can comprise from about 0.1 atom % to about 10 atom % of the metal additive, such as for example, titanium. The diamond-like material having the metal additives also comprises a relatively low coefficient of friction and relatively high hardness. For example a diamond-like nanocomposite comprising C:H and Si:O networks having metal additives can comprise a coefficient of friction of less than about 0.3, such as from about 0.05 to about 0.2. The diamond-like nanocomposite with metal additives can also have a microhardness of at least about 12 GPa, such as from about 12 to about 18 GPa. The metal additives can be introduced into the diamond-like networks by co-depositing the metals with the diamond-like material, or by another suitable fabrication method. Examples of metal additive incorporation methods are described in U.S. Pat. Nos. 5,352,493 and 6,228,471, which are incorporated by reference in their entireties above.
  • In one version of a method of forming a coating 24 comprising a diamond like material, a component structure 25 is placed in a plasma zone 213 of a process chamber, and embodiment of which is shown in FIG. 7 a. The chamber 106 comprises chamber walls 218 enclosing the plasma zone 213. The component 20 can be held on a support 202 in the chamber 106. A process gas supply 130 provides a deposition gas into the chamber 106, and can comprise a gas source, one or more conduits leading from the source to the chamber, flow meters, and one or more gas inlets in the chamber 106. The process gas comprises at least a carbon-containing compound, such as a carbon-containing gas, that is capable of forming bonded carbon networks in the coating 24. The process gas can also comprise a hydrogen-containing compound, such as a hydrogen-containing gas. For example, the process gas can comprise a gas comprising both carbon and hydrogen atoms, such as at least one of methane, propane, acetylene, butane and ethelyne. To form a diamond like nanocomposite comprising a network of silicon and oxygen, the process gas can further comprise a silicon-containing compound. For example, the process gas can comprise hexamethyldisiloxane or polyphenylmethylsiloxane, as described for example in U.S. Pat. No. 5,638,251 to Goel et al, filed on Oct. 3, 1995 and assigned to Advanced Refractory Technologies, which is herein incorporated by reference in its entirety. The process gas can further comprise an additive gas, such as for example argon.
  • A gas energizer 216 energizes the process gas to form an energized gas in the process zone 213 that deposits a diamond like material on the component surface 26 by plasma enhanced chemical vapor deposition. For example, the gas energizer 216 can decompose a process gas comprising carbon, hydrogen, silicon and oxygen containing compounds to deposit a chemical vapor deposition material comprising a diamond like nanocomposite on the surface 26. The gas energizer 216 can comprise, for example, one or more of an inductor antenna and electrodes that are capable of coupling RF energy to form the energized gas. An exhaust 220 can be provided to exhaust gases from the chamber, and can comprise an exhaust port leading to an exhaust pump, and a throttle valve to control the pressure in the chamber 106. A controller 294 can controls the components of the chamber 106 to deposit the coating 24 on the component 20.
  • In one version, the chamber 106 comprises a target 214 having a metal material that can be sputtered from the target 214 by the energized gas to co-deposit the sputtered metal on the surface 26 simultaneously with the chemical vapor deposited material, to form a diamond like material having a metal additive. In this version, the diamond-like material is co-deposited with the metal additive by a process combining physical vapor deposition of the metal additive in the plasma enhanced chemical vapor deposition environment. The target 214 can comprise a metal material comprising, for example, at least one of titanium and tungsten. In one version, the target 214 acts as a part of the gas energizer 216 and can be electrically biased to induce sputtering of the target material. A magnetron 217 comprising a magnetic field generator can also be provided as a part of the gas energizer 216. A power applied to the magnetron 217 can energize and maintain a density of the gas to sputter material from the target 214. The metal material can also be co-deposited in the coating 24 by methods other than sputtering, such as for example by thermal evaporation of a metal source, or by a metal ion beam.
  • In one version, a component 20 comprising the coating 24 having the diamond-like material can be refurbished, for example in the chamber embodiment shown in FIG. 7 a, after processing a number of substrates 104. The coating 24 can be refurbished to repair or replace portions of the coating 24 that may have eroded during substrate processing, for example by exposure to an energized gas. A cleaning step may also be performed to remove any residual coating from the surface 26. For example, the surface may be cleaned with a chemical solution that dissolves the coating, or the coating can be grit blasted from the surface 26. In another version of a cleaning process, the residual coating can be removed by a reactive ion etching process in which the residual coating is exposed to an energized etching gas to etch away the remaining coating 24. In the refurbishment process, a coating 24 comprising the diamond-like material is re-deposited on the surface 26 of the component 20, for example by the method described above, including by co-depositing a chemical vapor deposition material simultaneously with a sputtered metal.
  • In yet another version, a coating 24 comprising a diamond-like nanocomposite comprising C:H and Si:O networks can be treated to seal the surface 22 of the coating 24. For example, the surface 22 of the coating 24 can be exposed to an oxygen-containing reactant, such as water vapor, that reacts with carbon atoms in the diamond-like material to form gaseous products, such as for example CO and CO2. The gaseous products leave the surface 22, providing a “densified” diamond-like surface material having a higher silicon content and a reduced amount of carbon. For example, the surface 22 of the coating 24 may comprise at least about 90 atom % of Si and O. The “densified” surface 22 acts as a sealant against further moisture, and provides improved processing performance of the component having the coating 24.
  • In another version, the contamination reducing coating comprises a high-purity ceramic having characteristics that reduces the contamination of substrates 104 from surfaces 22 having the high-purity material. In one version, the contamination-reducing material comprising the high-purity ceramic comprises high-purity silicon carbide. The contamination-reducing silicon carbide material comprises a purity of at least about 99% and even at least about 99.999%, and can comprise less than about 5×1012 atoms/cm2 to less than about 5×109 atoms/cm2 of metal atoms, such as less than about 5×1010 atoms of metal atoms per cm2. The silicon carbide material also desirably comprises a high density, such as a density of from about 98% to about 100% of the theoretical density, such as at least about 99% of the theoretical density. The surface 22 comprising the metal contamination reducing silicon carbide material can also be polished to provide a low coefficient of friction of less than about 0.3, such as from about 0.05 to about 0.2, and can provide a substantially smooth surface having a low surface roughness, such as an average surface roughness of less than about 0.2 micrometers.
  • Suitable contamination-reducing silicon carbide materials can be fabricated by, for example, a high purity silicon carbide sintering method, as described for example by U.S. Pat. No. 6,001,756 to Takahashi et al, filed on May 9, 1997 and assigned to Bridgestone Corporation, which is herein incorporated by reference in its entirety. For example, the contamination-reducing silicon carbide material can comprise a coating 24 having a layer of high-purity sintered silicon carbide. Also, a coating of high purity silicon carbide can be deposited onto the surface 26 of a component 20, for example by a chemical vapor deposition method which reacts carbon and silicon-containing precursors to form a deposited silicon carbide coating. A coating 24 can also be formed by, for example, thermochemical conversion of a carbonaceous material, such as graphite, with a reactant containing silicon, an example of which conversion is described in U.S. Pat. No. 5,705,262 to Bou et al., filed on Oct. 26, 1994, and assigned to Le Carbone Lorraine, which is herein incorporated by reference in its entirety.
  • In another version, a contamination reducing material comprises a high-purity ceramic comprising silicon nitride. The high-purity silicon nitride material may have the desired contamination-reducing characteristics, such as less than about 5×1012 atoms/cm2 of contaminate metals, and even less than about 5×1010 atoms/cm2 of contaminate metals. The silicon nitride material may also have a density of from about 98% of the theoretical density to about 100% of the theoretical density, such as at least about 99% of the theoretical density. The high-purity silicon nitride material may have a coefficient of friction of less than about 0.3, such as from about 0.05 to about 0.2, and a hardness of from about 10 GPa to about 18 GPa, such as at least about 16 GPa. Furthermore, the silicon nitride surface may be polished to provide a surface roughness average of less than about 0.4 micrometers. Also, a coating 24 comprising the metal contamination-reducing Si3N4 can exhibit good adhesion to metal surfaces such as stainless steel even at temperatures of at least about 550°. The surface 22 comprising the silicon nitride may comprise a silicon nitride coating 24, such as for example a coating 24 formed by a chemical vapor deposition process.
  • Other high-purity ceramic materials that may serve as contamination reducing coatings can comprise, for example, at least one of silicon and silicon oxide. The silicon and silicon oxide materials have a high purity with less than about 5×1012 contaminant metals per cm2. The materials are also desirably polished to provide the desired coefficient of friction of less than about 0.3, and an average surface roughness of less than about 0.4 micrometers.
  • In one version, a coating 24 comprising a contamination reducing coating can coat a base layer 130 that covers a surface 26 of a component 20 to form a protective cap 133, as shown for example in FIG. 5. The cap 133 provides protection of the underlying component structure 25, while providing a contamination reducing surface 22 that reduces contamination of substrates 104. The cap 133 can also comprise a conformal ledge 136 that covers a peripheral edge 137 of the underlying structure 25 to protect the structure 25. In one version, the cap 133 comprises a coating 24 having a high-purity silicon carbide layer that is formed over the graphite base layer 130, for example by chemical vapor deposition or thermochemical conversion of the surface of the graphite base layer 130, to provide a coating surface 22 having the contamination-reducing materials. In another version, the cap 133 comprises a base layer 130 comprising a metal infiltrated silicon carbide material that is coated by a high-purity silicon carbide coating 24. The infiltrated silicon carbide base layer 130 is formed by infiltrating the pores of a porous sintered silicon carbide material with a metal, such as silicon metal. For example, the silicon metal can be infiltrated to provide a volume percent of from about 20% to about 80% of the base layer material. A coating 24 comprising silicon carbide is formed over the base 130 comprising the infiltrated silicon carbide material by, for example, chemical vapor deposition, to form a high purity silicon carbide layer that reduces contamination. Alternatively, the cap 133 may be substantially entirely made from silicon carbide, such as sintered silicon carbide, to form the coating 24, or may have a sintered silicon carbide base layer 130 covered by a silicon carbide coating 24.
  • In one version, the cap 133 comprises a base layer 130 that is substantially entirely covered by the coating 24, as shown for example in FIG. 5. In this version, the coating 24 can cover a top surface 131, bottom surface 134 and even a side surface 135 of the base layer 130. Providing such a coating 24 can be beneficial because thermal stresses that can develop between the coating 24 and base layer 130 can be reduced. For example, during a cooling step performed after applying the coating 24 by a chemical vapor deposition method, differences in the thermal expansion coefficient of the coating 24 and base layer 130 can cause stresses that could induce bowing or other deformation of the coating surface 22. By applying the coating 24 to the bottom surface 134 of the base layer 130 as well as the top surface 131, the stresses at the top surface 131 can be at least in part compensated for, to even out the stresses at the top and bottom surfaces 131,134 and reduce the deformation of the coating surface 22.
  • In one version, an adhesion layer 140 is provided to secure the coating 24 comprising the contamination-reducing material to the underlying component structure. For example, as shown in FIGS. 1 and 2, the adhesion layer 140 may be applied to the upper surface 26 of the component 22, and the coating 24 may be formed thereover to adhere the coating 24 to the surface 26. For example the adhesion layer 140 can comprise at least one of titanium, aluminum, zirconium and chromium. In one version, the adhesion layer 140 comprises a metal such as titanium that bonds well to both metal and non-metallic materials. The adhesion layer 140 can comprise a thickness of, for example, from about 0.25 to about 4 microns. The coating 24 and the cap 133 can also be mechanically affixed to the underlying component structure 25, for example with connector pins.
  • In one version, a component 20 having the contamination reducing material comprises a support structure 25 comprising a substrate support 100 having an electrostatic chuck 102, and embodiment of which is shown in FIG. 1. The electrostatic chuck 102 comprises an electrode 108 at least partially covered by a dielectric body 109, and may even be substantially entirely covered by the dielectric body 109. The electrode 108 is chargeable by a voltage supply to electrostatically hold a substrate 104 on the chuck 102. In one version, the dielectric body 109 comprises a dielectric material having a relatively low resistivity of below about 1012 Ohms·cm, such as for example at least one of aluminum nitride, and boron nitride. The relatively low-resistivity dielectric body can promote a Johnson-Rahbek effect to hold the substrate on the chuck 102, by allowing electric charge to at least partially migrate through the dielectric body 109 to hold the substrate 104. Other low-resistivity dielectric materials suitable for the dielectric body can include, for example, aluminum oxide doped with at least one of titanium oxide and chromium oxide.
  • The electrostatic chuck 102 comprises a plurality of mesas 112 on an upper surface 26 of the dielectric body 109 that support the substrate 104. The plurality of mesas 112 can be shaped and distributed to provide an optimum electrostatic chucking force, and can also provide a desired heat transfer gas flow distribution to upper surface of the dielectric body. For example, the mesas 112 can be arranged in spaced-apart, concentric rings on the upper surface 26. The composition of the mesas 112, as well as the height and width of the mesas 112, can also be selected to provide the desired electrostatic chucking force. For example, the mesas 112 can comprise a dielectric material having a relatively high resistivity, to form a hybrid Johnson-Rahbek electrostatic chuck. An example of a hybrid Johnson-Rahbek electrostatic chuck having supporting mesas 112 is described in U.S. Pat. No. 5,903,428 to Grimard et al, filed on Sep. 25, 1997 and commonly assigned to Applied Materials, which is herein incorporated by reference in its entirety. The mesas 112 can also comprise a conductive material such as a metal-containing material with low resistivity, such as a TiAIN material as described for example in Taiwan Patent No. 0466667 to Tsai, filed on Jun. 29, 2000 and commonly assigned to Applied Materials, which is herein incorporated by reference in its entirety.
  • In one version, the mesas 112 comprise a coating 24 having at least one of the contamination-reducing materials described above. For example, substantially the entire mesa 112 can comprise the coating 24 formed from a contamination-reducing material. A suitable height of mesas 112 that substantially entirely comprise the contamination-reducing material may be from about 0.25 micrometers to about 6 micrometers. Alternatively, the mesa 112 can comprise a surface coating 24 of the contamination-reducing material that overlies the rest of the mesa 112. The mesas 112 can comprise a contamination-reducing material comprising at least one of a diamond like material, such as for example diamond-like carbon, a diamond-like nanocomposite, and a metal-containing diamond-like material. The mesas 112 can also comprise a contamination-reducing material comprising a high-purity ceramic, such as at least one of the silicon carbide, silicon nitride, silicon and silicon oxide materials described above. The mesas 112 can also comprise an adhesion layer 140, for example comprising titanium, that improves adhesion of the coating 24.
  • In one version, the mesas 112 comprise a diamond-like material, such as diamond-like carbon or a diamond-like nanocomposite material, that is tailored to provide a desired resistivity, such as a resistivity of from about 102 Ohms·cm to about 1010 Ohms·cm. For example, the mesas 112 may comprise a diamond-like material having the proportion of sp2 hybridized carbon atoms selected to provide an electrical resistivity of the mesa 112 of from about 104 Ohms·cm to about 108 Ohms·cm, such as a percent of sp2 hybridized carbon atoms of from about 5% to about 10%. As another example, the concentration of metal additive in the diamond-like material can be varied to provide the desired resistivity of the material. For example, a suitable diamond-like material may comprise from about 1 to about 10 atom % of a metal additive such as titanium, to provide a resistivity of from about 104 to about 108 Ohm·cm, such as about 106 Ohm·cm.
  • In another version, the mesas 112 comprise a high-purity ceramic, such as at least one of silicon carbide, silicon nitride, silicon and silicon oxide, and the surface 22 of the mesas 112 can be polished to provide a low average surface roughness, to reduce contamination of the substrate 104 from the surface. The average surface roughness of the mesa surface 22 can be relatively low, as the electrostatic chucking force holds the substrate 104 on the support 100. For example, the surface 22 of the mesas 112 comprising the high-purity ceramic, such as for example silicon nitride, may comprise an average surface roughness of less than about less than about 0.4 micrometers, and even less than about 0.1 micrometers.
  • In another version, a component 20 comprising the contamination-reducing material comprises a support structure 25 comprising a heat exchange pedestal 150, such as for example a heating pedestal 151, an embodiment of which is shown in FIG. 2 a, or a cooling pedestal 152, an embodiment of which is shown in FIG. 2 b. The heat exchange pedestal is adapted to exchange heat with the substrate 104 to provide a desired temperature of the substrate 104. For example, a heating pedestal 151 may heat a substrate 104 to remove or de-gas contaminant materials from the substrate 104 before processing of the substrate. The cooling pedestal 152 may cool the substrate 104 to a desired temperature, such as a temperature that is suitable for handling the substrate after processing. The heat exchange pedestal 150 comprises a thermally conductive pedestal body 154 adapted to exchange heat with the substrate 104, and a receiving surface 22 to receive a substrate. The heat exchange pedestal 150 further comprises a heat exchanger 157 comprising at least on of a heater 155 and conduits 158 through which a heat exchange fluid can be flowed. In one version, the pedestal body 154 comprises a metal material, such as at least one of stainless steel, aluminum and titanium. For example, a suitable heat exchange pedestal 151 may comprise a pedestal body 154 comprising stainless steel, and a suitable cooling pedestal 152 can comprise a pedestal body 154 comprising aluminum.
  • A heating pedestal 151 further comprises a heater 155, such as a resistive heater, or conduits (not shown) through which a heated fluid can be flowed. The heating pedestal can also be heated by overhead heating lamps (not shown.) The heating pedestal may be capable of heating the substrate 104 to a temperature of at least about 200° C. to at least about 400° C. The cooling pedestal 152 can typically comprise cooling conduits 158 through which a cooled fluid can be flowed to cool the substrate 104. The cooling pedestal may be capable of cooling the substrate 104 to a temperature of less than about 80° C. One or more of the heating and cooling pedestals 151, 152 may be located in a separate chamber in an integrated vacuum multi-chamber system, an example of which is shown in FIG. 6, to provide the desired heat treatment or cooling of the substrate before or after processing of the substrate 104 in a process chamber 106.
  • In one version, the heat exchange pedestal 150 comprises the coating 24 comprising at least one of the contamination reducing coatings. For example, the heat exchange pedestal 150 can comprise a coating 24 comprising at least one of a diamond-like material and a high-purity ceramic material. The coating 24 can be formed over an upper surface 26 of the pedestal body 154 to protect the substrate 104, and can even cover substantially the entire upper surface 26 of the pedestal body 154. Also, the coating 24 can be provided as a part of a protective cap 133 that covers the surface 26, as shown in FIG. 5. A thickness of the coating 24 is selected to inhibit migration of the heating body materials to the substrate 104, while also providing good heating of the substrate 104. For example, a suitable thickness of the coating 24 may be from about 0.25 micrometers to about 6 micrometers. The adhesion layer 140 may be provided on the surface 26 of the heat exchange pedestal 150 to secure the coating 24 to the pedestal 150. A suitable thickness of the adhesion layer 140, such as a layer comprising titanium, may be from about 0.25 micrometers to about 1 micrometer. In one version, the heat exchange pedestal 150 comprises a coating 24 of a diamond-like material. In another version, the heat exchange pedestal comprises a coating 24 of high-purity silicon carbide. In another version, the heat exchange pedestal comprises a coating 24 of high-purity silicon nitride. In yet another version, the heat exchange pedestal 150 comprises a cap 133 having a base layer 130 comprising graphite or silicon infiltrated silicon carbide, and a coating 24 of silicon carbide that substantially entirely covers the base layer 130.
  • Furthermore, as the heat exchange pedestal 150 typically exchanges heat with the substrate 104 substantially without electrostatically holding the substrate 104, the support surface 22 may be tailored to improve retention of the substrate 104 on the surface 22. For example, the surface 22 of the coating 24 on the heat exchange pedestal 150 may comprise a slightly higher average surface roughness than the surface of mesas 112 on an electrostatic chuck. However, the surface roughness is desirably maintained low enough to inhibit contamination of the substrate 104. A suitable average surface roughness may be less than about 0.4 micrometers, such as from about 0.1 micrometers to about 0.4 micrometers.
  • In one version, the retention of the substrate 104 is improved by forming grooves 159 in the surface 22. The grooves 159 may comprise, for example radially spaced circular grooves. In one version, the surface 22 comprises 4 grooves spaced at least about 1 cm apart, and having a depth of from about 50 micrometers to about 500 micrometers, and a width of from about 1 millimeter to about 3 millimeters. In one version, the grooves 159 are formed by machining or otherwise forming grooves in surface 26 of the pedestal body 154. A conformal coating 24 of the contamination reducing coating is applied to the surface 26 of the pedestal body 154, resulting in a coating 24 having a grooved upper surface. An adhesion layer 140 may also be applied before the conformal coating 24 is formed. Providing grooves 159 may be especially advantageous for materials such as the diamond-like materials, which are typically very smooth, and which in some instances may otherwise not provide adequate retention of the substrates 104 on the pedestal 150. In one version, the grooves 159 may even be adapted to flow a heat exchange fluid therethrough to exchange heat with a substrate 104 on the pedestal 150.
  • In one version, the surface 22 of the pedestal body 154 comprises a pattern of grooves 159 that is capable of equalizing the pressure on the front and backside of a substrate 104 placed on the surface 22. For example, the heat exchange pedestal 150 may comprise a de-gassing pedestal that is used to de-gas substrates 104 before or after processing. The pattern of grooves 159 may inhibit the build-up of a pressure differential between the substrate front and backsides, thus reducing the incidence of “sticking” of the substrate to the surface 22. An example of a pattern of grooves 159 that is suitable for equalizing the pressure is shown in FIG. 8. In this version, the pattern of grooves 159 comprises a plurality of circle grooves 173 having different radii, and which are desirably concentric. The circle grooves 173 serve to distribute gas pressure evenly about the center 174 of the surface 22. The circle grooves 173 can comprise, for example, a first circle groove 17 a having a first radius, and a second circle groove 173 b having a second radius, the second radius being larger than the first radius. The pattern of grooves 159 further comprises a plurality of radius grooves 175 that extend across the substrate receiving surface 22, and lie substantially only between the circle grooves 173. The radial grooves serve to distribute the gas pressure across the diameter of the surface 22. In one version, the radius grooves extend substantially only from the first circle groove 173 a to the second circle groove 173 b. The surface may further comprise a recessed central region 176 that is within the first circle groove 173 a. The central region 176 inhibits contact of the surface 22 with the substrate 104, to inhibit the adhesion or sticking of substrates 104, such as slightly bowed substrates 104 to the center of the surface 22.
  • In one exemplary version, the pattern of grooves 159 comprises from about 3 to about 8 circle grooves 173, such as 4 circle grooves 173, and comprises from about 2 to about 24 radius grooves 175, such as 12 radius grooves 175. The grooves 159 may comprise a depth of from about 0.5 mm (0.02 inches) to about 1 mm (0.04 inches), such as about 0.8 mm (0.03 inches). The grooves may also comprise a rounded cross-sectional profile, such as a half-circle cross-sectional profile, as shown for example in FIG. 2A. The pattern of grooves 159 may serve the further purpose of reducing slipping of the substrate 104 on the surface 22 during placement of the substrate 104 on the pedestal 150.
  • In yet another version, a component 20 comprising the contamination-reducing material comprises a support structure 25 having a body 154 comprising a disc 177 with a recessed peripheral ledge 178, as shown for example in FIGS. 8, 9 a and 9 b. For example, the component 20 may comprise a heat exchange pedestal 150 such as a de-gassing pedestal having a diamond-like coating 24 and recessed peripheral ledge 178. The recessed peripheral ledge 178 comprises a radial width that is sized sufficiently large such that the perimeter edge 179 of the substrate 104 overhangs at least a portion of the peripheral ledge 178, and contact between the ledge 178 and substrate 104 is substantially avoided, as shown for example in FIG. 9 b. The recessed peripheral ledge 178 can form a continuous ring about the periphery of the disc 177, as shown in FIG. 9 a. The recessed peripheral ledge 178 is believed to reduce the contamination of substrates 104 because contact is reduced between the surface 22 of the pedestal 150 and the perimeter edge 179 of the substrate 104, which can comprise a contaminated region in some substrates 104. Contact between a contaminated substrate peripheral edge 179 and the surface 22 of the pedestal 150 can result in the transfer of contaminant particulates to the pedestal 150, and the contamination of subsequent substrates 103 placed on the pedestal 150. However, by providing a recessed peripheral ledge 178, the contact between such contaminated areas and the support surface 22 is reduced, and the contamination of subsequent substrates 104 placed on the surface 22 is also reduced. The recessed peripheral ledge 178 may desirably comprise a radial width of at least about 1/150th of the diameter of the overall disc 177. For example, the recessed peripheral ledge 178 may comprise a radial width of at least about 2 mm for a disc 177 having a diameter of 300 mm. A suitable depth at which the peripheral ledge 178 may be recessed away from a 25 top surface 182 of the disc 177 may be a depth of at least about 2 mm. The recessed peripheral ledge 178 can be provided in combination with a pattern of grooves 159 on the surface 22, as shown in FIG. 8, to provide reduced contamination and pressure equalizing in the processing of substrates 104.
  • In yet another version, a component 20 comprising the contamination-reducing material comprises a support structure 25 comprising a lift pin 160, an embodiment of which is shown in FIG. 3. The lift pin 160 comprises a moveable elongated member 161 having a tip 162 adapted to lift and lower a substrate from a surface of a support 100. The lift pin 160 can be a part of a lift pin assembly 163, including a lift pin support 164 that holds one or more lift pins 160, and that can be attached to a bellows (not shown) to raise and lower the lift pins 160. The lift pin 160 can comprise at least one of the contamination-reducing materials described above, such as at least one of the diamond-like materials and the high-purity ceramics. For example, the lift pin 160 may comprise a coating 24 of the contamination reducing-material that covers at least a portion of the tip 162 of the lift pin 160, to provide a contact surface 22 that reduces contamination of the substrate 104. In one version, a preferred contamination reducing coating for the lift pin 160 comprises a coating 24 comprising a diamond-like material, the coating 24 having a thickness or from about 1 micrometer to about 4 micrometers on the tip 162 of the lift pin 160. In another version, a preferred contamination reducing coating for the lift pin 160 comprises a coating 24 comprising a high-purity ceramic comprising silicon nitride. In yet another version, the preferred contamination reducing coating comprises silicon carbide.
  • In yet another version, a component 20 that is capable of reducing the contamination of substrates 104 comprises a substrate lifting assembly 185 that is adapted to lift a substrate 104 from a substrate support 100 and transport the substrate 104, as shown for example in FIG. 10 a. For example, the substrate lifting assembly 185 may be adapted to lift and lower a substrate 104 onto and off of a support 100 such as a heat exchange pedestal 150. The lifting assembly 185 comprises a hoop 186 that is sized to fit about a periphery 187 of the support 100. A pair of arcuate fins 188 are mounted on the hoop 186, for example in the opposing arrangement shown in FIG. 10 a. Each arcuate fin 188 comprises a pair of opposing ends 189 that are angled inwardly towards the support 100. Each opposing end 189 comprises a ledge 190 that also extends inwardly towards the support 100.
  • The ledges 190 on each opposing end 189 of the arcuate fins 188 cooperate to form a lifting structure that is capable of lifting a substrate 104 off of and onto the support 100 by setting the substrate 104 on the ledges 190. The ledges 190 may be connected to the opposing ends 189 by a beveled connecting region 191 that slopes downwardly from each end 189 to the ledge. The ledges 190 are desirably sized to suitably support the substrate 104, and may also extend inwardly a sufficient distance to support the substrate 104 without excessive contact or rubbing between the beveled connecting region 191 and the substrate 104, thereby reducing the contamination of the substrate 104. The ledges 190 may even be sufficiently large such that the substrate 104 substantially does not contact the beveled connecting region 191 at the opposing ends. For example, to lift and transport a substrate 104 having a diameter of about 300 mm, the ledges 190 may extend inwardly from the opposing ends 189 by at least about 7 mm.
  • The substrate lifting assembly 185 is further improved by providing at least one raised protrusion 192 on the upper surface 193 of each ledge 190 that is sized and shaped to minimize contact between the substrate 104 and the ledge 190 during lifting and lowering of the substrate 104, as shown for example in FIG. 10 b. Minimizing contact between the substrate 104 and ledge surface 193 further reduces the contamination of the substrate 104 by the ledge 190, allowing for improved results in the processing of the substrate 104. Also, substrates 104 that have already been contaminated can be safely handled by the lifting assembly 185 having the raised protrusions 192 substantially without transferring excessive amounts of contamination to the ledges 190 or to subsequent substrates lifted by the ledges 190. The protrusions 192 may also be located towards and even at inward ends 195 of the ledges 190, such that the raised protrusions 193 contact the substrate 104 at regions away from the perimeter edge 179 of the substrate 104, and which are typically less contaminated than the perimeter edge 179 of the substrate 104. For example, the raised protrusions 193 may be spaced away from the opposing ends 189 such that they contact the substrate at a diameter that is at least about 4 mm inside the perimeter edge 179 of the substrate 104, and even at least about 7 mm inside the perimeter edge 179. Thus, the protrusions 193 may be spaced away from the opposing ends 189 by at least about 4 mm and even at least about 7 mm. A suitable height of the raised protrusions 193 to minimize contact of the substrate 104 with the ledges 190 may be a height of at least about 1 mm, such as from about 1 mm to about 2 mm, and even at least about 1.5 mm.
  • In one exemplary version, the substrate lifting assembly 185 comprises a single raised protrusion 193 on each ledge 190 of the arcuate fins 188, yielding 4 total protrusions 193 on which a substrate 104 to be lifted and transported may rest. Each protrusion 193 is spaced inwardly from an opposing end 189 of the arcuate fin 188 such that the protrusion 193 contacts the substrate 104 at a region that is about 7.5 mm inward of the perimeter edge 179 of the substrate 104. The protrusions have a height above the surface 193 of the ledge 190 of about 1.6 mm ( 1/16 inch.) In one version, the arcuate fins 188 comprise a metal material, such as for example at least one of stainless steel and aluminum. The arcuate fins 188 may also comprise a contamination reducing material, such as a coating 24 of a diamond-like material such as a diamond-like nanocomposite, to further reduce contamination of the substrates 104. For example, the protrusions 193 may comprise a contamination-reducing material such as a diamond-like nanocomposite. A contamination reducing-ceramic, such as for example at least one of high purity alumina and quartz, or other non-metallic material may also be provided to form the protrusions 193, and the arcuate fins 188 may also be entirely made of the contamination-reducing ceramic material. As shown in FIG. 10, a second pair of arcuate fins 188 may also be mounted above or below the first pair of arcuate fins to allow the simultaneous transport of more than one substrate 104.
  • In yet another version, the substrate lifting assembly may be a part of a substrate transport system 198 further comprising a substrate transfer arm 103 that is capable of transferring a substrate to and from the pair of arcuate fins 188, as shown for example in FIG. 7B. The substrate transfer arm 103 may be a part of a transfer chamber robot 119 that is capable of delivering substrates to different chambers in a multi-chamber apparatus, as shown for example in FIG. 6. The substrate transport system may further comprise a controller 194 having program code to control the substrate transfer arm 103 and lifting assembly 185 to reduce the contamination of substrates 104 being transported by the arm 103 and lifting assembly 185. In one version, the controller 194 comprises substrate centering control program code to send control signals to the transfer arm 103 to move the transfer arm 103 such that the substrate 104 is substantially aligned along a central axis 197 of the chamber, and above the center of the support 100. By correctly positioning the substrate 104 substantially aligned with the central axis of the chamber 106, the correct positioning of the substrate 104 on the arcuate fins 188 may be more readily achieved, substantially without excessive slipping of the substrate 104 when placed on the arcuate fins 188, which slipping can otherwise abrade and contaminate the substrate 104. The controller 194 may further comprise program code to raise the hoop 186 to lift the arcuate fins 188 towards the substrate transfer arm 103, and to operate the hoop 186 and transfer arm 103 in conjunction to transfer the substrate between the transfer arm 103 and arcuate fins 188. The hoop 186 may then be lowered by the controller 194 to set the substrate 104 on the support 100 for processing.
  • In one version, the substrate transport system 198 comprises a detector 199 that is capable of detecting a position of one or more of the substrate 104 and transfer arm 103, and generating a signal in relation to the detected position that can be used to properly position the substrate 104 in the chamber 106. In one version, the detector 199 comprises a pair of light sensors 200 a,b that are arranged on opposite ends 203 a,b of a slit valve 201 comprising an opening through which the substrate 104 and transfer arm 103 enter the chamber 106, as shown for example in FIG. 11. The light sensors 200a,b may be capable of determining whether the substrate 104 being transported through the slit valve 201 by the transfer arm 103 is substantially centered as it passes through the slit valve 210, or whether the substrate and transfer arm are off-center and have been shifted towards one or the other end 203 a,b of the slit valve. In one version, the light sensors 200 a,b are capable of detecting an intensity of light reaching each sensor, and the intensity of light detected by each sensor 200 a,b can be compared to determine the relative position of the substrate 104 and transfer arm 103. For example, the amount of light that is being blocked from reaching each light sensor 200 a,b provides an indication of the location of substrate 104 and transfer arm 103 relative to the sensors 200. The signal generated by the light sensors 200 a,b in relation to the detected light can thus be used by the controller 194 to calculate the location of the substrate 104 as it is being transferred into the process chamber 106, and to generate control signals to control the position of the transfer arm 103 and substrate 104 in the chamber 106. Other means of detecting the substrate position can also be used in addition to or as an alternative to the light sensors 200 a,b, and the light sensors 200 a,b can also comprise different arrangements about the slit valve 201.
  • In one version, the controller 194 acts as a part of the transport system 198 by using the signal generated by the detector 199 to calculate an offset distance that is a difference between the detected position of the substrate 104 and a center of the process chamber 106 that is aligned with the chamber central axis 197. The controller 104 can then generate a control signal in relation to the offset distance to control the movement of the transfer arm 103 to position the substrate 104 substantially over the center of the support 100 and along the central axis 197 of the chamber 106, thus reducing the incidence of abrasion of the substrate 104 resulting from off-centered delivery of the substrate 104 to the lifting assembly 185. For example, the controller 194 may provide control instructions to the transfer arm 103 to move to the left or right, for example towards one or other end 203 a,b of the slit valve 201, to center the substrate 104 in a plane parallel to the central axis 197 of the chamber. The controller 194 may also comprise program code to generate control instructions to move the transfer arm 103 and substrate 104 forward into the chamber a distance that is sufficient to align the center of the substrate with the central axis 197 of the chamber 106, and position the substrate 104 over substantially the center of the support 100. Thus, the transport system 198 can be used to transport the substrate into the process chamber and align the substrate 104 in the chamber such that contamination due to misalignment and abrasion of the substrate is reduced.
  • To remove the substrate 104 from the chamber 106, the controller 194 may comprise program code to operate the transfer arm 103 and lifting assembly 185 through the above transfer steps in reverse. For example, the controller 194 may comprise program code to operate the hoop 186 to lift the substrate 104 off the support 100 and onto the arcuate fins 188, and raise the substrate 104 in the chamber 106 along the central axis 197. The transfer arm 103 may be operated to locate and move to the central axis 197 of the chamber 106, and operate in conjunction with the lifting assembly 185 to transfer the substrate 104 from the arcuate fins 188 to the transfer arm. The controller 194 may also use signals from the detector 199 to align the transfer arm 103 in the process chamber 106 to receive the substrate 104 from the lifting assembly 185 substantially without abrading and contamination the substrate 104. The controller 194 may then instruct the transfer arm 103 to remove the substrate 104 from the chamber 106, and for example, to provide a fresh substrate 104 in the chamber 106. Thus, the transfer arm 103 and controller 194 can facilitate a reduction in the contamination levels of processed substrates by providing for the desired alignment of the substrate 104 in the chamber, such that excessive abrasion and rubbing does not occur between the substrate 104 and chamber components such as the lifting assembly 185 and support 100.
  • In one version, the transfer arm 103 that is capable of transferring the substrate 104 into and out of the process chamber 106, for example from a vacuum or de-gassing chamber, may itself comprise a contact surface 22 that contacts the substrate 104 during the transfer process, and that comprises a contamination-reducing material that is capable of reducing contamination of the substrate 104. For example, the transfer arm 103 may comprise a transfer blade 205 having a coating 24 of a contamination-reducing material having the contact surface 22 thereon, as shown for example in FIG. 11. The contamination-reducing material may be, for example, a diamond-like material such as a diamond-like nanocomposite. In another example, the transfer arm 103 may reduce contamination of the substrate 104 by minimizing contact with the substrate 104 as it is transferred into and out of the process chamber 106. For example, the transfer arm 103 may comprise one or more raised protrusions 206 that raise the substrate 104 and minimize contact of the substrate 104 with the rest of the transfer blade 205, such as raised protrusions having a height of at least about 1.6 mm. In one version, the protrusions 206 may even be arranged on the contact surface 22 of the transfer blade 205 such that they substantially do not contact the backside perimeter edge 179 of the substrate 104, thereby reducing the contact between the transfer arm 103 and a region of the substrate 104 that typically comprises a relatively high amount of contaminants. For example, the raised protrusions may be arranged such that they contact the backside of the substrate 104 at a diameter that is at least about 4 mm inside the perimeter edge 179 of the substrate 104. Thus, the transfer arm 103 may be adapted to reduce the contamination of the substrate 104 during transfer of the substrate into and out of a process chamber 106.
  • In another version, a component 20 comprising the contamination-reducing material comprises a support shutter 180, an embodiment of which is shown in FIG. 4. The support shutter 180 is adapted to protect a surface 28 of a substrate support 100 when the substrate 104 is not present on the support 100, for example during a chamber cleaning process. The shutter 180 inhibits the deposition of material onto the surface 28, such as material that can be knocked loose from a sputtering target during cleaning of the target and chamber. The shutter 180 typically comprises a structure 25 comprising a disc 181 that is sized and shaped to cover at least a portion of the surface 28 of the support 100, and may even substantially entirely cover an exposed surface 28 of the support 100. The surface 28 can comprise, for example, the top surfaces 22 of mesas 112 (not shown), and can also comprise the top of a substantially planar support surface 28 (as shown.) A mechanical arm (not shown) can rotate the shutter disc 181 onto the surface 28 of the support to cover the surface 28, and can rotate the shutter disc 181 away from the support surface 28 to process a substrate 104 on the support 100.
  • To reduce contamination of the support surface 28, and thus the substrate 104, the shutter disc 181 desirably comprises at least one of the contamination-reducing materials described above, such as for example at least one of the diamond-like materials and high-purity ceramic materials. In one version, the shutter disc 181 comprises a bottom surface 183 comprising a coating 24 having the contamination-reducing material. The coating 24 provides a lower surface 184 that reduces contamination of the substrate and support from metal particulates resulting from contact of the surface 184 with the surface 28 of the support 100. The shutter disc 181 can also be mechanically attached to a coating layer 24 of contamination reducing coating, for example with a connecting pin. In another version, the disc 181 comprises a top surface 189 having the metal-contamination reducing material, such as the coating 24 (not shown), and the disc 181 may also comprise a coating 24 that covers substantially the entire disc. The shutter disc 181 can comprise a contamination reducing material comprising, for example, at least one of high purity silicon carbide, silicon nitride, silicon and silicon oxide. In a preferred version, the lower surface 184 of the shutter disc 181 comprises a contamination reducing coating 24 comprising a high-purity silicon nitride material.
  • Other components 20 that could comprise the contamination-reducing materials described can include the blades of robot transfer arms, rings on a substrate support, and other components involved in the support or transfer of substrates 104 for processing.
  • The components 20 having the contamination reducing coatings may be a part of a multi-chamber apparatus 102 comprising a plurality of processing chambers 106 a-d. An embodiment of an apparatus 102 suitable for processing substrates 10 comprises one or more processing chambers 106 a-d, as shown in FIG. 6. The chambers 106 a-d are mounted on a platform, such as an Endura 2 platform from Applied Materials, Inc., of Santa Clara, Calif., that provides electrical, plumbing, and other support functions. The platform 109 typically supports a load lock 113 to receive a cassette 115 of substrates 104 to be processed and a substrate transfer chamber 117 containing a robot 119 to transfer substrates from the cassette 115 to the different chambers 106 a-d for processing and return them after processing. The different chambers 106 a-d may include, for example, a cleaning chamber, an etching chamber, a deposition chamber for depositing materials on substrates, optionally, a heat treatment chamber, and other processing chambers. For example, in one version, one of the chambers 106 a-d comprises a heat treatment chamber comprising a heating pedestal 151 to heat the substrate 104 before processing to degas the substrate 104. After degassing of the substrate 104, the substrate 104 can be transferred by the robot 119 to a process chamber 106 to etch material on the substrate 104. The substrate 104 can also be transferred by the robot 119 to a process chamber comprising a deposition chamber, for example to deposit a barrier layer onto a substrate 104 held on an electrostatic chuck. After processing, the substrate 104 can be transferred by the robot 119 to a cool-down chamber where the substrate can be placed on a cooling pedestal 152 to cool the substrate 104. The chambers 106 a-d are interconnected to form a continuous vacuum environment within the apparatus 102 in which the process may proceed uninterrupted, thereby reducing contamination of substrates 104 that may otherwise occur when transferring wafers between separate chambers for different process stages. The components in the apparatus 102, such as components that contact or support the substrate 104, also desirably comprise contamination reducing materials to reduce the contamination of the substrate 104.
  • In one version, the apparatus 102 comprises a transfer chamber 117 comprising a robot 119 having the transfer arm 103; a degas or heating chamber 106 a having a heating pedestal 151; a pre-clean chamber 106 b adapted to clean a substrate 104 before a deposition process by exposing the substrate 104 to an energized pre-clean gas, the pre-clean chamber comprising a substrate support 100; a deposition chamber 106 c, such as a physical vapor deposition or chemical vapor deposition chamber adapted to deposit a material on the substrate 104, the deposition chamber 106 c having a substrate support 100; and a cool-down chamber 106 d to cool the substrate 104 after processing, the cool-down chamber comprising a cooling pedestal 152. One or more of the chambers 106 a-d may further comprise the substrate lifting assembly 185 with the arcuate fins 188 to raise and lower the substrate 104 on and off of the pedestals 151, 152 and supports 100. The components of the multi-chamber apparatus 102, including the transfer arm 103, lifting assembly 185, supports 100 and pedestals 151, 152 desirably comprise contamination-reducing materials and/or contamination-reducing structures such that a substrate cycled through each of the chambers has a contamination level of less than about 5×1010 atoms/cm3 for iron, and less than about 1×1011 atoms/cm3 for all other metal ions.
  • An embodiment of a process chamber 106 which may comprise the components 20 having the contamination-reducing material is shown in FIG. 7 b. The chamber 106 comprises an enclosure wall 118, which may comprise a ceiling, sidewalls, and a bottom wall that enclose a process zone 113. In operation, process gas is introduced into the chamber 106 through a gas supply 130 that includes a process gas source, and a gas distributor. The gas distributor may comprise one or more conduits having one or more gas flow valves and one or more gas outlets around a periphery of the substrate 104 which may be held in the process zone 111 on the substrate support 100 having a substrate receiving surface 180. Alternatively, the gas distributor may comprise a showerhead gas distributor (not shown). Spent process gas and process byproducts are exhausted from the chamber 106 through an exhaust 120 which may include an exhaust conduit that receives spent process gas from the process zone 113, a throttle valve to control the pressure of process gas in the chamber 106, and one or more exhaust pumps.
  • The process gas may be energized to process the substrate 104 by a gas energizer 116 that couples energy to the process gas in the process zone 1 13 of the chamber 106. In one version, the gas energizer 116 comprises process electrodes that may be powered by a power supply to energize the process gas. The process electrodes may include an electrode that is or is in a wall, such as a sidewall or ceiling of the chamber 106 that may be capacitively coupled to another electrode, such as an electrode 108 in the support 100 below the substrate 104. Alternatively or additionally, the gas energizer 116 may comprise an antenna comprising one or more inductor coils which may have a circular symmetry about the center of the chamber. In yet another version, the gas energizer 116 may comprise a microwave source and waveguide to activate the process gas by microwave energy in a remote zone upstream from the chamber 106. In a physical vapor deposition chamber 106 adapted to deposit material on a substrate 104, the chamber further comprises a target 114 facing the substrate 104 that is sputtered by the energized gas to deposit material from the target 114 onto the substrate 104.
  • To process a substrate 104, the process chamber 106 is evacuated and maintained at a predetermined sub-atmospheric pressure. The substrate 104 is then provided on the support 100 by a substrate transport, such as for example a robot arm 103 and a lift pin 160. The substrate 104 can be held on the support 100 by applying a voltage to the electrode 108 in the support 100, for example via an electrode power supply 172. The gas supply 130 provides a process gas to the chamber 106 and the gas energizer 116 couples RF or microwave energy to the process gas to energizes the gas to process the substrate 104. Effluent generated during the chamber process is exhausted from the chamber 106 by the exhaust 120.
  • The chamber 106 and multi-chamber apparatus 101 can be controlled by a controller 194 that comprises program code having instruction sets to operate components of each chamber 106 a-d to process substrates 104 in the chamber 106, as shown for example in FIG. 7 b. For example, the controller 194 can comprise a substrate positioning instruction set to operate one or more of the substrate support 100 and robot arm 119 and lift pins 160 to position a substrate 104 in the chamber 106; a gas flow control instruction set to operate the gas supply 130 and flow control valves to set a flow of gas to the chamber 106; a gas pressure control instruction set to operate the exhaust 120 and throttle valve to maintain a pressure in the chamber 106; a gas energizer control instruction set to operate the gas energizer 116 to set a gas energizing power level; a temperature control instruction set to control temperatures in the chamber 106; and a process monitoring instruction set to monitor the process in the chamber 106.
  • Embodiments of the invention provide substantial benefits in the processing of substrates, and in particular in the reduction of contamination of substrates 104 by metal ions such as iron. Providing the contamination-reducing materials, as well as contamination reducing components such as the transport blade, can reduce contamination levels to on the order of less than 5×1010 atoms/cm3 for iron, and 1×1011 atoms/cm3 for all other ions, by substantially eliminating contact of the substrate 104 with metal components or components having a metallic surface.
  • Although exemplary embodiments of the present invention are shown and described, those of ordinary skill in the art may devise other embodiments which incorporate the present invention, and which are also within the scope of the present invention. For example, the support 100, heat exchange pedestal 150, lift pins 160, or other components 20 may comprise other shapes and configurations other than those specifically described. Also, the contamination-reducing materials may be fabricated by means other than those specifically described and may comprise different configurations on the components 20. Furthermore, relative or positional terms shown with respect to the exemplary embodiments are interchangeable. Therefore, the appended claims should not be limited to the descriptions of the preferred versions, materials, or spatial arrangements described herein to illustrate the invention.

Claims (36)

1. A substrate transfer arm capable of transferring a substrate into and out of a process chamber, the transfer arm comprising:
(a) a blade; and
(b) a diamond-like coating on the blade, the diamond-like coating comprising interlinked networks of (i) carbon and hydrogen, and (ii) silicon and oxygen, and the diamond-like coating having a contact surface comprising:
(i) a coefficient of friction of less than about 0.3;
(ii) a hardness of at least about 8 GPa; and
(iii) a metal concentration level of less than about 5×1012 atoms/cm2 of metal,
whereby the contact surface reduces contamination of a substrate when directly or indirectly contacting a substrate.
2. A substrate transfer arm according to claim 1 wherein the contact arm comprises one or more raised protrusions so that the substrate contacts substantially only the raised protrusions, thereby minimizing contact with the blade.
3. A support structure according to claim 1 wherein the diamond-like coating comprises a thickness of from about 0.02 to about 20 microns.
4. A support structure according to claim 1 wherein the diamond-like coating comprises a wear factor of less than 5×106 mm3/Nm.
5. A support pedestal capable of reducing particulate contamination of a substrate, the support pedestal comprising:
(a) a pedestal structure comprising a disc having a recessed peripheral ledge; and
(b) a diamond-like coating on the disc, the diamond-like coating comprising interlinked networks of (i) carbon and hydrogen, and (ii) silicon and oxygen, and the diamond-like coating having a contact surface comprising:
(i) a coefficient of friction of less than about 0.3;
(ii) a hardness of at least about 8 GPa; and
(iii) a metal concentration level of less than about 5×1012 atoms/cm2 of metal,
whereby the contact surface reduces contamination of a substrate when directly or indirectly contacting a substrate.
6. A support pedestal according to claim 5 wherein the recessed peripheral ledge comprises a radial width that is sized sufficiently large to avoid contact with a contaminated backside perimeter edge.
7. A support pedestal according to claim 5 wherein the recessed peripheral ledge comprises a radial width of at least about 1/150th the diameter of the disc.
8. A support pedestal according to claim 5 wherein the recessed peripheral ledge comprises a radial width that is at least about 2 mm wide.
9. A support pedestal according to claim 5 wherein the recessed peripheral ledge comprises a depth of at least about 2 mm.
10. A lifting assembly to lift a substrate from a substrate support and transport the substrate, the lifting assembly comprising:
(a) a hoop sized to fit about a periphery of the substrate support; and
(b) a pair of arcuate fins mounted on the hoop, each arcuate fin comprising a pair of opposing ends having ledges that extend radially inward, each ledge having a raised protrusion to lift a substrate so that the substrate contacts substantially only the raised protrusion, thereby minimizing contact with the ledge, when the pair of fins is used to lift the substrate off the substrate support.
11. A substrate lifting assembly according to claim 10 wherein the support ledges extend inwardly from the opposing ends by at least about 4 mm.
12. A substrate lifting assembly according to claim 10 wherein the raised protrusions are spaced inwardly by at least about 4 mm from the opposing ends.
13. A substrate lifting assembly according to claim 10 wherein the raised protrusions comprise a height above a surface of the support ledge of at least about 1 mm.
14. A substrate lifting assembly according to claim 10 further comprising a second pair of arcuate ends mounted below the first pair.
15. A substrate lifting assembly according to claim 10 wherein the pair of arcuate fins comprises at least one of stainless steel and aluminum.
16. A substrate lifting assembly according to claim 10 wherein the pair of arcuate fins comprises at least one of alumina and quartz.
17. A substrate lifting assembly according to claim 10 wherein the arcuate fins comprise a diamond-like coating thereon, the diamond-like coating comprising interlinked networks of (i) carbon and hydrogen, and (ii) silicon and oxygen, and the diamond-like coating having a contact surface comprising:
(i) a coefficient of friction of less than about 0.3;
(ii) a hardness of at least about 8 GPa; and
(iii) a metal concentration level of less than about 5×1012 atoms/cm2 of metal.
18. A heat exchanging support comprising:
(a) a body having a substrate receiving surface with a pattern of grooves;
(b) a diamond-like coating covering the substrate receiving surface, the diamond-like coating comprising a network of carbon, hydrogen, silicon and oxygen, the substrate receiving surface comprising a pattern of grooves thereon; and
(c) a heat exchanger.
19. A support according to claim 18 wherein the heat exchanger comprising at least one of (i) a heater, and (ii) conduits for passing a heat exchange fluid therethrough.
20. A support according to claim 18 wherein the heat exchanger comprises a heater.
21. A support according to claim 18 wherein the heat exchanger comprises a conduit for passing a heat exchange fluid therethrough.
22. A support according to claim 18 wherein the pattern of grooves is capable of equalizing the pressure on the front and backside of the substrate placed on the substrate receiving surface.
23. A support according to claim 18 wherein the pattern of grooves comprises a plurality of circle grooves with different radii, and a plurality of radius grooves that extend radially across the receiving surface and substantially only between the circle grooves.
24. A support according to claim 23 wherein the circle grooves comprise a first circle groove having a first radius and a second circle groove having a second radius, the second radius being larger than the first radius, and wherein the radius grooves extend substantially only from the first circle groove to the second circle groove.
25. A support according to claim 24 comprising a recessed central region within the first circle groove.
26. A support according to claim 23 comprising from about 2 to about 8 circle grooves.
27. A support according to claim 23 comprising from about 2 to about 24 radius grooves.
28. A support according to claim 18 wherein the diamond-like coating comprises at least one of the following properties:
(i) a wear factor of less than 5×10−6 mm3/Nm;
(i) a coefficient of friction of less than about 0.3;
(ii) a hardness of at least about 8 GPa;
(iii) a resistivity of from about 104 Ohm·cm to about 108 Ohm·cm.
29. A substrate transport system to transport a substrate onto a substrate support in a process chamber, the transport system comprising:
(a) a transfer arm to transport the substrate into the chamber;
(b) a detector to detect a position of the transfer arm in the chamber and generate a signal in relation to the position;
(c) a substrate lifting assembly adapted to receive the substrate from the transfer arm and lower the substrate onto the support; and
(d) a controller comprising program code to control the transfer arm, detector, and lifting assembly to transport the substrate onto the substrate support, the program code comprising:
(i) substrate centering control code to control the movement of the substrate transfer arm to position the substrate over substantially the center of the support by (1) receiving the signal from the detector and determining the position of the substrate in the process chamber, (2) calculating an offset distance comprising a difference between the detected position of the substrate and the center of the process chamber, and (3) generating a control signal in relation to the offset distance to control the movement of the transfer arm to position the substrate substantially over the center of the support.
30. A transport system according to claim 29 wherein the process chamber comprises a slit valve through which the substrate enters the chamber, and wherein the detector comprises a pair of light sensors on opposite sides of the slit valve, the light sensors being adapted to detect radiation reflected from the substrate to determine the position of the substrate.
31. A transport system according to claim 29 wherein the lifting assembly comprises:
(a) a hoop sized to fit about a periphery of the substrate support; and
(b) a pair of arcuate fins mounted on the hoop, each arcuate fin comprising a pair of opposing ends having ledges that extend radially inward, each ledge having a raised protrusion to lift a substrate so that the substrate contacts substantially only the raised protrusion, thereby minimizing contact with the ledge, when the pair of fins is used to lift the substrate off the substrate support.
32. A transport system according to claim 29 wherein the system is adapted to transport the substrate onto a support comprising a disc having a recessed peripheral ledge.
33. A substrate processing apparatus comprising:
(a) a process chamber comprising:
(i) a gas supply;
(ii) a gas energizer;
(iii) a substrate support to support the substrate in the chamber, the support comprising a body having a disc comprising a recessed peripheral ledge;
(iv) a lifting assembly to lift a substrate from the support, the lifting assembly comprising (1) a hoop sized to fit about a periphery of the substrate support, and (2) a pair of arcuate fins mounted on the hoop, each arcuate fin comprising a pair of opposing ends having ledges that extend radially inward, each ledge having a raised protrusion to lift a substrate so that the substrate contacts substantially only the raised protrusion, thereby minimizing contact with the ledge, when the pair of fins is used to lift the substrate off the substrate support; and
(v) a gas exhaust;
(b) a transfer arm to transport the substrate into the chamber;
(c) a detector to detect a position of the transfer arm in the chamber and generate a signal in relation to the position; and
(d) a controller comprising program code to control the gas supply, gas energizer, support, lifting assembly, transfer arm and detector to transport the substrate into the process chamber and onto the substrate support, wherein the program code comprises substrate centering control code to control the movement of the substrate transfer arm to position the substrate over substantially the center of the support by (1) receiving the signal from the detector and determining the position of the substrate in the process chamber, (2) calculating an offset distance comprising a difference between the detected position of the substrate and the center of the process chamber, and (3) generating a control signal in relation to the offset distance to control the movement of the transfer arm to position the substrate substantially over the center of the support.
34. An apparatus according to claim 33 wherein the support comprises a diamond-like coating on the body, the diamond-like coating comprising interlinked networks of (i) carbon and hydrogen, and (ii) silicon and oxygen, and the diamond-like coating having a contact surface comprising:
(i) a coefficient of friction of less than about 0.3;
(ii) a hardness of at least about 8 GPa; and
(iii) a metal concentration level of less than about 5×1012 atoms/cm2 of metal,
whereby the contact surface reduces contamination of a substrate when directly or indirectly contacting a substrate.
35. An apparatus according to claim 33 wherein the support comprises a body having a substrate receiving surface with a pattern of grooves, the pattern of grooves comprises a plurality of circle grooves with different radii, and a plurality of radius grooves that extend radially across the receiving surface and substantially only between the circle grooves.
36. A multi-chamber substrate processing apparatus comprising:
(a) a transfer chamber comprising a transfer arm to transfer a substrate between chambers;
(b) a heating chamber to heat the substrate, the heating chamber comprising a heating pedestal to support the substrate thereon;
(c) a pre-clean chamber to clean a substrate by exposing the substrate to an energized gas, the pre-clean chamber comprising a pre-clean support to support the substrate thereon;
(d) a deposition chamber to deposit a material on the substrate, the deposition chamber comprising a deposition support to support the substrate thereon;
(e) a cool-down chamber to cool the substrate, the cool-down chamber comprising a cooling pedestal to support the substrate thereon;
(f) one or more lifting assemblies in the chamber to raise and lower the substrate onto at least one of the pedestals and supports; and
(g) a controller adapted to control the transfer arm and lifting assemblies to transport the substrate into each of the chambers and place the substrate on the pedestals and supports,
wherein at least one of the transfer arm, lifting assemblies, heating pedestal, cooling pedestal, pre-clean support and deposition support have a coating comprising a contamination-reducing material, and
wherein a substrate that is transferred by the transfer arm to each chamber, raised by the lifting assemblies, and processed on the pedestals and supports in each chamber, comprises a metal contamination level of less than about 1×1011 atoms/cm2.
US11/065,702 2004-02-24 2005-02-23 Contaminant reducing substrate transport and support system Abandoned US20050252454A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/065,702 US20050252454A1 (en) 2004-02-24 2005-02-23 Contaminant reducing substrate transport and support system

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/786,876 US7824498B2 (en) 2004-02-24 2004-02-24 Coating for reducing contamination of substrates during processing
US11/065,702 US20050252454A1 (en) 2004-02-24 2005-02-23 Contaminant reducing substrate transport and support system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/786,876 Continuation-In-Part US7824498B2 (en) 2004-02-24 2004-02-24 Coating for reducing contamination of substrates during processing

Publications (1)

Publication Number Publication Date
US20050252454A1 true US20050252454A1 (en) 2005-11-17

Family

ID=34861866

Family Applications (4)

Application Number Title Priority Date Filing Date
US10/786,876 Active 2025-04-13 US7824498B2 (en) 2004-02-24 2004-02-24 Coating for reducing contamination of substrates during processing
US11/065,702 Abandoned US20050252454A1 (en) 2004-02-24 2005-02-23 Contaminant reducing substrate transport and support system
US12/896,272 Expired - Lifetime US8852348B2 (en) 2004-02-24 2010-10-01 Heat exchange pedestal with coating of diamond-like material
US14/337,131 Active 2026-10-03 US10053778B2 (en) 2004-02-24 2014-07-21 Cooling pedestal with coating of diamond-like carbon

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/786,876 Active 2025-04-13 US7824498B2 (en) 2004-02-24 2004-02-24 Coating for reducing contamination of substrates during processing

Family Applications After (2)

Application Number Title Priority Date Filing Date
US12/896,272 Expired - Lifetime US8852348B2 (en) 2004-02-24 2010-10-01 Heat exchange pedestal with coating of diamond-like material
US14/337,131 Active 2026-10-03 US10053778B2 (en) 2004-02-24 2014-07-21 Cooling pedestal with coating of diamond-like carbon

Country Status (6)

Country Link
US (4) US7824498B2 (en)
JP (1) JP5270095B2 (en)
KR (3) KR20120045029A (en)
CN (3) CN101393883B (en)
TW (1) TWI327744B (en)
WO (1) WO2005083752A2 (en)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050152454A1 (en) * 2001-11-27 2005-07-14 Limin Wang Picture level adaptive frame/field coding for digital video content
US20050183669A1 (en) * 2004-02-24 2005-08-25 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US20070047170A1 (en) * 2005-08-29 2007-03-01 Applied Materials, Inc. Electrostatic chuck having textured contact surface
US20080105201A1 (en) * 2006-11-03 2008-05-08 Applied Materials, Inc. Substrate support components having quartz contact tips
US20080190364A1 (en) * 2007-02-13 2008-08-14 Applied Materials, Inc. Substrate support assembly
US20090148256A1 (en) * 2007-12-10 2009-06-11 Nanometrics Incorporated Support Pin with Dome Shaped Upper Surface
US20120287425A1 (en) * 2008-01-11 2012-11-15 Hitachi High-Technologies Corporation Inspection apparatus and inspection method
US20130330064A1 (en) * 2012-06-11 2013-12-12 Applied Materials, Inc. Melt depth determination using infrared interferometric technique in pulsed laser annealing
WO2015094743A1 (en) 2013-12-17 2015-06-25 Applied Materials, Inc. Substrate support apparatus having reduced substrate particle generation
US20150332951A1 (en) * 2014-05-15 2015-11-19 Infineon Technologies Ag Wafer Releasing
US20150333213A1 (en) * 2014-05-19 2015-11-19 Applied Materials, Inc. Diamond-like carbon coatings for substrate carriers
US10186619B2 (en) 2009-11-20 2019-01-22 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US20200019149A1 (en) * 2018-07-16 2020-01-16 Elite Robotics Positioner for a robotic workcell
US20210035767A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Methods for repairing a recess of a chamber component
WO2021163664A1 (en) * 2020-02-13 2021-08-19 Jabil Inc. Apparatus, system and method for providing a substrate chuck

Families Citing this family (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7479456B2 (en) * 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
KR100699994B1 (en) * 2004-08-30 2007-03-26 삼성에스디아이 주식회사 Lamination apparatus and LITI method
US7389645B2 (en) * 2005-11-04 2008-06-24 Applied Materials, Inc. Radiation shield for cryogenic pump for high temperature physical vapor deposition
JP2009519379A (en) * 2005-12-13 2009-05-14 ユナイテッド テクノロジーズ コーポレイション Method for depositing amorphous carbon
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
EP2024994B1 (en) * 2006-06-02 2014-04-23 Sulzer Metaplas GmbH Method to prevent metal contamination by a substrate holder
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US7655933B2 (en) * 2006-08-15 2010-02-02 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature-controlled ion implantation
US8450193B2 (en) * 2006-08-15 2013-05-28 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature-controlled ion implantation
US7378618B1 (en) * 2006-12-14 2008-05-27 Applied Materials, Inc. Rapid conductive cooling using a secondary process plane
US20080156260A1 (en) * 2006-12-27 2008-07-03 Memc Electronic Materials, Inc. Wafer Support and Method of Making Wafer Support
US7741764B1 (en) * 2007-01-09 2010-06-22 Chien-Min Sung DLC emitter devices and associated methods
US7715170B2 (en) * 2007-03-26 2010-05-11 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with separated electrodes
KR100855540B1 (en) * 2007-07-10 2008-09-01 주식회사 코미코 Ion implanter, inner structure of ino implater and method of forming a coating layer on the ion implanter
ATE539036T1 (en) * 2007-08-03 2012-01-15 Teoss Co Ltd SILICON CARRIER DEVICE AND DEVICE FOR HEATING AND RAPIDLY COOLING SILICON THEREFROM
JP4903104B2 (en) * 2007-09-18 2012-03-28 トーカロ株式会社 Components for semiconductor processing equipment
JP4728306B2 (en) * 2007-09-18 2011-07-20 トーカロ株式会社 Electrostatic chuck member and manufacturing method thereof
NL1034780C2 (en) * 2007-11-30 2009-06-03 Xycarb Ceramics B V Device for layerally depositing different materials on a semiconductor substrate as well as a lifting pin for use in such a device.
JP4764868B2 (en) * 2007-12-07 2011-09-07 トーカロ株式会社 Compressor blades and gas turbine for thermal power generation
NL1036735A1 (en) * 2008-04-10 2009-10-13 Asml Holding Nv Shear-layer chuck for lithographic apparatus.
TWI475594B (en) * 2008-05-19 2015-03-01 Entegris Inc Electrostatic chuck
KR101660241B1 (en) * 2009-01-11 2016-09-27 어플라이드 머티어리얼스, 인코포레이티드 Systems, apparatus and methods for moving substrates
US8861170B2 (en) 2009-05-15 2014-10-14 Entegris, Inc. Electrostatic chuck with photo-patternable soft protrusion contact surface
US8879233B2 (en) 2009-05-15 2014-11-04 Entegris, Inc. Electrostatic chuck with polymer protrusions
US8617668B2 (en) * 2009-09-23 2013-12-31 Fei Company Method of using nitrogen based compounds to reduce contamination in beam-induced thin film deposition
CN102804413A (en) * 2009-12-14 2012-11-28 丽佳达普株式会社 Substrate processing method
JP5572575B2 (en) * 2010-05-12 2014-08-13 東京エレクトロン株式会社 Substrate positioning apparatus, substrate processing apparatus, substrate positioning method, and storage medium storing program
CN105196094B (en) 2010-05-28 2018-01-26 恩特格林斯公司 high surface resistivity electrostatic chuck
JP6054314B2 (en) * 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Method and apparatus for substrate transport and radical confinement
JP5516482B2 (en) * 2011-04-11 2014-06-11 東京エレクトロン株式会社 Substrate transport method, substrate transport apparatus, and coating and developing apparatus
CN102651331A (en) * 2011-06-14 2012-08-29 京东方科技集团股份有限公司 Substrate tray and manufacturing method of flexible electronic device
TW201324617A (en) * 2011-12-13 2013-06-16 Metal Ind Res & Dev Ct Heating device with heat expansion gap monitoring function
WO2013103594A1 (en) 2012-01-06 2013-07-11 Novellus Systems, Inc. Adaptive heat transfer methods and systems for uniform heat transfer
EP3683627A1 (en) * 2012-02-03 2020-07-22 ASML Netherlands B.V. Substrate holder and lithographic apparatus
CN103074609A (en) * 2012-03-16 2013-05-01 光达光电设备科技(嘉兴)有限公司 Graphite plate and special-shaped substrate
CN102593028A (en) * 2012-03-19 2012-07-18 南通富士通微电子股份有限公司 Fixation device of base plate with both surfaces mounted with devices
KR101418301B1 (en) * 2012-10-05 2014-07-10 위아코퍼레이션 주식회사 Porous ceramic table
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US9583364B2 (en) 2012-12-31 2017-02-28 Sunedison Semiconductor Limited (Uen201334164H) Processes and apparatus for preparing heterostructures with reduced strain by radial compression
EP2948818B1 (en) * 2013-01-22 2020-07-01 ASML Netherlands B.V. Electrostatic clamp
JP6100564B2 (en) * 2013-01-24 2017-03-22 東京エレクトロン株式会社 Substrate processing apparatus and mounting table
KR101489074B1 (en) * 2013-07-01 2015-02-04 주식회사 야스 Electrostatic floating substrate transportation system applied for cluster equipment
US20150062772A1 (en) * 2013-08-27 2015-03-05 Varian Semiconductor Equipment Associates, Inc Barrier Layer For Electrostatic Chucks
CN104752289B (en) * 2013-12-31 2018-05-08 北京北方华创微电子装备有限公司 Transmission system and semiconductor processing equipment
JP1524299S (en) * 2014-05-15 2015-05-25
DE102014109327A1 (en) * 2014-07-03 2016-01-07 Aixtron Se Coated flat disc-shaped component in a CVD reactor
US9517539B2 (en) * 2014-08-28 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer susceptor with improved thermal characteristics
US9799541B1 (en) * 2014-12-18 2017-10-24 Trutag Technologies, Inc. Multiple wafer single bath etcher
US10453734B2 (en) 2015-07-02 2019-10-22 Asml Netherlands B.V. Substrate holder, a lithographic apparatus and method of manufacturing devices
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
JP6867149B2 (en) * 2015-12-25 2021-04-28 日本特殊陶業株式会社 Board holding member
JP2017168613A (en) * 2016-03-16 2017-09-21 信越半導体株式会社 Heat treatment apparatus
US10704160B2 (en) * 2016-05-10 2020-07-07 Arizona Board Of Regents On Behalf Of Arizona State University Sample stage/holder for improved thermal and gas flow control at elevated growth temperatures
US10261121B2 (en) * 2016-05-26 2019-04-16 Intel Corporation Diamond-like carbon coated semiconductor equipment
US10784100B2 (en) * 2016-07-21 2020-09-22 Tokyo Electron Limited Back-side friction reduction of a substrate
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10941491B2 (en) * 2017-09-25 2021-03-09 Raytheon Technologies Corporation Continuous multiple tow coating reactor
KR102259379B1 (en) * 2018-01-24 2021-06-01 주식회사 엘지에너지솔루션 Electrode transferring appratus of battery cell
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
CN108538776B (en) * 2018-03-29 2021-11-16 北京北方华创微电子装备有限公司 Electrostatic chuck and method of manufacturing the same
CN111954921A (en) 2018-04-09 2020-11-17 应用材料公司 Carbon hardmask for patterning applications and associated methods
CN112166497B (en) * 2018-06-22 2021-12-21 应用材料公司 Method for minimizing wafer backside damage in semiconductor wafer processing
US11114330B2 (en) * 2018-08-24 2021-09-07 Axcelis Technologies, Inc. Substrate support having customizable and replaceable features for enhanced backside contamination performance
KR102490356B1 (en) * 2018-11-20 2023-01-25 주식회사 원익아이피에스 Method for Treatment for Element Established in Apparatus for Processing of Substrate
JP7335336B2 (en) * 2018-12-28 2023-08-29 エーエスエムエル ネザーランズ ビー.ブイ. Substrate holder for lithographic apparatus and method for manufacturing substrate holder
US11639543B2 (en) 2019-05-22 2023-05-02 Thin Film Service, Inc. Tetrahedral amorphous hydrogenated carbon and amorphous siloxane diamond-like nanocomposite
CN117878049A (en) * 2019-10-02 2024-04-12 佳能株式会社 Wafer chuck, method for producing the same, and exposure apparatus
KR20220120580A (en) * 2019-12-26 2022-08-30 에이에스엠엘 홀딩 엔.브이. Fabrication and retrofitting of rigid burrs of wafer clamps
JP2023512448A (en) * 2020-01-13 2023-03-27 ラム リサーチ コーポレーション Mixed metal baseplate for improved thermal expansion match with thermal oxide spraycoat
US20210249284A1 (en) * 2020-02-12 2021-08-12 Applied Materials, Inc. Fast response dual-zone pedestal assembly for selective preclean
KR102382779B1 (en) * 2020-05-22 2022-04-06 (주)아이네쓰 Thin film depostion apparatus and DLC thin film coating methos using the appartus
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
US11699611B2 (en) * 2021-02-23 2023-07-11 Applied Materials, Inc. Forming mesas on an electrostatic chuck
KR20230006725A (en) * 2021-07-02 2023-01-11 삼성전자주식회사 System of semiconductor process and control method thereof
US20230073011A1 (en) * 2021-09-03 2023-03-09 Applied Materials, Inc. Shutter disk for physical vapor deposition (pvd) chamber

Citations (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3789248A (en) * 1971-07-20 1974-01-29 O Scharli Holder member for a semiconductor element
US4987004A (en) * 1988-02-05 1991-01-22 Semiconductor Energy Laboratory Co., Ltd. Plasma processing method and apparatus
US5032243A (en) * 1988-09-19 1991-07-16 The Gillette Company Method and apparatus for forming or modifying cutting edges
US5041201A (en) * 1988-09-16 1991-08-20 Semiconductor Energy Laboratory Co., Ltd. Plasma processing method and apparatus
US5352493A (en) * 1991-05-03 1994-10-04 Veniamin Dorfman Method for forming diamond-like nanocomposite or doped-diamond-like nanocomposite films
US5458754A (en) * 1991-04-22 1995-10-17 Multi-Arc Scientific Coatings Plasma enhancement apparatus and method for physical vapor deposition
US5495979A (en) * 1994-06-01 1996-03-05 Surmet Corporation Metal-bonded, carbon fiber-reinforced composites
US5583736A (en) * 1994-11-17 1996-12-10 The United States Of America As Represented By The Department Of Energy Micromachined silicon electrostatic chuck
US5638251A (en) * 1995-10-03 1997-06-10 Advanced Refractory Technologies, Inc. Capacitive thin films using diamond-like nanocomposite materials
US5669644A (en) * 1995-11-13 1997-09-23 Kokusai Electric Co., Ltd. Wafer transfer plate
US5705262A (en) * 1993-11-12 1998-01-06 Le Carbone Lorraine Surface treatment of carbonaceous material for making a subsequent deposit of diamond adherent and diamond-covered pieces obtained
US5718962A (en) * 1996-11-07 1998-02-17 Walling; James H. Decorative ornament and display box
US5728465A (en) * 1991-05-03 1998-03-17 Advanced Refractory Technologies, Inc. Diamond-like nanocomposite corrosion resistant coatings
US5786086A (en) * 1996-01-02 1998-07-28 Union Camp Corporation Conductive wire coating
US5812362A (en) * 1996-06-14 1998-09-22 Applied Materials, Inc. Method and apparatus for the use of diamond films as dielectric coatings on electrostatic chucks
US5903428A (en) * 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US5935323A (en) * 1995-04-24 1999-08-10 Toyo Kohan Co., Ltd. Articles with diamond coating formed thereon by vapor-phase synthesis
US5952060A (en) * 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
US6001756A (en) * 1996-02-29 1999-12-14 Bridgestone Corporation Process for making a silicon carbide sintered body
US6187704B1 (en) * 1997-08-27 2001-02-13 Bridgestone Corporation Process for making heater member
US6200675B1 (en) * 1996-04-22 2001-03-13 N.V. Bekaert S.A. Diamond-like nanocomposite compositions
US6214755B1 (en) * 1997-08-27 2001-04-10 Bridgestone Corporation Method for producing sintered silicon carbide
US6217969B1 (en) * 1997-08-27 2001-04-17 Bridgestone Corporation Sputtering target disk
US6228471B1 (en) * 1997-02-04 2001-05-08 N.V. Bekaert S.A. Coating comprising layers of diamond like carbon and diamond like nanocomposite compositions
US6261370B1 (en) * 1999-05-21 2001-07-17 Bridgestone Corporation Product holder
US6472062B1 (en) * 1997-06-19 2002-10-29 N.V. Bekaert S.A. Method for making a non-sticking diamond-like nanocomposite
US6537429B2 (en) * 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6595406B2 (en) * 1998-09-29 2003-07-22 Micron Technology, Inc. Concave face wire bond capillary and method
US20040055709A1 (en) * 2002-09-19 2004-03-25 Applied Materials, Inc. Electrostatic chuck having a low level of particle generation and method of fabricating same
US6740393B1 (en) * 2000-04-12 2004-05-25 Balzers Aktiengesellschaft DLC coating system and process and apparatus for making coating system

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63285892A (en) 1987-05-19 1988-11-22 Mitsui Eng & Shipbuild Co Ltd Silicon carbide heating body
US4902535A (en) 1987-12-31 1990-02-20 Air Products And Chemicals, Inc. Method for depositing hard coatings on titanium or titanium alloys
KR960002534A (en) 1994-06-07 1996-01-26 이노우에 아키라 Pressure reducing and atmospheric pressure treatment device
TW312613B (en) 1995-06-22 1997-08-11 Sociere Des Products Nestle Sa
JPH0945756A (en) * 1995-07-26 1997-02-14 Hitachi Ltd Semiconductor manufacturing device and manufacturing method
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
TW303505B (en) * 1996-05-08 1997-04-21 Applied Materials Inc Substrate support chuck having a contaminant containment layer and method of fabricating same
CN1178257A (en) 1996-08-19 1998-04-08 时至准钟表股份有限公司 Method for forming hard carbon film over inner surface of guide bush
US6020036A (en) * 1996-08-19 2000-02-01 Citizen Watch Co., Ltd. Method of forming hard carbon film over the inner surface of guide bush
JPH10107117A (en) * 1996-09-30 1998-04-24 Kokusai Electric Co Ltd Substrate treating device
JP3679882B2 (en) 1997-02-07 2005-08-03 株式会社荏原製作所 Polishing cloth dresser and manufacturing method thereof
US5977519A (en) * 1997-02-28 1999-11-02 Applied Komatsu Technology, Inc. Heating element with a diamond sealing material
US6312319B1 (en) 1997-04-04 2001-11-06 Timothy J. Donohue Polishing media magazine for improved polishing
JP4253365B2 (en) * 1997-10-17 2009-04-08 オリンパス株式会社 Wafer transfer device
US5969934A (en) * 1998-04-10 1999-10-19 Varian Semiconductor Equipment Associats, Inc. Electrostatic wafer clamp having low particulate contamination of wafers
US6215897B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US5916370A (en) * 1998-06-12 1999-06-29 Applied Materials, Inc. Semiconductor processing chamber having diamond coated components
US6759800B1 (en) * 1999-07-29 2004-07-06 Applied Materials, Inc. Diamond supported photocathodes for electron sources
US6508911B1 (en) * 1999-08-16 2003-01-21 Applied Materials Inc. Diamond coated parts in a plasma reactor
US6386963B1 (en) * 1999-10-29 2002-05-14 Applied Materials, Inc. Conditioning disk for conditioning a polishing pad
US6447374B1 (en) * 1999-12-17 2002-09-10 Applied Materials, Inc. Chemical mechanical planarization system
WO2002001611A2 (en) 2000-06-23 2002-01-03 Applied Materials, Inc. Electrostatic chuck and method of fabricating the same
TW466667B (en) 2000-06-29 2001-12-01 Applied Materials Inc Electrostatic chuck having the minimum contact area
TW512478B (en) * 2000-09-14 2002-12-01 Olympus Optical Co Alignment apparatus
TWI290589B (en) * 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6595506B1 (en) * 2000-11-17 2003-07-22 Epion Corporation Apparatus and method for reduced particulate generation during workpiece handling
JP2002338388A (en) 2001-02-15 2002-11-27 Ngk Insulators Ltd Member coated with diamond
US20030047283A1 (en) * 2001-09-10 2003-03-13 Applied Materials, Inc. Apparatus for supporting a substrate and method of fabricating same
JP2003340667A (en) * 2002-05-30 2003-12-02 Disco Abrasive Syst Ltd Chucking table
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US20040018738A1 (en) 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US6853043B2 (en) * 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
US7367872B2 (en) * 2003-04-08 2008-05-06 Applied Materials, Inc. Conditioner disk for use in chemical mechanical polishing
JP4220834B2 (en) 2003-05-19 2009-02-04 三菱電機株式会社 Rectifier
EP1654752B1 (en) * 2003-08-01 2011-06-29 SGL Carbon SE Holder for supporting wafers during semiconductor manufacture
US7824498B2 (en) 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
JP4879159B2 (en) * 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド CVD process for amorphous carbon film deposition
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US20050199585A1 (en) * 2004-03-12 2005-09-15 Applied Materials, Inc. Method of depositing an amorphous carbon film for metal etch hardmask application

Patent Citations (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3789248A (en) * 1971-07-20 1974-01-29 O Scharli Holder member for a semiconductor element
US4987004A (en) * 1988-02-05 1991-01-22 Semiconductor Energy Laboratory Co., Ltd. Plasma processing method and apparatus
US5041201A (en) * 1988-09-16 1991-08-20 Semiconductor Energy Laboratory Co., Ltd. Plasma processing method and apparatus
US5032243A (en) * 1988-09-19 1991-07-16 The Gillette Company Method and apparatus for forming or modifying cutting edges
US5458754A (en) * 1991-04-22 1995-10-17 Multi-Arc Scientific Coatings Plasma enhancement apparatus and method for physical vapor deposition
US5728465A (en) * 1991-05-03 1998-03-17 Advanced Refractory Technologies, Inc. Diamond-like nanocomposite corrosion resistant coatings
US5352493A (en) * 1991-05-03 1994-10-04 Veniamin Dorfman Method for forming diamond-like nanocomposite or doped-diamond-like nanocomposite films
US5705262A (en) * 1993-11-12 1998-01-06 Le Carbone Lorraine Surface treatment of carbonaceous material for making a subsequent deposit of diamond adherent and diamond-covered pieces obtained
US5495979A (en) * 1994-06-01 1996-03-05 Surmet Corporation Metal-bonded, carbon fiber-reinforced composites
US5583736A (en) * 1994-11-17 1996-12-10 The United States Of America As Represented By The Department Of Energy Micromachined silicon electrostatic chuck
US5935323A (en) * 1995-04-24 1999-08-10 Toyo Kohan Co., Ltd. Articles with diamond coating formed thereon by vapor-phase synthesis
US5638251A (en) * 1995-10-03 1997-06-10 Advanced Refractory Technologies, Inc. Capacitive thin films using diamond-like nanocomposite materials
US5669644A (en) * 1995-11-13 1997-09-23 Kokusai Electric Co., Ltd. Wafer transfer plate
US5786086A (en) * 1996-01-02 1998-07-28 Union Camp Corporation Conductive wire coating
US6001756A (en) * 1996-02-29 1999-12-14 Bridgestone Corporation Process for making a silicon carbide sintered body
US6200675B1 (en) * 1996-04-22 2001-03-13 N.V. Bekaert S.A. Diamond-like nanocomposite compositions
US5812362A (en) * 1996-06-14 1998-09-22 Applied Materials, Inc. Method and apparatus for the use of diamond films as dielectric coatings on electrostatic chucks
US5952060A (en) * 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
US5718962A (en) * 1996-11-07 1998-02-17 Walling; James H. Decorative ornament and display box
US6228471B1 (en) * 1997-02-04 2001-05-08 N.V. Bekaert S.A. Coating comprising layers of diamond like carbon and diamond like nanocomposite compositions
US6472062B1 (en) * 1997-06-19 2002-10-29 N.V. Bekaert S.A. Method for making a non-sticking diamond-like nanocomposite
US6187704B1 (en) * 1997-08-27 2001-02-13 Bridgestone Corporation Process for making heater member
US6214755B1 (en) * 1997-08-27 2001-04-10 Bridgestone Corporation Method for producing sintered silicon carbide
US6217969B1 (en) * 1997-08-27 2001-04-17 Bridgestone Corporation Sputtering target disk
US5903428A (en) * 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US6595406B2 (en) * 1998-09-29 2003-07-22 Micron Technology, Inc. Concave face wire bond capillary and method
US6261370B1 (en) * 1999-05-21 2001-07-17 Bridgestone Corporation Product holder
US6740393B1 (en) * 2000-04-12 2004-05-25 Balzers Aktiengesellschaft DLC coating system and process and apparatus for making coating system
US7160616B2 (en) * 2000-04-12 2007-01-09 Oc Oerlikon Balzers Ltd. DLC layer system and method for producing said layer system
US6537429B2 (en) * 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US20040055709A1 (en) * 2002-09-19 2004-03-25 Applied Materials, Inc. Electrostatic chuck having a low level of particle generation and method of fabricating same

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050152454A1 (en) * 2001-11-27 2005-07-14 Limin Wang Picture level adaptive frame/field coding for digital video content
US20110017424A1 (en) * 2004-02-24 2011-01-27 Applied Materials, Inc. Heat exchange pedestal with coating of diamond-like material
US20050183669A1 (en) * 2004-02-24 2005-08-25 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US10053778B2 (en) 2004-02-24 2018-08-21 Applied Materials, Inc. Cooling pedestal with coating of diamond-like carbon
US8852348B2 (en) 2004-02-24 2014-10-07 Applied Materials, Inc. Heat exchange pedestal with coating of diamond-like material
US7824498B2 (en) 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US20070047170A1 (en) * 2005-08-29 2007-03-01 Applied Materials, Inc. Electrostatic chuck having textured contact surface
US7672110B2 (en) * 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
US20080105201A1 (en) * 2006-11-03 2008-05-08 Applied Materials, Inc. Substrate support components having quartz contact tips
US20080190364A1 (en) * 2007-02-13 2008-08-14 Applied Materials, Inc. Substrate support assembly
US20090148256A1 (en) * 2007-12-10 2009-06-11 Nanometrics Incorporated Support Pin with Dome Shaped Upper Surface
US20120287425A1 (en) * 2008-01-11 2012-11-15 Hitachi High-Technologies Corporation Inspection apparatus and inspection method
US8537351B2 (en) * 2008-01-11 2013-09-17 Hitachi-High Technologies Corporation Inspection apparatus and inspection method
US10186619B2 (en) 2009-11-20 2019-01-22 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US10219325B2 (en) * 2012-06-11 2019-02-26 Applied Materials, Inc. Melt depth determination using infrared interferometric technique in pulsed laser annealing
US20130330064A1 (en) * 2012-06-11 2013-12-12 Applied Materials, Inc. Melt depth determination using infrared interferometric technique in pulsed laser annealing
US11490466B2 (en) * 2012-06-11 2022-11-01 Applied Materials, Inc. Melt depth determination using infrared interferometric technique in pulsed laser annealing
US20190182907A1 (en) * 2012-06-11 2019-06-13 Applied Materials, Inc. Melt depth determination using infrared interferometric technique in pulsed laser annealing
TWI650831B (en) * 2013-12-17 2019-02-11 美商應用材料股份有限公司 Substrate support device with reduced substrate particles
WO2015094743A1 (en) 2013-12-17 2015-06-25 Applied Materials, Inc. Substrate support apparatus having reduced substrate particle generation
US10431489B2 (en) 2013-12-17 2019-10-01 Applied Materials, Inc. Substrate support apparatus having reduced substrate particle generation
EP3084818A4 (en) * 2013-12-17 2017-03-08 Applied Materials, Inc. Substrate support apparatus having reduced substrate particle generation
US9410249B2 (en) * 2014-05-15 2016-08-09 Infineon Technologies Ag Wafer releasing
US20160322250A1 (en) * 2014-05-15 2016-11-03 Infineon Technologies Ag Wafer Releasing
US10186445B2 (en) * 2014-05-15 2019-01-22 Infineon Technologies Ag Wafer releasing
US20150332951A1 (en) * 2014-05-15 2015-11-19 Infineon Technologies Ag Wafer Releasing
CN105097636A (en) * 2014-05-15 2015-11-25 英飞凌科技股份有限公司 Wafer releasing
US20150333213A1 (en) * 2014-05-19 2015-11-19 Applied Materials, Inc. Diamond-like carbon coatings for substrate carriers
US20200019149A1 (en) * 2018-07-16 2020-01-16 Elite Robotics Positioner for a robotic workcell
US10802475B2 (en) * 2018-07-16 2020-10-13 Elite Robotics Positioner for a robotic workcell
US20210035767A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Methods for repairing a recess of a chamber component
WO2021163664A1 (en) * 2020-02-13 2021-08-19 Jabil Inc. Apparatus, system and method for providing a substrate chuck

Also Published As

Publication number Publication date
JP5270095B2 (en) 2013-08-21
US20140326184A1 (en) 2014-11-06
US8852348B2 (en) 2014-10-07
JP2007527625A (en) 2007-09-27
US20050183669A1 (en) 2005-08-25
TWI327744B (en) 2010-07-21
WO2005083752A3 (en) 2006-01-12
CN101383317A (en) 2009-03-11
KR101357097B1 (en) 2014-02-03
US10053778B2 (en) 2018-08-21
CN100543959C (en) 2009-09-23
US20110017424A1 (en) 2011-01-27
CN101393883B (en) 2011-04-20
CN101393883A (en) 2009-03-25
KR20070097296A (en) 2007-10-04
KR20120045029A (en) 2012-05-08
CN101383317B (en) 2010-12-15
TW200540928A (en) 2005-12-16
KR20130069888A (en) 2013-06-26
WO2005083752A2 (en) 2005-09-09
US7824498B2 (en) 2010-11-02
KR101400256B1 (en) 2014-05-27
CN1922724A (en) 2007-02-28

Similar Documents

Publication Publication Date Title
US20050252454A1 (en) Contaminant reducing substrate transport and support system
TWI654701B (en) Ion-assisted deposition of rare earth metal oxide based thin film coatings on process rings
US7589950B2 (en) Detachable electrostatic chuck having sealing assembly
TWI751269B (en) Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
JP5661983B2 (en) Target and process kit parts for sputtering chamber
CN1230868C (en) Semiconductor processing equipment having improved particle performance
KR102025330B1 (en) Wafer processing deposition shielding components
JP3114419U (en) Cleaning the substrate support
US20130112554A1 (en) Deposition ring and electrostatic chuck for physical vapor deposition chamber
WO2014113244A1 (en) Temperature management of aluminium nitride electrostatic chuck
US20080105201A1 (en) Substrate support components having quartz contact tips
KR20040105276A (en) Hot plate for semiconductor manufacturing apparatus

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:PARKHE, VIJAY D.;LEOPOLD, MATTHEW;RONAN, TIMOTHY;AND OTHERS;REEL/FRAME:016592/0628;SIGNING DATES FROM 20050602 TO 20050628

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION