US20050229853A1 - Multideposition SACVD reactor - Google Patents

Multideposition SACVD reactor Download PDF

Info

Publication number
US20050229853A1
US20050229853A1 US10/704,272 US70427203A US2005229853A1 US 20050229853 A1 US20050229853 A1 US 20050229853A1 US 70427203 A US70427203 A US 70427203A US 2005229853 A1 US2005229853 A1 US 2005229853A1
Authority
US
United States
Prior art keywords
polysilicon
susceptor
deposition
reactor
coating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/704,272
Inventor
Patrick Raffin
Fabrice Delarue
Jean Waechter
Christophe Balsan
Joel Journe
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/704,272 priority Critical patent/US20050229853A1/en
Publication of US20050229853A1 publication Critical patent/US20050229853A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Definitions

  • the present invention relates to the manufacture of semiconductor integrated circuits (ICs) and more particularly to a multideposition sub-atmospheric chemical vapor deposition (SACVD) reactor enabling the rapid thermal deposition of dielectric materials such Si 3 N 4 , SiO 2 , and SiON and of non-dielectric materials such as polysilicon onto a substrate.
  • SACVD sub-atmospheric chemical vapor deposition
  • said dielectric/non-dielectric materials can be now deposited according to the desired sequence in the same chamber of the multideposition SACVD reactor, significantly reducing cycle time, total thermal budget and pattern factor effects.
  • Short cycle time and low thermal budget are certainly the most critical points for Application Specific Integrated Circuit (ASIC) and Dynamic Random Access Memory (DRAM) products manufacturing respectively.
  • ASIC Application Specific Integrated Circuit
  • DRAM Dynamic Random Access Memory
  • the continuous technical progress in the last decades has resulted in the emergence of new techniques to improve product integration and speed that shows out the necessity to work deeper on deposition tool to improve their characteristics.
  • Requirements such as low thermal budget, low pattern factor, foreign element control and short cycle time are now becoming of paramount importance.
  • a low thermal budget is essential to keep IGFET effective channel length (L eff ) within specifications, to increase the process window and to have low junction contact resistance.
  • Another important parameter is the pattern factor which is determining to embed memory cells in a logic chip.
  • Still another parameter is the foreign element control.
  • a stack comprised of a bottom 10 nm thick SiO 2 gate layer, then a 80 nm thick doped polysilicon layer, a 70 nm thick tungsten silicide (WSi x ) layer and finally a 180 nm thick top protective cap Si 3 N 4 layer.
  • the GC stack is patterned by dry etching to produce the gate conductor lines (GC lines) and a thin SiO 2 spacer is formed by thermal oxidation on the exposed sidewalls of the doped polysilicon material. All these processing steps are performed in a different tool in the so-called Middle End Of the Line (MEOL) module.
  • MEOL Middle End Of the Line
  • the thin SiO 2 bottom gate layer is obtained by thermal oxidation using an Atmospheric Pressure Oxidation furnace (APOX) as standard, such as the SVG VTR 7000 (oxidation) vertical furnace sold by SVG-THERMCO, San Jose, Calif., USA
  • APOX Atmospheric Pressure Oxidation furnace
  • SVG VTR 7000 oxidation vertical furnace sold by SVG-THERMCO, San Jose, Calif., USA
  • the deposition of the 80 nm thick doped polysilicon layer and the 70 nm thick WSi x layer is performed in two different chambers of a SACVD Centura HTF reactor, a tool manufactured by Applied Materials Inc, Santa Clara, Calif., USA using the following operating conditions:
  • sccm denotes standard cubic centimers per minute and “slm” denotes standard liters per minute.
  • the Si 3 N 4 material is deposited in a LPCVD batch furnace, such as a TEL Alpha 8s, a tool sold by TOKYO ELECTRON Ltd, Tokyo, Japan using the operating conditions recited below.
  • a LPCVD batch furnace such as a TEL Alpha 8s, a tool sold by TOKYO ELECTRON Ltd, Tokyo, Japan using the operating conditions recited below.
  • LPCVD and APOX furnaces are substantially limited to the Front End Of the Line (FEOL) and MEOL modules, as summarized in TABLE II below, for the deposition for different types of materials.
  • a multideposition sub-atmospheric chemical vapor deposition (SACVD) reactor comprising: a substrate processing chamber; a carbon susceptor adapted to hold a substrate in said substrate processing chamber during a SACVD operation, wherein said carbon susceptor is coated by a polysilicon film to protect it against said cleaning gases; a gas distribution system adapted to introduce gases into said substrate processing chamber and including appropriate valves, gas supply lines and other equipment necessary to flow gases into the substrate processing chamber; wherein said gases include dielectric/non-dielectric forming gases and in-situ cleaning gases that are aggressive to carbon; a heating system to heat the susceptor/wafer to the adequate deposition temperature; a pressurization system adapted to set a pressure level within said substrate processing chamber; and a controller coupled to said gas distribution system and pressurization system for directing the operation of the SACVD reactor.
  • SACVD chemical vapor deposition
  • the present invention also concerns the method of coating said carbon plate in the case, the dielectric material to be deposited is Si 3 N 4 to render it NF 3 resistant, said method comprising the steps of: a) placing the standard carbon susceptor in the reactor processing chamber; b) cleaning the chamber interior volume with HCl; c) purging said interior volume with H 2 ; d) coating the susceptor bottom with a film of polysilicon using a DCS precursor; e) purging said interior volume with H 2 ; f) coating the susceptor top with a film of polysilicon using a SiH 4 precursor; and g) purging said interior volume with H 2 .
  • a multideposition process is now made possible with tools that are provided with multiple chambers, such as the AME Centura HTF reactor, wherein every chamber can be dedicated to the deposition of a single material (dielectric or polysilicon) for a totally integrated process (cluster mode).
  • FIG. 1 schematically shows the cross sectional view of a conventional SACVD reactor, in the instant case, the AME HTF Centura reactor.
  • FIG. 2 is a diagram of the gas distribution system illustrating the different gas sources and mixes of the AME Centura reactor once modified according to the present invention.
  • FIG. 3 is a flow chart illustrating the main steps of fabricating the improved susceptor according to the present invention that is resistant to NF 3 in-situ clean.
  • FIG. 4 shows a complex silicon structure represented by the borderless polysilicon contact wherein substantially all the deposition steps can be performed in the AME Centura reactor once modified according to the present invention.
  • FIG. 5 shows the variations of the deposition rate versus the pattern effect factor to demonstrate the limits of conventional LPCVD techniques in EDRAM chip fabrication in terms of reproducibility.
  • FIG. 6 is a graph showing the variations of the effective channel length L eff for POR LPCVD techniques (at two different temperatures) and the SACVD technique according to the present invention for different lots of wafers.
  • FIG. 7 is a graph showing the variations of the sheet resistance Rs of diffusion regions in the array area for POR LPCVD techniques (at two different temperatures) and the SACVD technique according to the present invention for different lots of wafers.
  • FIG. 1 schematically shows the cross-section of the SACVD Centura reactor with its major elements. Now tuning to FIG.
  • reactor 10 has top and bottom walls (dome), side walls and a bottom wall that define the internal volume of the processing vacuum chamber 11 into which a substrate, typically a silicon wafer 12 , can be loaded.
  • the wafer 12 sits on a carbon susceptor 13 which is supported by a quartz pedestal 14 that can be rotated.
  • a preheat ring 15 surrounds the susceptor 13 .
  • the wafer 12 and susceptor 13 are heated by banks of lamps 16 located outside above and below the process chamber 11 .
  • the top and bottom walls of the chamber are made of quartz and thus are transparent to light from external lamps in order to heat the susceptor, the wafer and the preheat ring.
  • Gas distribution system 17 is provided with a gas input port or inlet 18 A connected to a gas manifold to supply one or a mixture of gases in the process chamber 11 via a plurality of pipes.
  • the gas concentrations and flow rate through each of these pipes are selected as standard to produce reactant gas flows and concentration profiles that optimize the deposition process.
  • Reactor 10 further includes pressurization means connected to the gas output port or outlet 18 B to produce the desired vacuum into process chamber 11 and temperature measurement means, typically a pyrometer 19 , as known for those skilled in the art.
  • the original gas distribution system 17 has been modified according to the present invention. Now turning to FIG. 2 , new gas lines and valves have been added (shown in grey) to transport NF 3 , NH 3 and N 2 O now required according to the present invention as it will be described in due course.
  • the original carbon susceptor is submitted in-situ to the sequence of steps that will be now described by reference to flow-chart 20 illustrated in FIG. 3 .
  • the polysilicon coating procedure described below is relatively complex because of the particular construction of the AME Centura reactor and its resident software.
  • a specific conditioning of the susceptor is required because it is made of carbon.
  • NF 3 which is the preferred cleaning chemical compound to remove the Si 3 N 4 material deposited on the reactor walls and the susceptor is known to be very aggressive to carbon (other fluorinated compounds, e.g. ClF 3 , are adequate as well).
  • the carbon susceptor protection against NF 3 chemical is first ensured by a coating of polysilicon (about 4 ⁇ M thick) performed on the susceptor bottom with a SiH 2 Cl 2 (DCS) chemistry.
  • this polysilicon coating plays a double role: it not only protects the susceptor bottom, it also allows determination of the susceptor temperature by a measure of its emissivity.
  • another polysilicon coating (about 1.5 ⁇ m thick) is performed on the susceptor top with a SiH 4 chemistry.
  • the carbon susceptor is first cleaned (box 21 ) using the following operating conditions:
  • DCS is flowed in the lower volume of the chamber and the susceptor bottom is now coated with polysilicon (box 22 ).
  • Operating conditions are recited below:
  • the temperature is not monitored during the bottom coating but is rather set by the lamp power. This is because the pyrometer 19 reading fluctuates during the coating operation. To ensure a good emissivity of the bottom polysilicon coating, it is important to get the right thickness of the polysilicon film. A thickness of about 4 ⁇ m is adequate for protection and accurate temperature measurement. In this case, with a deposition between 300 nm/mn and 350 nm/mn, only a few minutes are required. The power setpoint is adjusted to obtain this rate. DCS is preferred because it has a faster deposition rate than SiH4 at this elevated temperature (950° C.). In addition, it produces polysilicon with a thinner grain than with SiH 4 , increasing thereby its emissivity and in turn the accuracy of the temperature measurement by the optical pyrometer 19 .
  • the susceptor top is coated with polysilicon using a SiH 4 precursor (step 23 ).
  • the chamber is first cooled down to 675° C., then the top coating is performed with the following operating conditions:
  • top polysilicon coating can be performed using either SiH 4 and PH 3 to deposit doped polysilicon or SiH 4 only to deposit intrinsic polysilicon as described above.
  • the thickness of the top coating is important for subsequent dielectric/polysilicon deposition steps in the processing chamber to ensure a sufficient protection of the carbon susceptor. Since the top coating now is accurately controlled by the temperature, the pyrometer 19 must be correctly set up.
  • the carbon susceptor is now ready for the deposition of dielectric materials, typically Si 3 N 4 , in the AME Centura tool.
  • the processing chamber needs to be cleaned and the susceptor reconditioned after 15 ⁇ m of Si 3 N 4 material have been deposited, i.e. after about 6000 wafers have been processed.
  • the susceptor is reconditioned as described above by reference to FIG. 3 .
  • the chamber will be then ready for running again a great number of dielectric/non-dielectric deposition steps.
  • Presence of hydrogen atoms is not a problem in the formation of SiO 2 spacers, but the above deposition process cannot be used to deposit the SiO 2 gate layer, because, in this case the SiO 2 material must be totally pure and not contaminated.
  • the SACVD reactor such as modified according to the present invention can be generalized to the deposition of more complex dielectric materials, such as SiON using the following operating conditions.
  • the polysilicon-coated carbon susceptor When placed in the AME Centura reactor, the polysilicon-coated carbon susceptor still allows polysilicon deposition but is adequate to the deposition of other materials such as metal when the cleaning gases that are used are aggressive to carbon.
  • FIG. 4 shows a conventional borderless polysilicon contact structure referenced 24 .
  • a silicon substrate 25 having a thin SiO 2 gate layer 26 formed thereon that is provided with an opening to expose a diffusion region 27 .
  • the gate conductor stack comprised of a bottom doped polysilicon/WSi x layer 28 and its top Si 3 N 4 capping layer 29 . It is formed onto the SiO 2 gate layer 26 as standard.
  • the borderless doped polysilicon plug 30 con tacts the diffusion region 27 and is isolated from the GC stack by a composite insulating layer.
  • Said composite insulating layer comprises sidewall SiO 2 spacer 31, Si 3 N 4 spacer 32 and Si 3 N 4 barrier 33 .
  • the structure 24 further includes BPSG and TEOS planarizing/insulating layers 34 and 35 respectively.
  • the multi-deposition SACVD reactor of the present invention is able to perform the deposition of all the materials mentioned above except the BPSG material, because it contains a P type dopant (boron), it would detrimentally impact the N type doped polysilicon contact plug 30 .
  • the optimum would be to dedicate two chambers of the AME Centura tool (which is a multi-chamber equipment), one to the polysilicon and another to Si 3 N 4 for successive depositions without unloading the wafer from the tool.
  • the first chamber would use the original carbon susceptor for polysilicon deposition while the second chamber would be provided with the polysilicon coated carbon susceptor of the present invention Such an arrangement would allow the fastest cycle time.
  • TABLE II With the multi-deposition SACVD reactor of the present invention, above described TABLE II can be re-written as below: TABLE V Module FEOL/MEOL Temp. 550-950° C. Cycle time 3-6 min SiN SACVD SiON SACVD SiO 2 SACVD Polysilicon SACVD Comparison between TABLES II and V clearly shows the significant improvements brought up by the present invention. New configurations of ICs manufacturing lines can now be envisioned.
  • FIG. 5 shows the variations of the deposition rate (in nm/mn) as a function of the pattern factor.
  • the pattern factor is calculated as the ratio between the etched and un-etched surfaces across a wafer.
  • the deposition temperature is quite critical to the device performance and strongly influences the thermal budget as it will be now made apparent in FIGS. 6 and 7 .
  • the sheet resistance of the two lots SA 1 processed with the SACVD technique varies much less and is more centered around the nominal value when compared to the sheet resistance of lots LP 1 and LP 2 processed with the LPCVD technique.
  • FIGS. 6 and 7 clearly demonstrates that the role of the thermal budget (temperature/time couple) is essential.
  • the new design of the multi-deposition SACVD reactor described above significantly improves the process window and the thermal budget required for advanced EDRAM/SDRAM silicon chips with reduced scale, i.e. beyond 0.20 ⁇ m.
  • the cycle time of depositing dielectric materials with such a reactor is significantly shortened, compared to LPCVD furnaces. It has become an important technology parameter to date to quickly adapt a DRAM memory manufacturing line to the fabrication of ASIC products at reduced cost. ASIC market competitiveness depends strongly upon short cycle times, customer satisfaction and the ability to exploit new business opportunities in a very competitive OEM environement.

Abstract

There is disclosed a high throughput multideposition SACVD reactor that enables the rapid thermal deposition of dielectric materials such as Si3N4, SiO2, and SiON and non-&electric materials such as polysilicon onto a semiconductor substrate in the same chamber according to the desired sequence. Such a reactor has a processing chamber which is well adapted to single semiconductor wafer processing. The processing chamber includes an improved susceptor to support the wafer and a specific gas distribution system adapted to supply the different gases used in the deposition process and for cleaning. The improved susceptor consists of a standard carbon plate coated with a polysilicon film to protect it against said cleaning gases when they are aggressive to carbon. The present invention also encompasses a method of fabricating said improved susceptor.

Description

    FIELD OF THE INVENTION
  • The present invention relates to the manufacture of semiconductor integrated circuits (ICs) and more particularly to a multideposition sub-atmospheric chemical vapor deposition (SACVD) reactor enabling the rapid thermal deposition of dielectric materials such Si3N4, SiO2, and SiON and of non-dielectric materials such as polysilicon onto a substrate. According to the present invention, said dielectric/non-dielectric materials can be now deposited according to the desired sequence in the same chamber of the multideposition SACVD reactor, significantly reducing cycle time, total thermal budget and pattern factor effects.
  • BACKGROUND OF TE INVENTION
  • Short cycle time and low thermal budget are certainly the most critical points for Application Specific Integrated Circuit (ASIC) and Dynamic Random Access Memory (DRAM) products manufacturing respectively. The continuous technical progress in the last decades has resulted in the emergence of new techniques to improve product integration and speed that shows out the necessity to work deeper on deposition tool to improve their characteristics. Requirements such as low thermal budget, low pattern factor, foreign element control and short cycle time are now becoming of paramount importance. A low thermal budget is essential to keep IGFET effective channel length (Leff) within specifications, to increase the process window and to have low junction contact resistance. Another important parameter is the pattern factor which is determining to embed memory cells in a logic chip. Still another parameter (previously deemed more secondary) is the foreign element control. Foreign elements that are incorporated into the deposited films during fabrication also drive device performance, and thus are becoming more important to date, as devices become more and more dense and complex. The possibility to control foreign element presence in deposited films facilitates the tuning of the devices and the correction or adjustment of some electrical fails such as junction leakages and the retention time. Finally, short cycle times which increase the manufacturing throughput are also worthwhile in terms of cost reduction. All these parameters have become critical in semiconductor devices particularly when it is required to deposit dielectric and non-dielectric materials in sequence according to the Chemical Vapor Deposition (CVD) technique. It would be highly desirable to perform the maximum deposition steps in the same equipment without unloading the wafers in order to improve the manufacturing throughput and to reduce the cycle time.
  • In the course of fabricating IGFETs for a standard DRAM product, at the stage of the gate conductor formation, it is required to form a stack (GC stack) comprised of a bottom 10 nm thick SiO2 gate layer, then a 80 nm thick doped polysilicon layer, a 70 nm thick tungsten silicide (WSix) layer and finally a 180 nm thick top protective cap Si3N4 layer. Next, the GC stack is patterned by dry etching to produce the gate conductor lines (GC lines) and a thin SiO2 spacer is formed by thermal oxidation on the exposed sidewalls of the doped polysilicon material. All these processing steps are performed in a different tool in the so-called Middle End Of the Line (MEOL) module.
  • For instance, the thin SiO2 bottom gate layer is obtained by thermal oxidation using an Atmospheric Pressure Oxidation furnace (APOX) as standard, such as the SVG VTR 7000 (oxidation) vertical furnace sold by SVG-THERMCO, San Jose, Calif., USA The deposition of the 80 nm thick doped polysilicon layer and the 70 nm thick WSix layer is performed in two different chambers of a SACVD Centura HTF reactor, a tool manufactured by Applied Materials Inc, Santa Clara, Calif., USA using the following operating conditions:
  • Doped Polysilicon Deposition
    • Pressure: 80 Torr
    • Temperature: 660° C.
    • SiH4flow: 0.3 slm
    • PH3 flow: 0.09 slm
    • H2flow: 9.9 slm
  • Dep. rate: 80 nm/min
  • wherein “sccm” denotes standard cubic centimers per minute and “slm” denotes standard liters per minute.
  • WSix Deposition
    • Pressure: 1 Torr
    • Temperature: 550° C.
    • WF6 flow: 2.4 sccm
    • SiH2Cl2 flow: 175 sccm
    • Ar flow: 1500 sccm
    • Dep. rate: 12 nm/min
  • Finally, the Si3N4 material is deposited in a LPCVD batch furnace, such as a TEL Alpha 8s, a tool sold by TOKYO ELECTRON Ltd, Tokyo, Japan using the operating conditions recited below.
  • Si3N4 Deposition
  • Step 1
    • Pressure: 150 mTorr
    • Temperature: 715° C.
    • NH3flow: 250 sccm
    • DCS flow: 50 sccm
    • Wafer spacing: 0.2 inch
    • Dep. rate: 0.7 nm/min
    • Dep. time: 40 min
      Step 2
    • Pressure: 80 mTorr
    • Temperature: 770° C.
    • NH3 flow: 400 sccm
    • DCS flow: 80 sccm
    • Wafer spacing: 0.2 inch
    • Dep. rate: 1.5 nm/min
    • Dep. time: 120 min
      The first step must be conducted at a low temperature to prevent WSix oxidation at boat insertion in the furnace. About 100 wafers are processed for a total time (including loading/unloading operations) of about 375 min.
  • TABLE I below summarizes this sequence of deposition steps that are performed in the MEOL module.
    TABLE I
    Materials Deposition process
    SiO2 APOX
    Doped polysilicon SACVD (chamber 1)
    WSix SACVD (chamber 2)
    Si3N4 LPCVD
  • More generally, if we consider all processing steps that are performed in the DRAM manufacturing line, the use of LPCVD and APOX furnaces are substantially limited to the Front End Of the Line (FEOL) and MEOL modules, as summarized in TABLE II below, for the deposition for different types of materials.
    TABLE II
    Modules FEOL MEOL
    Temp. 600-950° C. 550-750° C.
    Time 3-7 H 3-7 H (1); 5 min (2)
    Si3N4 LPCVD LPCVD (1)
    SiON LPCVD LPCVD (1)
    SiO2 APOX APOX (1)
    Polysil LPCVD SACVD (2)
    WSix SACVD (2)

    (1) and (2) respectively refer to the duration for LPCVD/APOX and SACVD processes. There is no breakdown when the wafer is moved from chamber 1 to chamber 2 of the SACVD tool but there is a significant breakdown after the wafer is unloaded from chamber 2 to be loaded in the LPCVD furnace. Because, the LPCVD tool is of the batch type, there is an important wait time before a full batch of 100 wafers is loaded. As a consequence, before inserting the boat in the furnace, the wafers need to be cleaned for instance in a FSI spray tool (FLUOROWARE SYSTEMS Inc., Minneapolis, USA) using a SP/Huang AB cleaning sequence. As a whole, these operations are time consuming.
  • It is not possible to deposit the Si3N4 material in the same AME Centura reactor because processing chambers are strictly limited to the deposition of polysilicon films or WSix films.
  • Applicants have discovered a manner to modify this conventional SACVD reactor normally exclusively used to perform polysilicon deposition to add the capacity of depositing dielectric materials such as Si3N4, SiON and SiO2 in addition to polysilicon. As a result, multideposition of materials as different as dielectric and polysilicon in the reactor chamber according to any desired sequence is now possible without the above mentioned inconveniences (wait time, cleaning and long cycle time).
  • SUMMARY OF THE INVENTION
  • It is therefore a primary object of the present invention to provide a multideposition CVD reactor enabling the rapid thermal deposition of dielectric materials such as Si3N4, SiO2, and SiON and non-dielectric materials such as polysilicon onto a substrate in the same chamber of the reactor.
  • It is another primary object of the present invention to provide a multideposition CVD reactor provided with multiple chambers enabling the rapid thermal deposition of dielectric materials such as Si3N4, SiO2, and SiON and non-dielectric materials such as polysilicon onto a substrate in two dedicated chambers of the reactor.
  • It is another object of the present invention to provide a multideposition CVD reactor enabling the rapid thermal deposition of dielectric materials such as Si3N4, SiO2, and SiON and non-dielectric materials such as polysilicon onto a substrate that is particularly adapted to ASIC production (short cycle times and low thermal budget).
  • It is another object of the present invention to provide a multideposition CVD reactor enabling the rapid thermal deposition of dielectric materials such as Si3N4, SiO2, and SiON and non-dielectric materials such as polysilicon onto a substrate that is provided with an improved susceptor and a gas distribution system adapted to multiple material deposition.
  • It is another object of the present invention to provide a multideposition CVD reactor enabling the rapid thermal deposition of dielectric materials such as Si3N4, SiO2, and SiON and non-dielectric materials such as polysilicon onto a substrate that allows high throughput.
  • It is another object of the present invention to provide a multideposition CVD reactor enabling the rapid thermal deposition of dielectric materials such as Si3N4, SiO2, and SiON and non-dielectric materials such as polysilicon onto a substrate that can be widely used elsewhere in the manufacturing line irrespective the module (FEOL/MEOL).
  • It is still another object of the present invention to provide a multideposition CVD reactor enabling the rapid thermal deposition of dielectric materials such as Si3N4, SiO2, and SiON and non-dielectric materials such as polysilicon onto a substrate that is well adapted to the fabrication of borderless polysilicon contacts in advanced EDRAM/SDRAM silicon chips.
  • It is still another further object of the present invention to provide a multideposition CVD reactor enabling the rapid thermal deposition of dielectric materials such as Si3N4, SiO2, and SiON and non-dielectric materials such as polysilicon onto a substrate that is well adapted to fully integrate the GC stack fabrication process in advanced SDRAM silicon chips.
  • According to the present invention there is described a multideposition sub-atmospheric chemical vapor deposition (SACVD) reactor comprising: a substrate processing chamber; a carbon susceptor adapted to hold a substrate in said substrate processing chamber during a SACVD operation, wherein said carbon susceptor is coated by a polysilicon film to protect it against said cleaning gases; a gas distribution system adapted to introduce gases into said substrate processing chamber and including appropriate valves, gas supply lines and other equipment necessary to flow gases into the substrate processing chamber; wherein said gases include dielectric/non-dielectric forming gases and in-situ cleaning gases that are aggressive to carbon; a heating system to heat the susceptor/wafer to the adequate deposition temperature; a pressurization system adapted to set a pressure level within said substrate processing chamber; and a controller coupled to said gas distribution system and pressurization system for directing the operation of the SACVD reactor.
  • When the SACVD Centura reactor is used as the base deposition tool, the present invention also concerns the method of coating said carbon plate in the case, the dielectric material to be deposited is Si3N4 to render it NF3 resistant, said method comprising the steps of: a) placing the standard carbon susceptor in the reactor processing chamber; b) cleaning the chamber interior volume with HCl; c) purging said interior volume with H2; d) coating the susceptor bottom with a film of polysilicon using a DCS precursor; e) purging said interior volume with H2; f) coating the susceptor top with a film of polysilicon using a SiH4 precursor; and g) purging said interior volume with H2.
  • A multideposition process is now made possible with tools that are provided with multiple chambers, such as the AME Centura HTF reactor, wherein every chamber can be dedicated to the deposition of a single material (dielectric or polysilicon) for a totally integrated process (cluster mode).
  • The novel features believed to be characteristic of this invention are set forth in the appended claims. The invention itself, however, as well as other objects and advantages thereof, may be best understood by reference to the following detailed description of an illustrated preferred embodiment to be read in conjunction with the accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 schematically shows the cross sectional view of a conventional SACVD reactor, in the instant case, the AME HTF Centura reactor.
  • FIG. 2 is a diagram of the gas distribution system illustrating the different gas sources and mixes of the AME Centura reactor once modified according to the present invention.
  • FIG. 3 is a flow chart illustrating the main steps of fabricating the improved susceptor according to the present invention that is resistant to NF3 in-situ clean.
  • FIG. 4 shows a complex silicon structure represented by the borderless polysilicon contact wherein substantially all the deposition steps can be performed in the AME Centura reactor once modified according to the present invention.
  • FIG. 5 shows the variations of the deposition rate versus the pattern effect factor to demonstrate the limits of conventional LPCVD techniques in EDRAM chip fabrication in terms of reproducibility.
  • FIG. 6 is a graph showing the variations of the effective channel length Leff for POR LPCVD techniques (at two different temperatures) and the SACVD technique according to the present invention for different lots of wafers.
  • FIG. 7 is a graph showing the variations of the sheet resistance Rs of diffusion regions in the array area for POR LPCVD techniques (at two different temperatures) and the SACVD technique according to the present invention for different lots of wafers.
  • DESCRIPTION OF THE PREFERRED EMBODIMENT
  • Historically, LPCVD processes have been performed in vertical furnaces. In the past several years, new deposition tools have become available which overcome the limitations of vertical furnaces because they are single-wafer processing based systems. The SACVD Centura HTF reactor is a good example of that new generation of deposition equipments. However, it is strictly limited to the deposition of polysilicon films. It is a cold-wall reactor that uses radiant heating for thermal energy. It operates at reduced pressure and in a temperature range of 550-1200° C. (depending upon the type of operation: deposition or cleaning). FIG. 1 schematically shows the cross-section of the SACVD Centura reactor with its major elements. Now tuning to FIG. 1, reactor 10 has top and bottom walls (dome), side walls and a bottom wall that define the internal volume of the processing vacuum chamber 11 into which a substrate, typically a silicon wafer 12, can be loaded. The wafer 12 sits on a carbon susceptor 13 which is supported by a quartz pedestal 14 that can be rotated. A preheat ring 15 surrounds the susceptor 13. The wafer 12 and susceptor 13 are heated by banks of lamps 16 located outside above and below the process chamber 11. The top and bottom walls of the chamber are made of quartz and thus are transparent to light from external lamps in order to heat the susceptor, the wafer and the preheat ring. Gas distribution system 17 is provided with a gas input port or inlet 18A connected to a gas manifold to supply one or a mixture of gases in the process chamber 11 via a plurality of pipes. The gas concentrations and flow rate through each of these pipes are selected as standard to produce reactant gas flows and concentration profiles that optimize the deposition process. Reactor 10 further includes pressurization means connected to the gas output port or outlet 18B to produce the desired vacuum into process chamber 11 and temperature measurement means, typically a pyrometer 19, as known for those skilled in the art.
  • Due to the particular construction of the AME Centura reactor, there is no substantial communication between the upper and lower volumes of the processing chamber 11. Consequently, as apparent in FIG. 1 (see arrows near inlet 18), certain gases such as SiH4, PH3, DCS, HCl and N2 are injected in the upper volume (above wafer 12) while other gases such as DCS, N2 and HCl are injected in the lower volume (under susceptor 13). In normal operation, the gases flow from the gas inlet 18A across the preheat ring 15 (where the gases are warmed-up) then across the surface of the wafer 12 in the direction of the outlet 18B to perform the polysilicon film deposition. The process gases flow horizontally over the wafer in a laminar flow pattern from the gas inlet across the preheat ring and the wafer to the outlet where they exhaust.
  • Some important modifications have been made to adapt the reactor 10 of FIG. 1 to allow the multideposition feature according to the present invention.
  • The original gas distribution system 17 has been modified according to the present invention. Now turning to FIG. 2, new gas lines and valves have been added (shown in grey) to transport NF3, NH3 and N2O now required according to the present invention as it will be described in due course.
  • In the equipment sold by APPLIED MATERIALS, there is abaratron gauge mounted at the reactor outlet 18B that is used to perform the pressure measurements. Now, still according to the present invention, it is heated to about 150° C. to prevent any Si3N4 deposition on its membrane that would be damaged accordingly.
  • Before the AME Centura reactor is used for the first dielectric material deposition, typically Si3N4, the original carbon susceptor is submitted in-situ to the sequence of steps that will be now described by reference to flow-chart 20 illustrated in FIG. 3. The polysilicon coating procedure described below is relatively complex because of the particular construction of the AME Centura reactor and its resident software. A specific conditioning of the susceptor is required because it is made of carbon. NF3 which is the preferred cleaning chemical compound to remove the Si3N4 material deposited on the reactor walls and the susceptor is known to be very aggressive to carbon (other fluorinated compounds, e.g. ClF3, are adequate as well). The carbon susceptor protection against NF3 chemical is first ensured by a coating of polysilicon (about 4 μM thick) performed on the susceptor bottom with a SiH2Cl2 (DCS) chemistry. In fact, this polysilicon coating plays a double role: it not only protects the susceptor bottom, it also allows determination of the susceptor temperature by a measure of its emissivity. Then, another polysilicon coating (about 1.5 μm thick) is performed on the susceptor top with a SiH4 chemistry.
  • Now turning to FIG. 3, the carbon susceptor is first cleaned (box 21) using the following operating conditions:
  • HCl Clean
    • Lamp power: 43 kW (# 1200° C.)
    • Pressure: 660 Torr
    • HCl flow: 9.9 slm
    • H2flow: 5 slm
      After HCl cleaning, the chamber is cooled down to 950° C. and the pressure is reduced down to 80 Torr.
  • After pressure and temperature stabilization, DCS is flowed in the lower volume of the chamber and the susceptor bottom is now coated with polysilicon (box 22). Operating conditions are recited below:
  • Polysilicon Coating (Susceptor Bottom)
    • Lamp power: 26 kW (# 950° C.)
    • Duration: 540 s
    • DCS flow: 0.4 slm
    • H2 flow: 19 slm
    • Dep. rate: 300 nm/min
  • The temperature is not monitored during the bottom coating but is rather set by the lamp power. This is because the pyrometer 19 reading fluctuates during the coating operation. To ensure a good emissivity of the bottom polysilicon coating, it is important to get the right thickness of the polysilicon film. A thickness of about 4 μm is adequate for protection and accurate temperature measurement. In this case, with a deposition between 300 nm/mn and 350 nm/mn, only a few minutes are required. The power setpoint is adjusted to obtain this rate. DCS is preferred because it has a faster deposition rate than SiH4 at this elevated temperature (950° C.). In addition, it produces polysilicon with a thinner grain than with SiH4, increasing thereby its emissivity and in turn the accuracy of the temperature measurement by the optical pyrometer 19.
  • Finally, the susceptor top is coated with polysilicon using a SiH4 precursor (step 23). The chamber is first cooled down to 675° C., then the top coating is performed with the following operating conditions:
  • Polysilicon Coating (Susceptor Top)
    • Temp.: 675° C.
    • SiH4 flow: 0.5 slm
    • H2 flow: 9.5 slm
    • Duration: 400 s
    • Dep. rate: 150 nm/min
  • Note that the top polysilicon coating can be performed using either SiH4 and PH3 to deposit doped polysilicon or SiH4 only to deposit intrinsic polysilicon as described above. The thickness of the top coating is important for subsequent dielectric/polysilicon deposition steps in the processing chamber to ensure a sufficient protection of the carbon susceptor. Since the top coating now is accurately controlled by the temperature, the pyrometer 19 must be correctly set up.
  • Note that there is an H2 purge performed after each polysilicon coating step such as described below:
  • H2 Purge
    • H2flow: 10 slm
    • Lamp power: 43 kW
    • Duration: 60 s
  • As such, the carbon susceptor is now ready for the deposition of dielectric materials, typically Si3N4, in the AME Centura tool.
  • When a great number of wafers have been processed, it is necessary to perform a total cleaning of the reactor walls and the susceptor using NF3 to remove all the Si3N4 material deposited thereon, then with HCl to remove the polysilicon coating the susceptor that has been damaged using the operating conditions given below:
  • NF3 Clean
    • Pressure: 500 Torr
    • Temp.: 850° C.
    • NF3 flow: 250 sccm
    • N2 flow: 2 sccm
    • Si3N4 etch rate: 1 μm/mn
    • Poly. etch rate: 0.3 μm/mn
      HCl Clean
    • Lamp power: 43 kW
    • Pressure: 660 Torr
    • HCl flow: 9.9 slm
    • H2 flow: 5 slm
    • Temp.: 1200° C.
    • Poly. etch rate: 2 μm/mn
  • As a matter of fact, the processing chamber needs to be cleaned and the susceptor reconditioned after 15 μm of Si3N4 material have been deposited, i.e. after about 6000 wafers have been processed. The susceptor is reconditioned as described above by reference to FIG. 3. The chamber will be then ready for running again a great number of dielectric/non-dielectric deposition steps.
  • Deposition of Dielectric Materials
  • Si3N4 deposition
    • Pressure: 80-150 Torr
    • Temperature: 600-950° C,
    • NH3 flow: 3.2 slm
    • SiH4 flow: 30 sccm
    • N2flow: 5 slm
      SiO2 Deposition
    • Pressure: 50-100 Torr
    • Temperature: 600-950° C.
    • SiH4 flow: 60 sccm
    • N2O flow: 2.8 slm
    • N2 flow: 9.2 slm
  • Presence of hydrogen atoms is not a problem in the formation of SiO2 spacers, but the above deposition process cannot be used to deposit the SiO2 gate layer, because, in this case the SiO2 material must be totally pure and not contaminated.
  • The SACVD reactor such as modified according to the present invention can be generalized to the deposition of more complex dielectric materials, such as SiON using the following operating conditions.
  • SiON Deposition
    • Pressure: 80-150 Torr
    • Temperature: 600-950° C.
    • NH3 flow: 1 slm
    • DCS flow: 200 sccm
    • N2O flow: 2.8 slm
    • N2 flow: 5 slm
  • When placed in the AME Centura reactor, the polysilicon-coated carbon susceptor still allows polysilicon deposition but is adequate to the deposition of other materials such as metal when the cleaning gases that are used are aggressive to carbon.
  • Deposition of Non-dielectric Materials
  • Deposition of Doped Polysilicon
    • Pressure: 80-160 Torr
    • Temperature: 600-700° C.
    • SiH4 flow: 0.3 slm
    • H2 flow: 9.9 slm
    • PH3 flow: 0.09 slm
      Deposition of Intrinsic Polysilicon
    • Pressure: 80-160 Torr
    • Temperature: 650-750° C.
    • SiH4 flow: 0.3 slm
    • H2 flow: 9.9 slm
  • Let us consider again the GC stack formation described above in the Background of the Invention section of this patent application. Using the AME Centura tool modified according to the teachings of the present invention, the new sequence of deposition steps becomes:
  • Doped Polysilicon Deposition
    • Pressure: 80 Torr
    • Temperature: 660° C.
    • SiH4 flow: 0.3 slm
    • H2 flow: 9.9 slm
    • PH3 flow: 0.09 slm
    • Dep. rate: 80 nm/min
    • Cycle time: 4 mn
      WSix Deposition
    • Pressure: 1 Torr
    • Temperature: 550° C.
    • WF6 flow: 2.4 sccm
    • DCS flow: 175 sccm
    • Ar flow: 1500 sccm
    • Dep. rate: 12 nm/min
    • Cycle time: 5 mn
      Si3N4 Deposition
    • Pressure: 100 Torr
    • Temperature: 785° C.
    • NH3 flow: 3.2 slm
    • SiH4 flow: 30 sccm
    • N2 flow: 5 slm
    • Dep. rate: 35 nm/mn
    • Cycle time: 6 min
  • The deposition of the doped polysilicon and Si3N4 materials is performed in a first chamber of the AME Centura reactor while the deposition of the WSix material is performed in another chamber, as it is made apparent in the TABLE III below:
    TABLE III
    Materials Deposition process
    SiO2 APOX
    Doped polysilicon SACVD (Chamber 1)
    WSix SACVD (Chamber 2)
    Si3N4 SACVD (Chamber 1)
  • As a result it is a fully integrated process (cluster mode). The total cycle time to process one wafer is now very short (about 15 min), a major advantage for ASICs. Other advantages include a reduced contamination, less loading/unloading operations, no wait time and elimination of a cleaning step. Finally, the throughput is increased.
  • FIG. 4 shows a conventional borderless polysilicon contact structure referenced 24. Now turning to FIG. 4, there is shown a silicon substrate 25 having a thin SiO2 gate layer 26 formed thereon that is provided with an opening to expose a diffusion region 27. The gate conductor stack comprised of a bottom doped polysilicon/WSix layer 28 and its top Si3N4 capping layer 29. It is formed onto the SiO2 gate layer 26 as standard. The borderless doped polysilicon plug 30 con tacts the diffusion region 27 and is isolated from the GC stack by a composite insulating layer. Said composite insulating layer comprises sidewall SiO2 spacer 31, Si3N4 spacer 32 and Si3N4 barrier 33. The structure 24 further includes BPSG and TEOS planarizing/insulating layers 34 and 35 respectively. With such a structure, the multi-deposition SACVD reactor of the present invention is able to perform the deposition of all the materials mentioned above except the BPSG material, because it contains a P type dopant (boron), it would detrimentally impact the N type doped polysilicon contact plug 30.
  • The TABLE IV below indicates to a person skilled in the art, the recommended working conditions when two materials of different type (dielectric/non-dielectric) are successively deposited in the same chamber of the AME Centura reactor.
    TABLE IV
    1st material 2nd material Conditions
    Polysilicon Si3N4 direct pass
    Polysilicon SiON direct pass
    Si3N4 SiON direct pass
    Si3N4 Polysilicon poly coating(1)
    Si3N4 SiO2 NF3 clean + poly coating(2)
    SiON Si3N4 direct pass
    SiON SiO2 direct pass
    SiO2 Si3N4 NF3 clean + poly coating(2)
    SiO2 SiON direct pass
    SiON Polysilicon poly coating(1)

    (1): this step is identical to the step of coating the susceptor top described above but with a lower thickness (0.2 μm). It is required to facilitate polysilicon nucleation on the Si3N4 material deposited on the susceptor.
    (2): after the NF3 cleaning, the polysilicon coating the susceptor top is damaged, so that a new coating has to be done using the same operating conditions as described above (see box 23 in FIG. 3).
  • The optimum would be to dedicate two chambers of the AME Centura tool (which is a multi-chamber equipment), one to the polysilicon and another to Si3N4 for successive depositions without unloading the wafer from the tool. In this case, the first chamber would use the original carbon susceptor for polysilicon deposition while the second chamber would be provided with the polysilicon coated carbon susceptor of the present invention Such an arrangement would allow the fastest cycle time.
  • With the multi-deposition SACVD reactor of the present invention, above described TABLE II can be re-written as below:
    TABLE V
    Module FEOL/MEOL
    Temp. 550-950° C.
    Cycle time 3-6 min
    SiN SACVD
    SiON SACVD
    SiO2 SACVD
    Polysilicon SACVD

    Comparison between TABLES II and V clearly shows the significant improvements brought up by the present invention. New configurations of ICs manufacturing lines can now be envisioned.
  • FIG. 5 shows the variations of the deposition rate (in nm/mn) as a function of the pattern factor. The pattern factor is calculated as the ratio between the etched and un-etched surfaces across a wafer. Experiments have been conducted to deposit Si3N4 in deep trenches for different capacitor cell densities at 700° C. for LPCVD (curves 36, 37 and 38) and at 785° C. for SACVD (curve 39) for lots of wafers of different capacity (the SACVD deposition rate has been divided by 4 to fit Y-axis scale). A brief comparison between the profiles of curves 36/37/38 and 39 clearly shows that the deposition rate across a LPCVD batch forbids the use of this technique for every step where thickness control is critical. The LPCVD deposition rate varies as a function of the pattern factor even more the number of wafers in the batch is high, while the SACVD deposition rate is constant As apparent in FIG. 5, the reproducibility of conventional LPCVD techniques in EDRAM/SDRAM chip fabrication is clearly limited. In this case, the SACVD single wafer tool is by far preferred to insure wafer to wafer thickness uniformity control.
  • The deposition temperature is quite critical to the device performance and strongly influences the thermal budget as it will be now made apparent in FIGS. 6 and 7.
  • FIG. 6 is a graph showing the variations of the effective channel length Leff (in μm) around the desired nominal value Leff=0.28 μm for POR LPCVD techniques (at two different temperatures) and the SACVD technique according to the present invention for two lots of wafers each. Wafers were prosed at 700° C. for the two lots LP1 and at a temperature of 650° C. for the two lots LP2 for approximately the same time (3 H) using the LPCVD technique. Wafers of two lots SA1 were processed at 785° C. during 5 min using the SACVD technique. As apparent in FIG. 6, in the latter case, the Leff variations around the nominal value and within a lot are more limited. As known for those skilled in the art, a reduction of the Leff value has detrimental consequences on the device (IGFET) reliability.
  • FIG. 7 is a graph showing the variations of the sheet resistance Rs (in Ohm/square) of diffusion regions in the array area around the desired nominal value Rs=3400 Ohm/square for POR LPCVD techniques (at two different temperatures) and the SACVD technique according to the present invention using the same operating conditions as described above by reference to FIG. 6. The sheet resistance of the two lots SA1 processed with the SACVD technique varies much less and is more centered around the nominal value when compared to the sheet resistance of lots LP1 and LP2 processed with the LPCVD technique.
  • FIGS. 6 and 7 clearly demonstrates that the role of the thermal budget (temperature/time couple) is essential.
  • The new design of the multi-deposition SACVD reactor described above significantly improves the process window and the thermal budget required for advanced EDRAM/SDRAM silicon chips with reduced scale, i.e. beyond 0.20 μm. Moreover, the cycle time of depositing dielectric materials with such a reactor is significantly shortened, compared to LPCVD furnaces. It has become an important technology parameter to date to quickly adapt a DRAM memory manufacturing line to the fabrication of ASIC products at reduced cost. ASIC market competitiveness depends strongly upon short cycle times, customer satisfaction and the ability to exploit new business opportunities in a very competitive OEM environement.
  • While the invention has been particularly described with respect a preferred embodiment thereof it should be understood by one skilled in the art that the foregoing and other changes in form and details may be made therein without departing from the spirit and scope of the invention.

Claims (10)

1-9. (canceled)
10. A method of in-situ conditioning a carbon susceptor in a AME Centura reactor to render it NF3 resistant comprising the steps of:
a) placing a standard carbon susceptor in a processing chamber of said reactor;
b) cleaning said chamber interior volume with HCl;
c) purging said interior volume with H2;
d) coating said susceptor bottom with a film of polysilicon using a DCS precursor;
e) purging said interior volume with H2;
f) coating said susceptor top with a film of polysilicon using a SiH4 precursor;
and
g) purging said interior volume with H2.
11. The method of claim 10 wherein in the step of coating said carbon susceptor bottom is performed with a lamp power of 26 kW (# 950° C.), for a duration of 540 s, with a DCS flow of about 0.4 slm, with a H2 flow of about 19 slm, and at a deposition rate of about 300 nm/min.
12. The method of claim 11 wherein said bottom polysilicon coating has a thickness of about 4 μm.
13. The method of claim 10 wherein in the step of coating said carbon susceptor top is performed at a temperature of about 675° C., with a SiH4 flow of about 0.5 slm, with a H2 flow of about 9.5 slm, for a duration of about 400 s, and at a deposition rate of about 150 nm/min.
14. The method of claim 13 wherein said top polysilicon coating has a thickness of about 1.5 μm.
15-16. (canceled)
17. The method of claim 10 wherein said bottom polysilicon coating has a thickness of about 4 μm and said top polysilicon coating has a thickness of about 1.5 μm.
18. A method of in-situ conditioning a carbon susceptor in a sub-atmospheric chemical vapor deposition reactor to render it NF3 resistant, the method comprising the steps of:
a) placing said carbon susceptor in a processing chamber of said reactor, said susceptor having a bottom surface and a top surface;
b) cleaning said chamber interior volume with HCl;
c) purging said interior volume with H2;
d) coating said susceptor bottom surface with a film of polysilicon using a DCS precursor, said susceptor bottom film having a first thickness;
e) purging said interior volume with H2;
f) coating said susceptor top surface with a film of polysilicon using a SiH4 precursor, said susceptor top film having a second thickness which is less than said first thickness; and
g) purging said interior volume with H2.
19. The method of claim 18 wherein said first thickness is about 4 μm and said second thickness is about 1.5 μm.
US10/704,272 2000-07-25 2003-11-07 Multideposition SACVD reactor Abandoned US20050229853A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/704,272 US20050229853A1 (en) 2000-07-25 2003-11-07 Multideposition SACVD reactor

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
EP00480069 2000-07-25
EP00480069.4 2000-07-25
US09/904,424 US6770144B2 (en) 2000-07-25 2001-07-12 Multideposition SACVD reactor
US10/704,272 US20050229853A1 (en) 2000-07-25 2003-11-07 Multideposition SACVD reactor

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/904,424 Division US6770144B2 (en) 2000-07-25 2001-07-12 Multideposition SACVD reactor

Publications (1)

Publication Number Publication Date
US20050229853A1 true US20050229853A1 (en) 2005-10-20

Family

ID=8174251

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/904,424 Expired - Fee Related US6770144B2 (en) 2000-07-25 2001-07-12 Multideposition SACVD reactor
US10/704,272 Abandoned US20050229853A1 (en) 2000-07-25 2003-11-07 Multideposition SACVD reactor

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/904,424 Expired - Fee Related US6770144B2 (en) 2000-07-25 2001-07-12 Multideposition SACVD reactor

Country Status (2)

Country Link
US (2) US6770144B2 (en)
JP (1) JP4126165B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080132042A1 (en) * 2006-12-04 2008-06-05 Semiconductor Manufacturing International (Shanghai) Corporation Process For Cleaning Chamber In Chemical Vapor Deposition Apparatus
US20080274604A1 (en) * 2007-05-04 2008-11-06 Errol Sanchez Susceptor with backside area of constant emissivity

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7407892B2 (en) * 2005-05-11 2008-08-05 Micron Technology, Inc. Deposition methods
JP4517364B2 (en) * 2005-08-18 2010-08-04 三菱マテリアル株式会社 Silicon electrode plate for plasma etching
JP4517363B2 (en) * 2005-08-18 2010-08-04 三菱マテリアル株式会社 Silicon electrode plate for plasma etching
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
FR2963024B1 (en) * 2010-07-26 2016-12-23 Altatech Semiconductor ENHANCED GAS PHASE CHEMICAL DEPOSITION REACTOR
JP5609755B2 (en) * 2011-04-20 2014-10-22 信越半導体株式会社 Epitaxial wafer manufacturing method
KR101792165B1 (en) * 2012-12-18 2017-10-31 시스타 케미칼즈 인코포레이티드 Process and method for in-situ dry cleaning of thin film deposition reactors and thin film layers
WO2014113179A1 (en) 2013-01-16 2014-07-24 Applied Materials, Inc Quartz upper and lower domes
WO2014149336A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc. Apparatus and methods for pulsed photo-excited deposition and etch
US11414759B2 (en) * 2013-11-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for supplying process gas into wafer process apparatus
KR102350588B1 (en) * 2015-07-07 2022-01-14 삼성전자 주식회사 Film forming apparatus having injector
DE102016211614A1 (en) * 2016-06-28 2017-12-28 Siltronic Ag Method and apparatus for producing coated semiconductor wafers
FR3068506B1 (en) * 2017-06-30 2020-02-21 Soitec PROCESS FOR PREPARING A SUPPORT FOR A SEMICONDUCTOR STRUCTURE
US10734234B2 (en) * 2017-12-18 2020-08-04 International Business Machines Corporation Metal cut patterning and etching to minimize interlayer dielectric layer loss
US11284481B2 (en) * 2018-01-31 2022-03-22 The Boeing Company Smart susceptors
CN214848503U (en) * 2018-08-29 2021-11-23 应用材料公司 Implanter apparatus, substrate processing apparatus and structure embodied in machine-readable medium
SE544378C2 (en) * 2020-07-13 2022-04-26 Epiluvac Ab Device and method for achieving homogeneous growth and doping of semiconductor wafers with a diameter greater than 100 mm
US11495487B1 (en) * 2021-05-13 2022-11-08 Globalwafers Co., Ltd. Methods for conditioning a processing reactor
WO2022240726A1 (en) * 2021-05-13 2022-11-17 Globalwafers Co., Ltd. Methods for etching a semiconductor structure and for conditioning a processing reactor
US11515196B1 (en) * 2021-05-13 2022-11-29 Globalwafers Co., Ltd. Methods for etching a semiconductor structure and for conditioning a processing reactor

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3919968A (en) * 1973-11-29 1975-11-18 Siemens Ag Reaction device for pyrolytic deposition of semiconductor material
US4795880A (en) * 1986-09-11 1989-01-03 Hayes James A Low pressure chemical vapor deposition furnace plasma clean apparatus
US5188501A (en) * 1990-04-27 1993-02-23 Shin-Etsu Handotai Co., Ltd. Wafer transfer system
US5599397A (en) * 1994-03-31 1997-02-04 Applied Materials Inc. Semiconductor wafer process chamber with suspector back coating
US6022587A (en) * 1997-05-13 2000-02-08 Applied Materials, Inc. Method and apparatus for improving film deposition uniformity on a substrate
US6071353A (en) * 1997-10-31 2000-06-06 Applied Materials, Inc. Protection of consumable susceptor during etch by a second coating of another consumable material
US6120660A (en) * 1998-02-11 2000-09-19 Silicon Genesis Corporation Removable liner design for plasma immersion ion implantation
US20020039835A1 (en) * 2000-07-25 2002-04-04 International Business Machines Corporation Method of depositing a conformal hydrogen-rich silicon nitride layer onto a patterned structure

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5366164A (en) * 1976-11-26 1978-06-13 Hitachi Ltd Susceptor for semiconductor wafer processing
JPS54152465A (en) * 1978-05-22 1979-11-30 Nec Corp Manufacture of epitaxial wafer
JP2566796B2 (en) * 1987-11-11 1996-12-25 東芝セラミックス株式会社 Vapor phase growth equipment
JPH06196489A (en) * 1992-12-24 1994-07-15 Hitachi Ltd Manufacturing device and method of semiconductor device and semiconductor wafer
JPH1041251A (en) * 1996-07-26 1998-02-13 Sony Corp Device and method for cvd
JP3670533B2 (en) * 1999-09-27 2005-07-13 株式会社東芝 Substrate processing apparatus and cleaning method thereof
US6623563B2 (en) * 2001-01-02 2003-09-23 Applied Materials, Inc. Susceptor with bi-metal effect

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3919968A (en) * 1973-11-29 1975-11-18 Siemens Ag Reaction device for pyrolytic deposition of semiconductor material
US4795880A (en) * 1986-09-11 1989-01-03 Hayes James A Low pressure chemical vapor deposition furnace plasma clean apparatus
US5188501A (en) * 1990-04-27 1993-02-23 Shin-Etsu Handotai Co., Ltd. Wafer transfer system
US5599397A (en) * 1994-03-31 1997-02-04 Applied Materials Inc. Semiconductor wafer process chamber with suspector back coating
US6022587A (en) * 1997-05-13 2000-02-08 Applied Materials, Inc. Method and apparatus for improving film deposition uniformity on a substrate
US6071353A (en) * 1997-10-31 2000-06-06 Applied Materials, Inc. Protection of consumable susceptor during etch by a second coating of another consumable material
US6120660A (en) * 1998-02-11 2000-09-19 Silicon Genesis Corporation Removable liner design for plasma immersion ion implantation
US20020039835A1 (en) * 2000-07-25 2002-04-04 International Business Machines Corporation Method of depositing a conformal hydrogen-rich silicon nitride layer onto a patterned structure

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080132042A1 (en) * 2006-12-04 2008-06-05 Semiconductor Manufacturing International (Shanghai) Corporation Process For Cleaning Chamber In Chemical Vapor Deposition Apparatus
US20080274604A1 (en) * 2007-05-04 2008-11-06 Errol Sanchez Susceptor with backside area of constant emissivity
US8226770B2 (en) * 2007-05-04 2012-07-24 Applied Materials, Inc. Susceptor with backside area of constant emissivity
US8524555B2 (en) 2007-05-04 2013-09-03 Applied Materials, Inc. Susceptor with backside area of constant emissivity

Also Published As

Publication number Publication date
JP4126165B2 (en) 2008-07-30
US20020173164A1 (en) 2002-11-21
US6770144B2 (en) 2004-08-03
JP2002110572A (en) 2002-04-12

Similar Documents

Publication Publication Date Title
US6770144B2 (en) Multideposition SACVD reactor
US11837466B2 (en) Method of manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium
US7964513B2 (en) Method to form ultra high quality silicon-containing compound layers
US7790556B2 (en) Integration of high k gate dielectric
US6348420B1 (en) Situ dielectric stacks
US7476627B2 (en) Surface preparation prior to deposition
JP3341619B2 (en) Film forming equipment
US7544996B2 (en) Methods of fabricating a semiconductor device having a metal gate pattern
US7446366B2 (en) Process sequence for doped silicon fill of deep trenches
US11417518B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US20060183296A1 (en) Isolation method for semiconductor device
US20050218435A1 (en) Semiconductor integrated circuit device and production method thereof
KR20040100767A (en) method for forming low pressure-silicon nitride layer
JP4851647B2 (en) In situ growth of oxide and silicon layers
US20040018731A1 (en) Method of preventing autodoping
JP2002353214A (en) Method for manufacturing semiconductor device
JP2002110673A (en) METHOD FOR FORMING JOINT TYPE H-RICH Si3N4 LAYER
US7326438B2 (en) Method for depositing nitride film using chemical vapor deposition apparatus of single chamber type
KR20050095433A (en) Method of forming a thin film in a semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION