US20050126315A1 - Sensor device for non-intrusive diagnosis of a semiconductor processing system - Google Patents

Sensor device for non-intrusive diagnosis of a semiconductor processing system Download PDF

Info

Publication number
US20050126315A1
US20050126315A1 US11/052,161 US5216105A US2005126315A1 US 20050126315 A1 US20050126315 A1 US 20050126315A1 US 5216105 A US5216105 A US 5216105A US 2005126315 A1 US2005126315 A1 US 2005126315A1
Authority
US
United States
Prior art keywords
processing system
substrate
sensor device
chamber
support platform
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/052,161
Inventor
Reginald Hunter
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/052,161 priority Critical patent/US20050126315A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HUNTER, REGINALD
Publication of US20050126315A1 publication Critical patent/US20050126315A1/en
Priority to US11/427,376 priority patent/US7434485B2/en
Priority to US11/470,401 priority patent/US7331250B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • G03F7/70708Chucks, e.g. chucking or un-chucking operations or structural details being electrostatic; Electrostatically deformable vacuum chucks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7085Detection arrangement, e.g. detectors of apparatus alignment possibly mounted on wafers, exposure dose, photo-cleaning flux, stray light, thermal load
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49004Electrical device making including measuring or testing of device or component part
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49764Method of mechanical manufacture with testing or indicating
    • Y10T29/49769Using optical instrument [excludes mere human eyeballing]

Definitions

  • the present invention generally relates to methods and apparatuses for testing or aligning the various parts of a processing system. Specifically, the present invention relates to methods and apparatuses for leveling and aligning the processing system and the various structures within the processing system that support and/or transfer processing objects, such as substrates, through the processing system so that the processing system and each structure is substantially level and so that each structure receives, supports and/or transfers the substrates in substantially the same inclination and without slippage of or damage to the substrates.
  • Processing systems for processing 100 mm, 200 mm, 300 mm or other diameter substrates are generally known.
  • Such processing systems have a centralized transfer chamber mounted on a monolith platform.
  • the transfer chamber is the center of activity for the movement of substrates being processed in the system.
  • One or more process chambers mount on the transfer chamber at slit valves through which substrates are passed by a substrate handler, or robot.
  • Access to the transfer chamber from the clean ambient environment is typically through one or more load lock chambers attached at other slit valves.
  • the load lock chambers may open to a very clean room, referred to as the white area, or to an optional substrate handling chamber, typically referred to as a mini-environment.
  • a processing system may have several other structures, including, but not limited to, indexers in the load lock chambers, lift pins in the process chambers, and substrate chucks in the process chambers, which will support or handle the substrates in one manner or another.
  • the lift and support structures within the processing system may exchange substrates more rapidly, without slippage or backside contamination of the substrates, if the lift and support structures are level.
  • the extremely fine and delicate nature of the circuits and other structures being constructed on the substrates may require that the processing system as a whole, and particularly each substrate support structure, be set as near to level as possible.
  • assemblers or operators of the processing systems may try to ensure that, at a minimum, the various substrate support structures are in alignment relative to each other, so that even if each support structure is not perfectly level, at least they are all at the same inclination. Additionally, the assemblers or operators will attempt to ensure that the substrate support structures, which move the substrates laterally, accelerate and decelerate at suitable rates and without discontinuous, or jerking, motion, so that the substrates do not slip on the support structure. Failure to ensure that the processing system and/or each of the substrate support structures is properly level and/or aligned and is operating smoothly may cause damage to or improper processing of the substrates and can reduce the throughput of the processing system since substrate exchanges may not be performed at maximum speed.
  • Relative alignment of the substrate support structures is typically more important than absolute leveling of the entire processing system since substrate exchange handling can result in significant slippage due to improper alignment.
  • the support structures When the substrate support structures, within a processing system, are improperly aligned, however, the support structures do not hold the substrates at about the same inclination, or tilt.
  • one support structure transfers a substrate to another support structure, such as when the lift pins remove a substrate from a blade of the transfer chamber substrate handler or place a substrate onto the substrate chuck in a process chamber, one point of the substrate will always touch the receiving support structure before other points do. If substantial motion occurs prior to the remaining points making contact, then the substrate can slip.
  • the system chambers such as the transfer chamber
  • the system chambers are inclined at an angle and can cause problems with the handling and processing of substrates and can exacerbate the problems with substrate support structures that are further inclined relative to the processing system.
  • the support structures Since the substrate support structures are mounted to the processing system, if the processing system is inclined and the support structures are level relative to the processing system, then the support structures will also be inclined, though the support structures may, nevertheless, be aligned with each other. When the processing system is inclined, but the support structures are aligned, then the processing system may still operate properly, but possibly at a lower than optimum speed. Additionally, performance of certain functions that are sensitive to gravity may be affected by the inclination of the system.
  • the substrate When a transfer chamber substrate handler, for example, accelerates a substrate in a manner that may be appropriate for a level system, the substrate may, nevertheless, slide off-center due to the inclination, thereby exposing the substrate to potential damage from particles that may be generated by the slide or to potential collision with a surface or object in the processing system that requires a relatively close centering tolerance of the substrate for clearance.
  • the substrate support structures typically may be leveled independently within the processing system.
  • the transfer chamber substrate handler or the process chamber lift pins or chuck may be additionally leveled independently. It is even possible for a substrate handler to be fairly level while the transfer chamber is significantly inclined, or vice versa. In such manner, the substrate handler may be aligned with an opening through which it passes substrates to and from a process chamber on one side of the transfer chamber, yet be out of alignment with an opening for a process chamber on the opposite side of the transfer chamber. Therefore, the transfer chamber substrate handler must be fairly closely aligned with the inclination of the transfer chamber to permit proper functioning of the entire system.
  • FIG. 1 a shows a prior art method of determining the inclination of a transfer chamber substrate handler 10 .
  • the transfer chamber 12 is shown with a lid 14 partially lifted to expose the interior of the chamber body 16 .
  • the substrate handler 10 is mounted in about the center of the transfer chamber 12 and rotates about a center point.
  • the substrate handler 10 extends a blade 18 to insert a substrate 20 through a slit valve opening 22 to access a process chamber (not shown) or a load lock chamber (not shown) mounted to the facets 24 .
  • a level such as a bubble level
  • the level 26 may be placed directly onto the blade 18 , or the level 26 may be placed onto a substrate 20 sitting on the blade 18 .
  • the inclination of the blade 18 must be measured in each relevant direction with the blade 18 retracted as shown and with the blade 18 extended through the slit valve 22 , so the substrate handler 10 can function properly throughout all of its movements.
  • the actual leveling of the substrate handler 10 may involve adjusting the transfer chamber 12 relative to a support platform (not shown), adjusting the base 28 relative to the transfer chamber 12 and adjusting the arms 30 , linkages 32 and blade wrist 34 .
  • the substrate handler 10 must be still, for example, so the operator can read the level 26 , since the acceleration of the blade 18 would affect the level 26 . Therefore, the inclination of the blade 18 while the blade is in motion is unknown. Additionally, the lid 14 must be removed, so the operator can access the substrate handler 10 . Therefore, the processing system must be shut down, so the lid 14 can be removed, intruding into the clean environment; and the ambient air must be more highly filtered of particles than usual, so the interior of the transfer chamber 12 is not contaminated.
  • the level 26 does not fit through the slit valve openings 22 , so the operator must remove the level 26 from the blade 18 to extend the blade 18 into a process chamber and then place the level 26 back onto the blade 18 . Therefore, the process chamber must also be opened, exposing the process chamber to possible contamination and further increasing the down-time of the system.
  • the levels used to measure the inclination typically can resolve the inclination to within only two or three degrees accuracy, are highly dependent on the skill of the operator who is reading the level, and can affect the blade deflection due to the weight of the level, itself. Therefore, process systems or processes that are particularly sensitive to misalignment may be adversely affected. Because of the problems and difficulties with performing this measurement method, some operators may elect not to make these measurements very thoroughly or even not to make them at all.
  • FIG. 1 b shows another prior art method for determining the inclination of a substrate 20 seated on a substrate handler blade 18 within a processing system.
  • a stationary laser 36 mounts to a surface 38 in the processing system, typically the floor of the transfer chamber, and directs a laser beam 40 into the path of the substrate 20 as the substrate moves through the system in the direction of arrow A. This method may be performed during normal processing of substrates in the processing system or just whenever needed.
  • the laser 36 detects the distance to the substrate 20 .
  • the laser 36 detects the distance to the substrate 20 , again.
  • the substrate 20 is aligned with the surface 38 of the processing system in the particular axis measured.
  • this method does not determine if the substrate 20 is level. Rather, this method determines the alignment of the substrate 20 relative to the chamber through which it is being transferred, so the problems with an inclined substrate 20 or blade 18 , as described above, may still occur. Additionally, this method can determine the inclination of the substrate 20 in only one axis, the direction of movement. Since the laser 36 does not move, if the operator wants to determine the inclination of the substrate 20 in a different axis, then one or more other lasers will have to be mounted in the processing system to determine the distance to other points on the substrate 20 .
  • this method determines the inclination of the substrate 20 at only one location, so if the operator wants to determine the inclination of the substrate 20 at a different location, such as at the opposite side of the transfer chamber, then additional lasers will have to be mounted at that location. Moreover, since the laser 36 is mounted into the processing system, removal of the laser 36 is either impossible or very difficult. Additionally, contaminants may prevent the proper functioning of the optics. Furthermore, a warped substrate may lead the laser sensors to incorrectly determine that the blade or substrate is inclined. Therefore, although this method can be performed without opening the processing system, this method is very inflexible.
  • the blade 18 in many processing systems is constantly moving between areas of high and low temperatures, such as hot process chambers and cool load lock chambers.
  • the frequent temperature variations may cause the blade 18 to suffer “blade wilt,” wherein the blade 18 becomes warped due to expansion and shrinkage resulting from the temperature changes.
  • the blade 18 may be warped out of alignment, so the blade 18 may degrade and hold the substrates at an unacceptable inclination.
  • Other shifting of alignments between the various substrate support structures, due to the wear or slippage from constant movement during processing may also occur.
  • the processing system must have built-in inclination detection systems, such as the one shown in FIG.
  • An embodiment of the present invention may be a sensor device generally having a support platform and one or more sensors mounted on the support platform.
  • the sensor senses a condition, such as direction or inclination or acceleration in one or two axes, of the sensor device and outputs a signal indicative thereof.
  • the sensor sends the signal to a conversion circuit, such as an analog-to-digital converter, for converting the signal into a digital signal, which is then sent to a transmitter, also mounted to the support platform, for wireless transmission of the signal to a receiver mounted on or near the processing system.
  • the support platform generally has physical characteristics, such as size, mass and stiffness, substantially similar to those of the substrates being processed in the processing system, so the sensor device can be transferred throughout the processing system in a manner similar to the manner in which production substrates are transferred.
  • the sensor device is conveyed through the processing system non-intrusively, i.e. without opening the isolated portions of the system.
  • the sensor device while moving through the processing system, detects and transmits the sensed inclination, orientation or other information.
  • the support platform may be a substrate, and the sensor(s) and other circuits/devices on the support platform may be micro-machined directly into the material of the substrate to form a low-profile sensor device having a total mass near the mass of a production substrate.
  • a ceramic chip carrier may be mounted to the support platform, with a die for the sensor(s) and other circuits/devices formed into the ceramic chip carrier to provide a fairly light-weight and cost-effective sensor device.
  • the sensor(s) and other circuits/devices may be constructed of surface-mount integrated circuit chips mounted to the support platform to provide a cost-effective sensor device.
  • FIG. 1 a is a perspective view of a prior art sensor device in a process chamber.
  • FIG. 1 b is a side view of a prior art sensor system.
  • FIG. 2 a is a perspective view of a processing system.
  • FIG. 2 b is a schematic top view of a processing system.
  • FIG. 3 is a schematic block diagram of a sensor device.
  • FIG. 4 is a perspective view of a sensor device in a transfer chamber.
  • FIG. 5 is a perspective view of a sensor device on a substrate handler.
  • FIG. 6 is a top view of a sensor device on another substrate handler.
  • FIG. 7 a is a side view of a sensor device in a process chamber in a first configuration.
  • FIG. 7 b is a side view of the sensor device in the process chamber in a second configuration.
  • FIG. 8 is a graph of the velocity of the sensor device during movement.
  • FIGS. 2 a and 2 b show two configurations for an exemplary processing system 100 of the present invention.
  • the processing system 100 is typically disposed in a clean environment of a manufacturing facility.
  • the processing system 100 and an example of its function will be described in detail below.
  • the processing system 100 includes a central transfer chamber 112 , one or more process chambers 114 , one or more load lock chambers 118 , one or more expansion or cool-down chambers 119 , a platform frame 121 , a gas panel 124 and an optional external substrate handling system 120 , referred to herein as the mini-environment.
  • a transfer chamber substrate handler, or robot, 116 and a mini-environment substrate handler 128 are disposed in the transfer chamber 112 and mini-environment 120 , respectively, and move substrates 117 , 156 through these chambers to and from the various chambers attached thereto.
  • the substrates enter the process system 100 from pod loaders 122 having pods 154 seated thereon containing several substrates 156 .
  • Several structures such as the substrate handlers 116 , 128 , chucks, lift pins, load lock indexers and the like, support the substrates as the substrates are moved through and processed in the system 100 .
  • the inclination of each of the support structures must be very closely aligned and leveled, and the movement of the support structures and substrates must be smooth.
  • a sensor device 200 FIG.
  • the sensor device 200 is an active probe which can be entered into the system 100 to investigate many aspects or conditions of the system 100 .
  • the sensor device 200 generally includes a support platform 202 and several electronic devices, such as an inclinometer 204 , an accelerometer 206 , a directional compass 208 , an analog to digital (A/D) converter 210 , a transmitter 212 , a power source 214 and a switch 216 .
  • a small processor (not shown) for pre-filtering data may be incorporated in the circuitry.
  • the inclinometer 204 mounts to the support platform and senses the inclination of the sensor device 200 and, hence, of the substrate handler or other support structure.
  • the inclinometer 204 receives electrical power from the power source 214 , such as a lithium-ion battery and power conditioner, and sends signals indicative of the sensed inclination to the A/D converter 210 .
  • the inclinometer 204 is a two-axis inclinometer for sensing the inclination of the sensor device 200 in two axes at 90°, so the overall inclination of the sensor device 200 can be determined from a suitable calculation.
  • the sensor device 200 can be used to adjust the inclination of each support structure by transferring the sensor device 200 through the processing system 100 to each support structure, sensing the inclination of each support structure at the time that the sensor device 200 is disposed thereon and adjusting each support structure as described below to align each support structure.
  • a misalignment between two support structures can cause particles to be generated or can result in an uncertain positioning of the substrate when one of the support structures transfers a substrate to the other support structure, so proper alignment of the support structures is essential.
  • An exemplary inclinometer 204 includes a cavity partially filled with a conductive fluid, such as mercury, and an array of probes disposed vertically in the cavity into the conductive fluid. As the inclinometer 204 inclines, the probe at one end of the array will have a greater contact, and less resistance, with the conductive fluid than will the probe at the opposite end of the array. The variation in resistances sensed at each of the probes determines the inclination of the inclinometer 204 .
  • Such inclinometers having a profile height of about ⁇ fraction (1/2) ⁇ inches, are commercially available and can sense an inclination of up to about thirty to forty degrees.
  • a suitable inclinometer 204 may be constructed having a mass and profile height significantly less than currently available inclinometers. It is understood that the invention is not limited to the type of inclinometer described, but rather, contemplates the use of any suitable inclinometer.
  • the accelerometer 206 mounts to the support platform and senses the acceleration of the sensor device 200 and, hence, of the substrate handler or other support structure.
  • the accelerometer 206 receives electrical power from the power source 214 and sends signals indicative of the sensed acceleration to the A/D converter 210 .
  • the accelerometer 206 is a two-axis accelerometer for sensing the acceleration of the sensor device 200 in two axes, so the overall acceleration of the sensor device 200 can be determined from a suitable calculation. Similar to the inclinometer 204 , the accelerometer 206 senses the acceleration, or change in motion, of the sensor device 200 while the processing system 100 transfers the sensor device 200 .
  • the substrate handlers need to be operated at the highest speed possible, but an acceleration in a particular axis that is too great for static friction to hold a substrate on a moving support structure, about 0.2G's or greater, may indicate a potential for slippage of the substrate on the support structure during movement, resulting in an uncertain positioning of the substrate, further resulting in damage to or improper processing of the substrate.
  • Actual slippage of the sensor device 200 or malfunctioning of a substrate handler 116 , 128 may be determined by the sensor device 200 when the sensed acceleration of the sensor device 200 is not substantially the same as the anticipated acceleration during a particular movement, indicating that the sensor device 200 did not move in the same manner as the substrate handler 116 , 128 or the substrate handler 116 , 128 moved in an unanticipated manner, such as a jerking, irregular movement.
  • FIG. 8 graphically illustrates such an irregular movement.
  • the graphed curve is velocity plotted versus time; however, other plots, such as acceleration vs. time or inclination vs. time, may be used.
  • the velocity smoothly increases until it reaches a constant velocity and then smoothly decreases, but in region 802 , an irregular movement causes a sharp rise, or discontinuity, in the curve, indicating a problem with the movement of the substrate handler 116 , 128 .
  • the sensor device 200 can detect an improper movement by a substrate handler 116 , 128 .
  • the inclinometer 204 may determine the acceleration of the sensor device, instead of by a separate accelerometer; thereby, reducing the number of devices on the sensor device 200 .
  • the inclinometer 204 described above includes a fluid in a cavity, so as the inclinometer 204 is accelerated, the force of acceleration on the fluid will force the fluid to one end of the cavity; thereby, falsely indicating an inclination of the sensor device in the direction of acceleration. A suitable procedure can resolve this false inclination to an acceleration.
  • the directional compass 208 provides the ability of the sensor device 200 to determine the horizontal angle, or compass direction, of the sensor device 200 from magnetic north.
  • the compass direction of the sensor device 200 can be determined at any point in the trajectory of the sensor device.
  • the anticipated compass direction of the sensor device 200 can be compared with the actual compass direction to confirm proper movement of the sensor device 200 .
  • the inclination of the entire system 100 can be determined by determining the inclination of the sensor device 200 at corresponding compass direction points as the substrate handler 116 rotates about a 360° angle. With this data, the inclination of the system 100 can be resolved with a suitable procedure.
  • the A/D converter 210 receives the analog signals from each of the devices 204 , 206 , 208 and converts the signals into digital signals, which are then packetized for transmission via the transmitter 212 to a receiver.
  • Other methods and apparatuses for transferring the signals from the sensor device 200 will be readily apparent to a person skilled in the art. Such other methods and apparatuses may include, but not be limited to, a transmission system for sending the analog signals to a receiver, so as to save the weight of the A/D converter 210 on the sensor device 200 .
  • the transmitter 212 may be any appropriate transmitter device, such as an optical transmitter or RF based transmitter, for sending signals the relatively short distance from the interior of the system 100 to the exterior.
  • the transmitter 212 may be an inexpensive low-power transmitter device.
  • One or more receivers 218 are mounted on the system 100 to receive the signals from the transmitter 212 and send the signals to a controller system for the system 100 .
  • the receivers may be located on the interior of the system 100 and send the signals over wires through the walls of the system 100 .
  • the power source 214 may be any appropriate device, such as a lithium-ion battery in conjunction with a power conditioner for obtaining proper working voltages, which is light-weight and provides sufficient power for sufficient time to conduct all of the measurements necessary for the system 100 .
  • the battery may be rechargeable for repeated use, or it may be replaceable in a suitable receptacle.
  • the switch 216 turns the power on and off to the electronic devices on the sensor device 200 .
  • a magnetic probe that includes hall effect magnetic field sensors may allow magnetic fields to be characterized within the system 100 while the system 100 is closed.
  • an operator may adjust the rotation of a magnetron or the current flowing through a variety of coils in a process chamber to ensure uniformity of magnetic fields created therein and of a plasma created thereby within the process chamber while receiving immediate feedback of the actual conditions of the fields.
  • Another type of probe may provide characterization of an electrostatic chuck, which holds a substrate in place using an electrostatic charge during processing. This probe may determine the performance of various charge abatement strategies used to release the substrate so the substrate may be picked up and removed by a substrate handler. The probe may also detect dielectric punch-throughs, a condition in which charge differential between the electrostatic chuck and the substrate is lost due to discharge holes in the non-conductive face of the electrostatic chuck.
  • One embodiment of such an electrostatic probe has a micro machine and/or circuit on a substrate platform including a diaphragm element which deflects toward the electrostatic chuck as a function of charge. The magnitude of this deflection indicates the charge differential between the probe/substrate and the electrostatic chuck.
  • the electronic devices on the electrostatic probe must be fabricated to withstand the environment adjacent the electrostatic chuck since this environment is usually hostile to active electronics.
  • Yet another probe may be a temperature probe including temperature sensors to map thermal characteristic of an environment inside the system 100 .
  • Many process chambers and systems 100 operate at very high temperatures, such as above 300° C., so since most electronics only work up to about 80° C., the probe may only be used if the system 100 is operated at a lower temperature.
  • the temperature probe may be used to develop or validate basic temperature control schemes within the system 100 or individual process chambers.
  • a temperature probe which can detect a thermal gradient across the length of the probe may determine uniformity of process gas distribution within a process chamber since a small gradient indicates that the process gas has been evenly distributed over the probe.
  • the thermal gradient probe must account for disruptions in the normal flow of the process gases due to any structures on the surface probe.
  • a configuration for a temperature probe For use in a process chamber having a shower head gas inlet at the top of the process chamber and which can rotate a substrate for even processing, such as in some chemical vapor deposition chambers, a configuration for a temperature probe includes an array of temperature sensors on the probe platform orientated radially out from the center thereof with spacing matching the spacing of the gas apertures of the shower head. As the probe is rotated, it can detect a change in temperature associated with the gas exiting each aperture, so clogged apertures may be detected by an unexpected temperature variation.
  • An embodiment for this example may include piezoelectric detectors which deflect when subjected to the gas stream in front of the aperture.
  • Another active probe is a distance probe which can ensure that the wafer surface is both parallel to and at the proper distance from the target or shower head of the process chamber.
  • Embodiments of a distance probe may include contacting sensors or electro-optical sensors arranged at a sufficient number of locations on the surface of the probe platform to determine the distance from and angle of inclination between the probe and the target or shower head. Since the distance probe sits on a chuck in the process chamber when the distance measurements are performed, the mass of the probe is not a significant issue, so the weight of the distance probe may be increased if necessary to reduce the cost.
  • Yet another type of probe may be an optical source detection probe for detecting a light beam, infrared beam or other optical signal from a source thereof to determine whether the source is operating within normal or acceptable limits.
  • optical sources may be part of an optical sensor system within a system 100 for providing feedback to a system controller regarding the performance of parts of the system 100 , such as a substrate edge detection sensor system for automatic center finding of substrates being processed within the system 100 . If the optical source detection probe determines that a source is not operating within acceptable limits, then the optical source may be defective or the optical pathway of the optical beam signal from the source may be contaminated or blocked, so the system 100 may require servicing or maintenance.
  • FIG. 4 shows a sensor device 200 positioned on the substrate handler 116 inside the transfer chamber 112 with the transfer chamber lid 240 partially raised.
  • the substrate handler 116 moves the sensor device 200 back and forth in the directions of arrows A and B and/or holds the sensor device 200 relatively motionless at any location inside the transfer chamber 112 while the sensor device 200 takes the desired measurements and transmits the information to the receivers 218 positioned on the exterior of the transfer chamber 112 .
  • FIGS. 5 and 6 show two different types, single bladed and double bladed, of substrate handlers 116 for illustrative purposes; however, both substrate handlers 116 perform the functions of rotating the sensor device 200 within the transfer chamber 112 and extending the sensor device 200 ′, as shown by the dashed lines in FIG. 6 .
  • the substrate handler 116 has a blade 244 for holding the sensor device 200 .
  • the blade 244 attaches at a wrist 258 to articulating arms 254 , 256 , which attach to actuating arms 246 , 248 , which attach to upper and lower rotating members 250 , 252 , respectively, to rotate back and forth to rotate the sensor device 200 and/or to extend or retract the sensor device 200 .
  • Each joint of the substrate handler 116 must be carefully aligned for the movement of the sensor device 200 to be proper.
  • the blade 244 must be properly attached and aligned to the articulating arms 254 , 256 at the wrist 258 , the articulating arms 254 , 256 must be properly aligned with respect to the actuating arms 246 , 248 , and the actuating arms 246 , 248 must be properly aligned with the upper and lower rotating members 250 , 252 for the inclination of the blade 244 to be proper.
  • the system 100 will have to be turned off and opened to repair or replace the damaged parts, including the blade 244 . If the blade 244 is broken, then the wrist 258 or other parts and joints of the substrate handler 116 may be compromised or damaged, so each part of the substrate handler 116 will have to be realigned. To prevent this damage and downtime, the inclination and alignment of the blade 244 should be confirmed periodically.
  • the sensor device 200 provides a way to confirm the inclination and alignment of the blade 244 in all directions without having to turn off and open the system 100 ; thereby, permitting detection and replacement of a wilted or defective blade before severe damage occurs.
  • the substrate handler 116 extends, as shown in FIG. 6 , to insert the sensor device 200 through a slit valve opening 242 and into an attached process chamber (not shown).
  • the height of the sensor device 200 and all of the electronic devices thereon is such that the sensor device 200 can easily pass through the slit valve opening 242 while seated on the blade 244 .
  • the sensor device 200 can determine the inclination at the retracted position, extended position or any intermediate position without having to remove the sensor device 200 , insert the blade 244 through the slit valve opening 242 , and replace the sensor device 200 .
  • the sensor device 200 can also determine the acceleration during the extension and retraction of the substrate handler 116 .
  • FIG. 7 shows a schematic view of a CVD chamber 114
  • the substrate handler 116 can insert the sensor device 200 through the slit valve opening 242 into any type of process chamber 114 , such as a PVD chamber, a CVD chamber, an etch chamber, a photo lithography chamber or other chamber, and that the sensor device 200 may operate with any of these types of process chambers.
  • the process chamber 114 generally has chamber walls 270 , a substrate lift mechanism 272 , a substrate support structure 274 and a chamber lid 278 .
  • the chamber walls 270 and chamber lid 278 generally define the interior of the process chamber 114 .
  • An opening 280 provides access to the interior of the process chamber 114 and matches up with the slit valve opening 242 of the transfer chamber 112 for the substrate handler 116 to insert or remove substrates into or from the interior of the process chamber 114 .
  • a process gas shower head 276 disposed in the chamber lid 278 permits a process gas to enter through a gas source 282 and be dispersed into a processing region 286 of the interior of the process chamber 114 through shower head nozzles 284 .
  • the substrate lift mechanism 272 generally has lift pins 292 for supporting a substrate (not shown) and mounted on an arm 290 which is, in turn, mounted on a lift rod 288 for raising and lowering the substrate lift mechanism 272 .
  • the lift mechanism 272 lifts the substrate off of the blade 244 of the substrate handler 116 with the lift pins 292 by raising the lift rod 288 and arm 290 as depicted in FIG. 7 a .
  • the blade 244 passes between the lift pins 292 when the lift pins 292 support the substrate.
  • the substrate lift mechanism 272 handles the sensor device 200 in the same manner as it handles a substrate. In this manner, the sensor device 200 is passed from the substrate handler 116 to the substrate lift mechanism 272 .
  • the substrate support structure 274 generally includes a chuck 294 for supporting a substrate and mounted on a lift rod 296 for raising and lowering the substrate support structure 274 .
  • the substrate support structure 274 also has guide holes 298 for permitting the lift pins 292 to extend therethrough, as shown in FIG. 7 a , to engage the substrate or sensor device 200 .
  • the substrate lift mechanism 272 may lower the sensor device 200 to the chuck 294 , as shown in FIG. 7 b , or the chuck 294 may raise up to lift the sensor device 200 . In this manner, the sensor device 200 is passed from the substrate lift mechanism 272 to the substrate support structure 274 .
  • the sensor device 200 can determine its inclination, just as it could when it was seated on the substrate handler 116 .
  • Both the substrate lift mechanism 272 and the substrate support structure 274 can be individually adjusted from outside the process chamber 114 by manipulating the mechanisms (not shown) that support and operate the lift rods 288 , 296 to tilt or swivel the lift rods 288 , 296 , and thereby, change the inclination of the lift pins 292 or chuck 294 , respectively.
  • the sensor device 200 provides a means to receive feedback regarding the inclination of the substrate lift mechanism 272 and the substrate support structure 274 without opening the process chamber 114 .
  • these structures 272 , 274 can be leveled to correct an improper inclination very quickly and accurately.
  • the degree of misalignment between the substrate handler 116 and the substrate lift mechanism 272 and between the substrate lift mechanism 272 and the substrate support structure 274 can be determined from a reading of the inclination of the sensor device 200 before and after a transfer from one structure to the other.
  • the sensor device 200 provides a way to convey the inclination at exchange points and to align each structure that supports a substrate with the other such structures without opening the system 100 and compromising the isolated environment therein.
  • the indexer cassette lift of the load lock chambers 118 ( FIG. 2 ) has a mechanism for leveling or aligning the indexer plate, which supports cassettes and/or substrates within the load lock chamber 118 .
  • the indexer can be aligned with respect to the substrate handlers 116 , 128 .
  • a typical alignment procedure for aligning all of the substrate support structures within a system 100 may begin with leveling one support structure, such as whichever support structure is most difficult to adjust, e.g. the substrate handler 116 , and then aligning all other support structures with respect to the first support structure. In this manner, alignment throughout the system 100 is assured, so a substrate is unlikely to be damaged during transfers from one support structure to another.
  • FIG. 2 a generally shows a perspective view of a processing system 100 .
  • FIG. 2 b generally shows a schematic top view thereof.
  • the processing system 100 includes a central transfer chamber 112 as the center of activity in the handling of wafers, or substrates, through the system 100 .
  • the transfer chamber 112 typically mounts on a platform 121 .
  • the transfer chamber 112 has process chambers 114 attached at facets 113 .
  • the process chambers 114 may be any type of process chamber, such as a physical vapor deposition chamber, a chemical vapor deposition chamber, an etch chamber, etc. It is not uncommon for a manufacturer of process chambers to provide over twenty different types of process chambers.
  • the process chambers 114 may be supported by the transfer chamber 112 , by the platform 121 or on their own platforms, depending on the configuration of the individual process chambers 114 .
  • Slit valves (not shown) in the facets 113 provide access and isolation between the transfer chamber 112 and the process chambers 114 .
  • the process chambers 114 have openings (not shown) on their surfaces that align with the slit valves.
  • the system 100 includes a gas panel 124 connected to the process chambers 114 through fluid lines (not shown) for delivering process fluids to the process chambers 114 or a vaporizer (not shown).
  • the gas panel 124 connects to a source of the process fluids in the manufacturing facility, and typically delivers the process fluids in a gaseous state to the process chambers 114 .
  • the transfer chamber 112 also has two load lock chambers 118 mounted at facets 115 . Openings (not shown) in the facets 115 provide access and isolation between the load lock chambers 118 and the transfer chamber 112 .
  • the load lock chambers 118 have openings on their surfaces that align with the openings in facets 115 .
  • the load lock chambers 118 are optionally attached to mini-environment 120 .
  • the load lock chambers 118 and the mini-environment 120 have corresponding openings (not shown) providing access therebetween, while doors 126 for the openings provide isolation.
  • the mini-environment 120 has four pod loaders 122 attached on its front side.
  • Openings (not shown) with corresponding doors 123 provide access and isolation between the mini-environment 114 and the pod loaders 122 .
  • the pod loaders 122 are essentially shelves for supporting pods, or cassettes, 154 containing substrates 156 to be processed in the system 100 .
  • the pods 154 containing substrates 156 to be processed in the system 100 are placed on the top of the pod loaders 122 .
  • a pod containing only the sensor device, with the switch 216 having been turned on is placed on one of the pod loaders 122 .
  • the sensor device 200 may be inserted in a pod containing production substrates, so the sensor device 200 will be transferred through the system 100 with almost no interruption to normal substrate processing.
  • the mini-environment robot 128 removes the sensor device 200 out of the pod 154 and into one of the load lock chambers 118 .
  • the sensor device 200 begins by transmitting the condition of the pod 154 on the pod loader 122 .
  • the sensor device 200 can detect any misalignment between the pod 154 and the robot 128 .
  • the sensor device 200 transmits data diagnosing the movement of the robot 128 to the load lock chamber 118 .
  • the pressure in the load lock chamber 118 may be reduced to match that in the transfer chamber 112 and simulate actual processing conditions, or the transfer chamber 112 may be pressurized with a purge gas to bring the pressure level of the transfer chamber 112 to that of the load lock chamber 118 .
  • the sensor device 200 transmits data diagnosing the condition of the load lock chamber 118 .
  • the substrate handler 116 removes the sensor device 200 from the load lock chamber 118 , and the sensor device 200 can detect any misalignment between the load lock chamber 118 and the substrate handler 116 .
  • the substrate handler 116 moves the sensor device 200 through a representative sample of movements in the transfer chamber 112 , such as in a complete circle as well as to each of the process chambers 114 , while the sensor device 200 detects the conditions during movement, stopping and starting.
  • the substrate handler 116 moves the sensor device 200 to one of the process chambers 114
  • the substrate support structures such as the lift pins 292 and chuck 294 , receive the sensor device 200 and move the sensor device 200 through a typical set of movements to which a production substrate would be subjected.
  • the sensor device 200 detects any misalignments between the substrate support structures as well as any irregular movements or improper inclinations.
  • the substrate handler 116 retrieves the sensor device 200 and moves the sensor device 200 back to one of the load lock chambers 118 , preferably not the same load lock chamber 118 through which the sensor device 200 entered the system 100 , so the other load lock chamber 118 may be diagnosed, too. Additionally, the substrate handler 116 may move the sensor device 200 to other types of chambers or devices, such as a substrate orienter or a cool down chamber.
  • the load lock chamber 118 may transition the pressure to that of the mini-environment 120 or ambient environment, while the sensor device 200 transmits data regarding conditions in the load lock chamber 118 .
  • the substrate handler 128 retrieves the sensor device 200 and moves the sensor device 200 back to a wafer pod 154 .
  • misalignments, improper inclinations or other irregularities may be determined almost immediately and corrective action may be taken by the operator. For example, if a misalignment is detected between the substrate handler 116 and the lift pins 292 of one of the process chambers 114 , then the operator can adjust the substrate lift mechanism 272 to correct the misalignment. The operator can also cause the substrate lift mechanism 272 and substrate handler 116 to transfer the sensor device 200 back and forth while the operator makes fine adjustments to the substrate lift mechanism 272 . This entire diagnosis and adjustment procedure may be conducted at any time and without opening the system 100 to the external environment.

Abstract

A sensor device, for diagnosing a processing system, generally includes a support platform and one or more sensors mounted on the support platform. The sensor senses a condition, such as direction or inclination or acceleration in one or two axes, of the sensor device and outputs a signal indicative thereof, which is then transferred to a device on or near the processing system. The support platform generally has physical characteristics, such as size, profile height, mass, flexibility and/or strength, substantially similar to those of the substrates that are to be processed in the processing system, so the sensor device can be transferred through the processing system in a manner similar to the manner in which production substrates are transferred through the processing system.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of copending U.S. patent application Ser. No. 10/445,598, filed May 27, 2003, which is a continuation of copending U.S. patent application Ser. No. 10/083,899, filed Feb. 27, 2002 and issued as U.S. Pat. No. 6,677,166, and copending U.S. patent application Ser. No. 10/084,290, filed Feb. 27, 2002 and issued as U.S. Pat. No. 6,642,853, which are divisional applications of U.S. patent application Ser. No. 09/816,806, filed Mar. 23, 2001 and issued as U.S. Pat. No. 6,468,816, which is a divisional application of U.S. patent application Ser. No. 09/036,247, filed Mar. 6, 1998 and issued as U.S. Pat. No. 6,244,121. All of the above are hereby incorporated by reference in their entireties.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention generally relates to methods and apparatuses for testing or aligning the various parts of a processing system. Specifically, the present invention relates to methods and apparatuses for leveling and aligning the processing system and the various structures within the processing system that support and/or transfer processing objects, such as substrates, through the processing system so that the processing system and each structure is substantially level and so that each structure receives, supports and/or transfers the substrates in substantially the same inclination and without slippage of or damage to the substrates.
  • 2. Background of the Related Art
  • Processing systems for processing 100 mm, 200 mm, 300 mm or other diameter substrates are generally known. Typically, such processing systems have a centralized transfer chamber mounted on a monolith platform. The transfer chamber is the center of activity for the movement of substrates being processed in the system. One or more process chambers mount on the transfer chamber at slit valves through which substrates are passed by a substrate handler, or robot. Access to the transfer chamber from the clean ambient environment is typically through one or more load lock chambers attached at other slit valves. The load lock chambers may open to a very clean room, referred to as the white area, or to an optional substrate handling chamber, typically referred to as a mini-environment.
  • In addition to the substrate handler disposed within the transfer chamber, a processing system may have several other structures, including, but not limited to, indexers in the load lock chambers, lift pins in the process chambers, and substrate chucks in the process chambers, which will support or handle the substrates in one manner or another. The lift and support structures within the processing system may exchange substrates more rapidly, without slippage or backside contamination of the substrates, if the lift and support structures are level. Additionally, the extremely fine and delicate nature of the circuits and other structures being constructed on the substrates may require that the processing system as a whole, and particularly each substrate support structure, be set as near to level as possible. Typically, assemblers or operators of the processing systems may try to ensure that, at a minimum, the various substrate support structures are in alignment relative to each other, so that even if each support structure is not perfectly level, at least they are all at the same inclination. Additionally, the assemblers or operators will attempt to ensure that the substrate support structures, which move the substrates laterally, accelerate and decelerate at suitable rates and without discontinuous, or jerking, motion, so that the substrates do not slip on the support structure. Failure to ensure that the processing system and/or each of the substrate support structures is properly level and/or aligned and is operating smoothly may cause damage to or improper processing of the substrates and can reduce the throughput of the processing system since substrate exchanges may not be performed at maximum speed.
  • Relative alignment of the substrate support structures is typically more important than absolute leveling of the entire processing system since substrate exchange handling can result in significant slippage due to improper alignment. When the substrate support structures, within a processing system, are improperly aligned, however, the support structures do not hold the substrates at about the same inclination, or tilt. Thus, when one support structure transfers a substrate to another support structure, such as when the lift pins remove a substrate from a blade of the transfer chamber substrate handler or place a substrate onto the substrate chuck in a process chamber, one point of the substrate will always touch the receiving support structure before other points do. If substantial motion occurs prior to the remaining points making contact, then the substrate can slip. In this manner, potentially contaminating particles may be scraped from the contacting points of the substrate causing backside contamination of the substrate. These particles may eventually work their way around to the top of the substrate and be deposited on the processed surface of the substrate, thereby contaminating the micro circuits or other structures constructed thereon. Additionally, when the substrate does not touch a receiving support structure with all points in very close alignment, then the substrate may be shifted from its proper, or expected, position, so that the substrate is off-center. An off-center substrate may undergo uneven or otherwise improper processing or may come in contact with surfaces or objects within the processing system that will contaminate the substrate, create potentially contaminating airborne particles or even break the substrate. Thus, exchanges of the substrate between lifting or supporting structures within the processing system requires a coplanar interface. If the exchange is not coplanar, then the substrate will have the propensity to slip, resulting in misalignment and backside contamination of the substrate.
  • When a processing system as a whole is improperly leveled, the system chambers, such as the transfer chamber, are inclined at an angle and can cause problems with the handling and processing of substrates and can exacerbate the problems with substrate support structures that are further inclined relative to the processing system. Since the substrate support structures are mounted to the processing system, if the processing system is inclined and the support structures are level relative to the processing system, then the support structures will also be inclined, though the support structures may, nevertheless, be aligned with each other. When the processing system is inclined, but the support structures are aligned, then the processing system may still operate properly, but possibly at a lower than optimum speed. Additionally, performance of certain functions that are sensitive to gravity may be affected by the inclination of the system. When a transfer chamber substrate handler, for example, accelerates a substrate in a manner that may be appropriate for a level system, the substrate may, nevertheless, slide off-center due to the inclination, thereby exposing the substrate to potential damage from particles that may be generated by the slide or to potential collision with a surface or object in the processing system that requires a relatively close centering tolerance of the substrate for clearance.
  • The substrate support structures typically may be leveled independently within the processing system. Thus, after the transfer chamber and the processing chambers are leveled as a whole, the transfer chamber substrate handler or the process chamber lift pins or chuck may be additionally leveled independently. It is even possible for a substrate handler to be fairly level while the transfer chamber is significantly inclined, or vice versa. In such manner, the substrate handler may be aligned with an opening through which it passes substrates to and from a process chamber on one side of the transfer chamber, yet be out of alignment with an opening for a process chamber on the opposite side of the transfer chamber. Therefore, the transfer chamber substrate handler must be fairly closely aligned with the inclination of the transfer chamber to permit proper functioning of the entire system.
  • FIG. 1 a shows a prior art method of determining the inclination of a transfer chamber substrate handler 10. The transfer chamber 12 is shown with a lid 14 partially lifted to expose the interior of the chamber body 16. The substrate handler 10 is mounted in about the center of the transfer chamber 12 and rotates about a center point. The substrate handler 10 extends a blade 18 to insert a substrate 20 through a slit valve opening 22 to access a process chamber (not shown) or a load lock chamber (not shown) mounted to the facets 24. To determine the inclination of the blade 18, an operator places a level, such as a bubble level, 26 onto the blade 18 and reads the inclination through a window in the level 26. The level 26 may be placed directly onto the blade 18, or the level 26 may be placed onto a substrate 20 sitting on the blade 18. The inclination of the blade 18 must be measured in each relevant direction with the blade 18 retracted as shown and with the blade 18 extended through the slit valve 22, so the substrate handler 10 can function properly throughout all of its movements. The actual leveling of the substrate handler 10 may involve adjusting the transfer chamber 12 relative to a support platform (not shown), adjusting the base 28 relative to the transfer chamber 12 and adjusting the arms 30, linkages 32 and blade wrist 34.
  • There are several problems with the measurement method depicted in FIG. 1 a. The substrate handler 10 must be still, for example, so the operator can read the level 26, since the acceleration of the blade 18 would affect the level 26. Therefore, the inclination of the blade 18 while the blade is in motion is unknown. Additionally, the lid 14 must be removed, so the operator can access the substrate handler 10. Therefore, the processing system must be shut down, so the lid 14 can be removed, intruding into the clean environment; and the ambient air must be more highly filtered of particles than usual, so the interior of the transfer chamber 12 is not contaminated. Also, the level 26 does not fit through the slit valve openings 22, so the operator must remove the level 26 from the blade 18 to extend the blade 18 into a process chamber and then place the level 26 back onto the blade 18. Therefore, the process chamber must also be opened, exposing the process chamber to possible contamination and further increasing the down-time of the system. Furthermore, the levels used to measure the inclination typically can resolve the inclination to within only two or three degrees accuracy, are highly dependent on the skill of the operator who is reading the level, and can affect the blade deflection due to the weight of the level, itself. Therefore, process systems or processes that are particularly sensitive to misalignment may be adversely affected. Because of the problems and difficulties with performing this measurement method, some operators may elect not to make these measurements very thoroughly or even not to make them at all.
  • FIG. 1 b shows another prior art method for determining the inclination of a substrate 20 seated on a substrate handler blade 18 within a processing system. A stationary laser 36 mounts to a surface 38 in the processing system, typically the floor of the transfer chamber, and directs a laser beam 40 into the path of the substrate 20 as the substrate moves through the system in the direction of arrow A. This method may be performed during normal processing of substrates in the processing system or just whenever needed. When the leading edge 42 of the substrate 20 intersects the laser beam 40, the laser 36 detects the distance to the substrate 20. Then just before the trailing edge 44 moves out of the laser beam 40, the laser 36 detects the distance to the substrate 20, again. If the two distances are about the same, then the substrate 20 is aligned with the surface 38 of the processing system in the particular axis measured. However, this method does not determine if the substrate 20 is level. Rather, this method determines the alignment of the substrate 20 relative to the chamber through which it is being transferred, so the problems with an inclined substrate 20 or blade 18, as described above, may still occur. Additionally, this method can determine the inclination of the substrate 20 in only one axis, the direction of movement. Since the laser 36 does not move, if the operator wants to determine the inclination of the substrate 20 in a different axis, then one or more other lasers will have to be mounted in the processing system to determine the distance to other points on the substrate 20. Furthermore, since the laser 36 is not moveable, this method determines the inclination of the substrate 20 at only one location, so if the operator wants to determine the inclination of the substrate 20 at a different location, such as at the opposite side of the transfer chamber, then additional lasers will have to be mounted at that location. Moreover, since the laser 36 is mounted into the processing system, removal of the laser 36 is either impossible or very difficult. Additionally, contaminants may prevent the proper functioning of the optics. Furthermore, a warped substrate may lead the laser sensors to incorrectly determine that the blade or substrate is inclined. Therefore, although this method can be performed without opening the processing system, this method is very inflexible.
  • During processing, the blade 18 in many processing systems is constantly moving between areas of high and low temperatures, such as hot process chambers and cool load lock chambers. The frequent temperature variations may cause the blade 18 to suffer “blade wilt,” wherein the blade 18 becomes warped due to expansion and shrinkage resulting from the temperature changes. Thus, over time, the blade 18 may be warped out of alignment, so the blade 18 may degrade and hold the substrates at an unacceptable inclination. Other shifting of alignments between the various substrate support structures, due to the wear or slippage from constant movement during processing, may also occur. To reestablish confidence in the alignment of the substrate support structures, the processing system must have built-in inclination detection systems, such as the one shown in FIG. 1 b, or the operator must stop the processing system and open it up to diagnose the condition of the support structures with a method such as the one shown in FIG. 1 a. Because of the down-time associated with the method shown in FIG. 1 a, many operators elect not to perform the method or to wait until the substrate support structures are severely out of alignment and potentially damaging the substrates.
  • Therefore, a need exists for an apparatus and method for determining the inclination and alignment of various substrate handling mechanisms of a processing system, but that is very flexible, does not intrude into the clean environment of the processing system, is fast, and provides a very thorough diagnosis of the system alignments.
  • SUMMARY OF THE INVENTION
  • An embodiment of the present invention may be a sensor device generally having a support platform and one or more sensors mounted on the support platform. The sensor senses a condition, such as direction or inclination or acceleration in one or two axes, of the sensor device and outputs a signal indicative thereof. The sensor sends the signal to a conversion circuit, such as an analog-to-digital converter, for converting the signal into a digital signal, which is then sent to a transmitter, also mounted to the support platform, for wireless transmission of the signal to a receiver mounted on or near the processing system.
  • The support platform generally has physical characteristics, such as size, mass and stiffness, substantially similar to those of the substrates being processed in the processing system, so the sensor device can be transferred throughout the processing system in a manner similar to the manner in which production substrates are transferred. Thus, the sensor device is conveyed through the processing system non-intrusively, i.e. without opening the isolated portions of the system. Also, the sensor device, while moving through the processing system, detects and transmits the sensed inclination, orientation or other information.
  • The support platform may be a substrate, and the sensor(s) and other circuits/devices on the support platform may be micro-machined directly into the material of the substrate to form a low-profile sensor device having a total mass near the mass of a production substrate. In an alternative embodiment, a ceramic chip carrier may be mounted to the support platform, with a die for the sensor(s) and other circuits/devices formed into the ceramic chip carrier to provide a fairly light-weight and cost-effective sensor device. In yet another alternative embodiment, the sensor(s) and other circuits/devices may be constructed of surface-mount integrated circuit chips mounted to the support platform to provide a cost-effective sensor device.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features, advantages and objects of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.
  • It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 a is a perspective view of a prior art sensor device in a process chamber.
  • FIG. 1 b is a side view of a prior art sensor system.
  • FIG. 2 a is a perspective view of a processing system.
  • FIG. 2 b is a schematic top view of a processing system.
  • FIG. 3 is a schematic block diagram of a sensor device.
  • FIG. 4 is a perspective view of a sensor device in a transfer chamber.
  • FIG. 5 is a perspective view of a sensor device on a substrate handler.
  • FIG. 6 is a top view of a sensor device on another substrate handler.
  • FIG. 7 a is a side view of a sensor device in a process chamber in a first configuration.
  • FIG. 7 b is a side view of the sensor device in the process chamber in a second configuration.
  • FIG. 8 is a graph of the velocity of the sensor device during movement.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • FIGS. 2 a and 2 b show two configurations for an exemplary processing system 100 of the present invention. The processing system 100 is typically disposed in a clean environment of a manufacturing facility. The processing system 100 and an example of its function will be described in detail below. Generally, the processing system 100 includes a central transfer chamber 112, one or more process chambers 114, one or more load lock chambers 118, one or more expansion or cool-down chambers 119, a platform frame 121, a gas panel 124 and an optional external substrate handling system 120, referred to herein as the mini-environment. Some of the processes that a processing system 100 may perform on a substrate, or wafer, in the process chambers 114 require the use of process fluids and/or gases, so the process chambers 114 have fluid lines (not shown) for delivering the process fluids and/or gases to the process chambers 114 from the gas panel 124. A transfer chamber substrate handler, or robot, 116 and a mini-environment substrate handler 128 are disposed in the transfer chamber 112 and mini-environment 120, respectively, and move substrates 117, 156 through these chambers to and from the various chambers attached thereto.
  • The substrates enter the process system 100 from pod loaders 122 having pods 154 seated thereon containing several substrates 156. Several structures, such as the substrate handlers 116, 128, chucks, lift pins, load lock indexers and the like, support the substrates as the substrates are moved through and processed in the system 100. To prevent damage to or improper processing of the substrates, the inclination of each of the support structures must be very closely aligned and leveled, and the movement of the support structures and substrates must be smooth. To determine the inclination and movement of the substrates without shutting down the system 100 for a significant period of time and opening up the system 100 to expose the interior of the system 100 to potential contamination, a sensor device 200 (FIG. 3) is entered into the system 100 from a pod loader 122 and transferred through the system 100 in a manner similar to the manner that the system 100 handles the substrates, i.e. production substrates, that are to be processed. The sensor device 200 is an active probe which can be entered into the system 100 to investigate many aspects or conditions of the system 100. The sensor device 200 generally includes a support platform 202 and several electronic devices, such as an inclinometer 204, an accelerometer 206, a directional compass 208, an analog to digital (A/D) converter 210, a transmitter 212, a power source 214 and a switch 216. In addition, a small processor (not shown) for pre-filtering data may be incorporated in the circuitry.
  • In the illustrated embodiment, the inclinometer 204 mounts to the support platform and senses the inclination of the sensor device 200 and, hence, of the substrate handler or other support structure. The inclinometer 204 receives electrical power from the power source 214, such as a lithium-ion battery and power conditioner, and sends signals indicative of the sensed inclination to the A/D converter 210. In one embodiment, the inclinometer 204 is a two-axis inclinometer for sensing the inclination of the sensor device 200 in two axes at 90°, so the overall inclination of the sensor device 200 can be determined from a suitable calculation. After initial assembly of the processing system 100, the sensor device 200 can be used to adjust the inclination of each support structure by transferring the sensor device 200 through the processing system 100 to each support structure, sensing the inclination of each support structure at the time that the sensor device 200 is disposed thereon and adjusting each support structure as described below to align each support structure. As described in the background, a misalignment between two support structures can cause particles to be generated or can result in an uncertain positioning of the substrate when one of the support structures transfers a substrate to the other support structure, so proper alignment of the support structures is essential.
  • An exemplary inclinometer 204 includes a cavity partially filled with a conductive fluid, such as mercury, and an array of probes disposed vertically in the cavity into the conductive fluid. As the inclinometer 204 inclines, the probe at one end of the array will have a greater contact, and less resistance, with the conductive fluid than will the probe at the opposite end of the array. The variation in resistances sensed at each of the probes determines the inclination of the inclinometer 204. Such inclinometers, having a profile height of about {fraction (1/2)} inches, are commercially available and can sense an inclination of up to about thirty to forty degrees. However, the inclinometer 204 needs to be able to sense an angle of only about five to ten degrees, since the typical processing system 100 can generally be assembled in such a manner that the inclination of any given support structure is within this range. Therefore, a suitable inclinometer 204 may be constructed having a mass and profile height significantly less than currently available inclinometers. It is understood that the invention is not limited to the type of inclinometer described, but rather, contemplates the use of any suitable inclinometer.
  • The accelerometer 206 mounts to the support platform and senses the acceleration of the sensor device 200 and, hence, of the substrate handler or other support structure. The accelerometer 206 receives electrical power from the power source 214 and sends signals indicative of the sensed acceleration to the A/D converter 210. In one embodiment, the accelerometer 206 is a two-axis accelerometer for sensing the acceleration of the sensor device 200 in two axes, so the overall acceleration of the sensor device 200 can be determined from a suitable calculation. Similar to the inclinometer 204, the accelerometer 206 senses the acceleration, or change in motion, of the sensor device 200 while the processing system 100 transfers the sensor device 200. For best throughput, the substrate handlers need to be operated at the highest speed possible, but an acceleration in a particular axis that is too great for static friction to hold a substrate on a moving support structure, about 0.2G's or greater, may indicate a potential for slippage of the substrate on the support structure during movement, resulting in an uncertain positioning of the substrate, further resulting in damage to or improper processing of the substrate. Actual slippage of the sensor device 200 or malfunctioning of a substrate handler 116, 128 may be determined by the sensor device 200 when the sensed acceleration of the sensor device 200 is not substantially the same as the anticipated acceleration during a particular movement, indicating that the sensor device 200 did not move in the same manner as the substrate handler 116, 128 or the substrate handler 116, 128 moved in an unanticipated manner, such as a jerking, irregular movement. FIG. 8 graphically illustrates such an irregular movement. The graphed curve is velocity plotted versus time; however, other plots, such as acceleration vs. time or inclination vs. time, may be used. In regions 800 and 804, the velocity smoothly increases until it reaches a constant velocity and then smoothly decreases, but in region 802, an irregular movement causes a sharp rise, or discontinuity, in the curve, indicating a problem with the movement of the substrate handler 116, 128. Thus, the sensor device 200 can detect an improper movement by a substrate handler 116, 128.
  • In an alternative embodiment, the inclinometer 204 may determine the acceleration of the sensor device, instead of by a separate accelerometer; thereby, reducing the number of devices on the sensor device 200. The inclinometer 204 described above includes a fluid in a cavity, so as the inclinometer 204 is accelerated, the force of acceleration on the fluid will force the fluid to one end of the cavity; thereby, falsely indicating an inclination of the sensor device in the direction of acceleration. A suitable procedure can resolve this false inclination to an acceleration.
  • The directional compass 208 provides the ability of the sensor device 200 to determine the horizontal angle, or compass direction, of the sensor device 200 from magnetic north. Thus, as the substrate handler 116, 128 or other support structure moves the sensor device through the system 100, the compass direction of the sensor device 200 can be determined at any point in the trajectory of the sensor device. The anticipated compass direction of the sensor device 200 can be compared with the actual compass direction to confirm proper movement of the sensor device 200. Additionally, the inclination of the entire system 100 can be determined by determining the inclination of the sensor device 200 at corresponding compass direction points as the substrate handler 116 rotates about a 360° angle. With this data, the inclination of the system 100 can be resolved with a suitable procedure.
  • The A/D converter 210 receives the analog signals from each of the devices 204, 206, 208 and converts the signals into digital signals, which are then packetized for transmission via the transmitter 212 to a receiver. Other methods and apparatuses for transferring the signals from the sensor device 200 will be readily apparent to a person skilled in the art. Such other methods and apparatuses may include, but not be limited to, a transmission system for sending the analog signals to a receiver, so as to save the weight of the A/D converter 210 on the sensor device 200.
  • The transmitter 212 may be any appropriate transmitter device, such as an optical transmitter or RF based transmitter, for sending signals the relatively short distance from the interior of the system 100 to the exterior. Thus, the transmitter 212 may be an inexpensive low-power transmitter device.
  • One or more receivers 218 are mounted on the system 100 to receive the signals from the transmitter 212 and send the signals to a controller system for the system 100. The receivers may be located on the interior of the system 100 and send the signals over wires through the walls of the system 100. However, to avoid having to modify the system 100 for wires to pass through the system walls, it is preferred that the receivers 218 be located on the exterior of the system 100 at any appropriate aperture, such as at the viewing ports 220 in the lid of the transfer chamber 112 (FIG. 4), where RF signals can be conveyed out of the system 100 with a minimum of interference.
  • The power source 214 may be any appropriate device, such as a lithium-ion battery in conjunction with a power conditioner for obtaining proper working voltages, which is light-weight and provides sufficient power for sufficient time to conduct all of the measurements necessary for the system 100. The battery may be rechargeable for repeated use, or it may be replaceable in a suitable receptacle. The switch 216 turns the power on and off to the electronic devices on the sensor device 200.
  • It is understood that the invention is not limited to the sensor device 200 depicted in FIG. 3 and described herein, but contemplates other configurations of sensor devices or active probes, including but not limited to the examples described below, that may be transferred through a processing system and may have any number of different combinations and types of electronic devices for sensing conditions within the system 100. For example, a magnetic probe that includes hall effect magnetic field sensors may allow magnetic fields to be characterized within the system 100 while the system 100 is closed. Thus, an operator may adjust the rotation of a magnetron or the current flowing through a variety of coils in a process chamber to ensure uniformity of magnetic fields created therein and of a plasma created thereby within the process chamber while receiving immediate feedback of the actual conditions of the fields.
  • Another type of probe may provide characterization of an electrostatic chuck, which holds a substrate in place using an electrostatic charge during processing. This probe may determine the performance of various charge abatement strategies used to release the substrate so the substrate may be picked up and removed by a substrate handler. The probe may also detect dielectric punch-throughs, a condition in which charge differential between the electrostatic chuck and the substrate is lost due to discharge holes in the non-conductive face of the electrostatic chuck. One embodiment of such an electrostatic probe has a micro machine and/or circuit on a substrate platform including a diaphragm element which deflects toward the electrostatic chuck as a function of charge. The magnitude of this deflection indicates the charge differential between the probe/substrate and the electrostatic chuck. The electronic devices on the electrostatic probe must be fabricated to withstand the environment adjacent the electrostatic chuck since this environment is usually hostile to active electronics.
  • Yet another probe may be a temperature probe including temperature sensors to map thermal characteristic of an environment inside the system 100. Many process chambers and systems 100 operate at very high temperatures, such as above 300° C., so since most electronics only work up to about 80° C., the probe may only be used if the system 100 is operated at a lower temperature. The temperature probe may be used to develop or validate basic temperature control schemes within the system 100 or individual process chambers. Additionally, a temperature probe which can detect a thermal gradient across the length of the probe may determine uniformity of process gas distribution within a process chamber since a small gradient indicates that the process gas has been evenly distributed over the probe. The thermal gradient probe must account for disruptions in the normal flow of the process gases due to any structures on the surface probe. For use in a process chamber having a shower head gas inlet at the top of the process chamber and which can rotate a substrate for even processing, such as in some chemical vapor deposition chambers, a configuration for a temperature probe includes an array of temperature sensors on the probe platform orientated radially out from the center thereof with spacing matching the spacing of the gas apertures of the shower head. As the probe is rotated, it can detect a change in temperature associated with the gas exiting each aperture, so clogged apertures may be detected by an unexpected temperature variation. An embodiment for this example may include piezoelectric detectors which deflect when subjected to the gas stream in front of the aperture.
  • Another active probe is a distance probe which can ensure that the wafer surface is both parallel to and at the proper distance from the target or shower head of the process chamber. Embodiments of a distance probe may include contacting sensors or electro-optical sensors arranged at a sufficient number of locations on the surface of the probe platform to determine the distance from and angle of inclination between the probe and the target or shower head. Since the distance probe sits on a chuck in the process chamber when the distance measurements are performed, the mass of the probe is not a significant issue, so the weight of the distance probe may be increased if necessary to reduce the cost.
  • Yet another type of probe may be an optical source detection probe for detecting a light beam, infrared beam or other optical signal from a source thereof to determine whether the source is operating within normal or acceptable limits. Such optical sources may be part of an optical sensor system within a system 100 for providing feedback to a system controller regarding the performance of parts of the system 100, such as a substrate edge detection sensor system for automatic center finding of substrates being processed within the system 100. If the optical source detection probe determines that a source is not operating within acceptable limits, then the optical source may be defective or the optical pathway of the optical beam signal from the source may be contaminated or blocked, so the system 100 may require servicing or maintenance.
  • FIG. 4 shows a sensor device 200 positioned on the substrate handler 116 inside the transfer chamber 112 with the transfer chamber lid 240 partially raised. The substrate handler 116 moves the sensor device 200 back and forth in the directions of arrows A and B and/or holds the sensor device 200 relatively motionless at any location inside the transfer chamber 112 while the sensor device 200 takes the desired measurements and transmits the information to the receivers 218 positioned on the exterior of the transfer chamber 112.
  • FIGS. 5 and 6 show two different types, single bladed and double bladed, of substrate handlers 116 for illustrative purposes; however, both substrate handlers 116 perform the functions of rotating the sensor device 200 within the transfer chamber 112 and extending the sensor device 200′, as shown by the dashed lines in FIG. 6. The substrate handler 116 has a blade 244 for holding the sensor device 200. The blade 244 attaches at a wrist 258 to articulating arms 254, 256, which attach to actuating arms 246, 248, which attach to upper and lower rotating members 250, 252, respectively, to rotate back and forth to rotate the sensor device 200 and/or to extend or retract the sensor device 200. Each joint of the substrate handler 116 must be carefully aligned for the movement of the sensor device 200 to be proper. In other words, the blade 244 must be properly attached and aligned to the articulating arms 254, 256 at the wrist 258, the articulating arms 254, 256 must be properly aligned with respect to the actuating arms 246, 248, and the actuating arms 246, 248 must be properly aligned with the upper and lower rotating members 250, 252 for the inclination of the blade 244 to be proper. Any misalignment in any of the joints of the substrate handler 116, or in the alignment between the substrate handler base 260 and the transfer chamber floor 262, can cause the blade 244 to be improperly inclined, and the inclination can be detected by the sensor device 200.
  • Mechanical tolerances and mechanical pre-loads in each of the joints of the substrate handler 116 can make accurate alignment of the blade 244 extremely difficult. Thus, it is very undesirable to have to replace and realign the blade 244. However, the blade 244 is subjected to many rapid variations in temperature during operation of the system 100, so the blade 244 may undergo blade wilt, or warpage, causing the blade to become inclined or the attachment points at the wrist 258 to yield. If the blade inclination or attachment point yield becomes severe, then the blade 244 or a substrate on the blade 244 may strike an object or surface in the system 100; thereby breaking or otherwise damaging the blade 244 or the substrate. In such an event, the system 100 will have to be turned off and opened to repair or replace the damaged parts, including the blade 244. If the blade 244 is broken, then the wrist 258 or other parts and joints of the substrate handler 116 may be compromised or damaged, so each part of the substrate handler 116 will have to be realigned. To prevent this damage and downtime, the inclination and alignment of the blade 244 should be confirmed periodically. The sensor device 200 provides a way to confirm the inclination and alignment of the blade 244 in all directions without having to turn off and open the system 100; thereby, permitting detection and replacement of a wilted or defective blade before severe damage occurs.
  • The substrate handler 116 extends, as shown in FIG. 6, to insert the sensor device 200 through a slit valve opening 242 and into an attached process chamber (not shown). The height of the sensor device 200 and all of the electronic devices thereon is such that the sensor device 200 can easily pass through the slit valve opening 242 while seated on the blade 244. Thus, the sensor device 200 can determine the inclination at the retracted position, extended position or any intermediate position without having to remove the sensor device 200, insert the blade 244 through the slit valve opening 242, and replace the sensor device 200. The sensor device 200 can also determine the acceleration during the extension and retraction of the substrate handler 116.
  • The operation of the sensor device 200 with a typical process chamber will now be described with reference to FIG. 7. Although FIG. 7 shows a schematic view of a CVD chamber 114, it is understood that the invention is not so limited, but that the substrate handler 116 can insert the sensor device 200 through the slit valve opening 242 into any type of process chamber 114, such as a PVD chamber, a CVD chamber, an etch chamber, a photo lithography chamber or other chamber, and that the sensor device 200 may operate with any of these types of process chambers. The process chamber 114 generally has chamber walls 270, a substrate lift mechanism 272, a substrate support structure 274 and a chamber lid 278. The chamber walls 270 and chamber lid 278 generally define the interior of the process chamber 114. An opening 280 provides access to the interior of the process chamber 114 and matches up with the slit valve opening 242 of the transfer chamber 112 for the substrate handler 116 to insert or remove substrates into or from the interior of the process chamber 114. A process gas shower head 276 disposed in the chamber lid 278 permits a process gas to enter through a gas source 282 and be dispersed into a processing region 286 of the interior of the process chamber 114 through shower head nozzles 284.
  • The substrate lift mechanism 272 generally has lift pins 292 for supporting a substrate (not shown) and mounted on an arm 290 which is, in turn, mounted on a lift rod 288 for raising and lowering the substrate lift mechanism 272. When a substrate is inserted through the opening 280 into the interior of the process chamber 114, the lift mechanism 272 lifts the substrate off of the blade 244 of the substrate handler 116 with the lift pins 292 by raising the lift rod 288 and arm 290 as depicted in FIG. 7 a. The blade 244 passes between the lift pins 292 when the lift pins 292 support the substrate. The substrate lift mechanism 272 handles the sensor device 200 in the same manner as it handles a substrate. In this manner, the sensor device 200 is passed from the substrate handler 116 to the substrate lift mechanism 272.
  • The substrate support structure 274 generally includes a chuck 294 for supporting a substrate and mounted on a lift rod 296 for raising and lowering the substrate support structure 274. The substrate support structure 274 also has guide holes 298 for permitting the lift pins 292 to extend therethrough, as shown in FIG. 7 a, to engage the substrate or sensor device 200. To place the sensor device 200 onto the chuck 294, the substrate lift mechanism 272 may lower the sensor device 200 to the chuck 294, as shown in FIG. 7 b, or the chuck 294 may raise up to lift the sensor device 200. In this manner, the sensor device 200 is passed from the substrate lift mechanism 272 to the substrate support structure 274.
  • While seated on either the substrate lift mechanism 272 or the substrate support structure 274, the sensor device 200 can determine its inclination, just as it could when it was seated on the substrate handler 116. Both the substrate lift mechanism 272 and the substrate support structure 274 can be individually adjusted from outside the process chamber 114 by manipulating the mechanisms (not shown) that support and operate the lift rods 288, 296 to tilt or swivel the lift rods 288, 296, and thereby, change the inclination of the lift pins 292 or chuck 294, respectively. Since these manipulations can be done from outside, typically underneath, the process chamber 114, the sensor device 200 provides a means to receive feedback regarding the inclination of the substrate lift mechanism 272 and the substrate support structure 274 without opening the process chamber 114. Thus, these structures 272, 274 can be leveled to correct an improper inclination very quickly and accurately.
  • Additionally, the degree of misalignment between the substrate handler 116 and the substrate lift mechanism 272 and between the substrate lift mechanism 272 and the substrate support structure 274 can be determined from a reading of the inclination of the sensor device 200 before and after a transfer from one structure to the other. Thus, the sensor device 200 provides a way to convey the inclination at exchange points and to align each structure that supports a substrate with the other such structures without opening the system 100 and compromising the isolated environment therein. In a similar manner, even though it is not shown in the drawings, the indexer cassette lift of the load lock chambers 118 (FIG. 2) has a mechanism for leveling or aligning the indexer plate, which supports cassettes and/or substrates within the load lock chamber 118. The indexer can be aligned with respect to the substrate handlers 116, 128. A typical alignment procedure for aligning all of the substrate support structures within a system 100 may begin with leveling one support structure, such as whichever support structure is most difficult to adjust, e.g. the substrate handler 116, and then aligning all other support structures with respect to the first support structure. In this manner, alignment throughout the system 100 is assured, so a substrate is unlikely to be damaged during transfers from one support structure to another.
  • A typical operation of the sensor device 200 will be described with reference to the exemplary system described below.
  • An Exemplary System:
  • Referring back to FIG. 2, a processing system which may use the above described sensor device 200 to diagnose substrate handling conditions will now be described. FIG. 2 a generally shows a perspective view of a processing system 100. FIG. 2 b generally shows a schematic top view thereof. Although the function of the sensor device 200 is described herein with reference to the system 100, it is understood that the invention is not so limited, but that the sensor device 200 may function with any type of processing system. As mentioned briefly above, the processing system 100 includes a central transfer chamber 112 as the center of activity in the handling of wafers, or substrates, through the system 100. The transfer chamber 112 typically mounts on a platform 121. The transfer chamber 112 has process chambers 114 attached at facets 113. The process chambers 114 may be any type of process chamber, such as a physical vapor deposition chamber, a chemical vapor deposition chamber, an etch chamber, etc. It is not uncommon for a manufacturer of process chambers to provide over twenty different types of process chambers. The process chambers 114 may be supported by the transfer chamber 112, by the platform 121 or on their own platforms, depending on the configuration of the individual process chambers 114. Slit valves (not shown) in the facets 113 provide access and isolation between the transfer chamber 112 and the process chambers 114. Correspondingly, the process chambers 114 have openings (not shown) on their surfaces that align with the slit valves.
  • The system 100 includes a gas panel 124 connected to the process chambers 114 through fluid lines (not shown) for delivering process fluids to the process chambers 114 or a vaporizer (not shown). The gas panel 124 connects to a source of the process fluids in the manufacturing facility, and typically delivers the process fluids in a gaseous state to the process chambers 114.
  • The transfer chamber 112 also has two load lock chambers 118 mounted at facets 115. Openings (not shown) in the facets 115 provide access and isolation between the load lock chambers 118 and the transfer chamber 112. Correspondingly, the load lock chambers 118 have openings on their surfaces that align with the openings in facets 115. The load lock chambers 118 are optionally attached to mini-environment 120. The load lock chambers 118 and the mini-environment 120 have corresponding openings (not shown) providing access therebetween, while doors 126 for the openings provide isolation. The mini-environment 120 has four pod loaders 122 attached on its front side. Openings (not shown) with corresponding doors 123 provide access and isolation between the mini-environment 114 and the pod loaders 122. The pod loaders 122 are essentially shelves for supporting pods, or cassettes, 154 containing substrates 156 to be processed in the system 100.
  • In operation, the pods 154 containing substrates 156 to be processed in the system 100 are placed on the top of the pod loaders 122. However, when the system 100 is to be diagnosed with a sensor device 200, then a pod containing only the sensor device, with the switch 216 having been turned on, is placed on one of the pod loaders 122. Alternatively, if conditions within the system 100 permit, the sensor device 200 may be inserted in a pod containing production substrates, so the sensor device 200 will be transferred through the system 100 with almost no interruption to normal substrate processing. The mini-environment robot 128 removes the sensor device 200 out of the pod 154 and into one of the load lock chambers 118. During the entire time that the sensor device 200 is within the system 100, it is transmitting its data to a receiver for further transmission to a controller for storage or processing. Thus, the sensor device 200 begins by transmitting the condition of the pod 154 on the pod loader 122. When the robot 128 picks up the sensor device 200, the sensor device 200 can detect any misalignment between the pod 154 and the robot 128. Afterwards, the sensor device 200 transmits data diagnosing the movement of the robot 128 to the load lock chamber 118.
  • After the sensor device 200 has been loaded into the load lock chamber 118, the pressure in the load lock chamber 118 may be reduced to match that in the transfer chamber 112 and simulate actual processing conditions, or the transfer chamber 112 may be pressurized with a purge gas to bring the pressure level of the transfer chamber 112 to that of the load lock chamber 118. During this time, the sensor device 200 transmits data diagnosing the condition of the load lock chamber 118. After the load lock chamber 118 opens to the transfer chamber 112, the substrate handler 116 removes the sensor device 200 from the load lock chamber 118, and the sensor device 200 can detect any misalignment between the load lock chamber 118 and the substrate handler 116. If the sensor device 200 is to diagnose the entire system 100, then the substrate handler 116 moves the sensor device 200 through a representative sample of movements in the transfer chamber 112, such as in a complete circle as well as to each of the process chambers 114, while the sensor device 200 detects the conditions during movement, stopping and starting. When the substrate handler 116 moves the sensor device 200 to one of the process chambers 114, the substrate support structures, such as the lift pins 292 and chuck 294, receive the sensor device 200 and move the sensor device 200 through a typical set of movements to which a production substrate would be subjected. During this time, the sensor device 200 detects any misalignments between the substrate support structures as well as any irregular movements or improper inclinations. After the sensor device 200 is handled in the process chamber 114, the substrate handler 116 retrieves the sensor device 200 and moves the sensor device 200 back to one of the load lock chambers 118, preferably not the same load lock chamber 118 through which the sensor device 200 entered the system 100, so the other load lock chamber 118 may be diagnosed, too. Additionally, the substrate handler 116 may move the sensor device 200 to other types of chambers or devices, such as a substrate orienter or a cool down chamber. The load lock chamber 118 may transition the pressure to that of the mini-environment 120 or ambient environment, while the sensor device 200 transmits data regarding conditions in the load lock chamber 118. Afterwards, the substrate handler 128 retrieves the sensor device 200 and moves the sensor device 200 back to a wafer pod 154.
  • If the controller processes the data from the sensor device 200 while the sensor device 200 is moving through the system 100, then misalignments, improper inclinations or other irregularities may be determined almost immediately and corrective action may be taken by the operator. For example, if a misalignment is detected between the substrate handler 116 and the lift pins 292 of one of the process chambers 114, then the operator can adjust the substrate lift mechanism 272 to correct the misalignment. The operator can also cause the substrate lift mechanism 272 and substrate handler 116 to transfer the sensor device 200 back and forth while the operator makes fine adjustments to the substrate lift mechanism 272. This entire diagnosis and adjustment procedure may be conducted at any time and without opening the system 100 to the external environment.
  • While the foregoing is directed to the preferred embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims which follow.

Claims (20)

1. An apparatus for providing information from within a processing system, the apparatus comprising:
a support platform adapted for robotic transfer between a central transfer chamber and a substrate support structure in a vacuum processing chamber of the processing system; and
a device disposed on the support platform for obtaining a signal indicative of information obtained from within the processing system.
2. The apparatus of claim 1, wherein the support platform and the device are sized to pass through a slit valve separating the central transfer chamber and the processing chamber.
3. The apparatus of claim 1, wherein the information provided by the device is indicative of the position of the support platform within the processing system.
4. The apparatus of claim 1, wherein the device provides optical information.
5. An apparatus for providing information from within a processing system, the apparatus comprising:
a support platform adapted for robotic transfer between a central transfer chamber and a substrate support structure in a vacuum processing chamber of the processing system; and
a transmitter coupled to the support platform and adapted to broadcast a signal indicative of information obtained from within the processing system, wherein the transmitter is configured to transmit the signal.
6. The apparatus of claim 5, further comprising a receiver disposed remotely from the support platform for receiving the wireless signal from the transmitter.
7. The apparatus of claim 6, wherein the receiver is disposed outside the processing system.
8. The apparatus of claim 7, wherein the receiver is mounted to an exterior of the processing system.
9. An apparatus for providing information from within a processing system, the apparatus comprising:
a support platform adapted for robotic transport between a central transfer chamber and at least one processing chamber; and
a temperature sensor disposed on the support platform.
10. The apparatus of claim 9, wherein the apparatus is sized to pass through a slit valve separating the central transfer chamber and the at least one processing chamber.
11. The apparatus of claim 9, wherein the temperature sensor measures a temperature of a process gas within the at least one processing chamber.
12. The apparatus of claim 11, further comprising:
a device disposed remotely from the support platform for receiving the temperature of the process gas.
13. The apparatus of claim 9, wherein the temperature sensor transfers an optical signal indicative of temperature information.
14. A method of providing information from within a processing system, the method comprising:
attaching a device for obtaining information from within the processing system to a support platform adapted for robotic transfer between a central transfer chamber and a substrate support structure in a vacuum processing chamber of the processing system;
utilizing the device to collect information obtained from within the processing system; and
removing the device from the processing chamber.
15. The method of claim 14, wherein the information comprises optical information.
16. The method of claim 14, wherein the information comprises information indicative of the position of the support platform within the processing system.
17. The method of claim 14, wherein the device is a temperature sensor that measures a temperature of a process gas within the processing chamber.
18. The method of claim 14, wherein the device is a temperature probe having an array of temperature sensors configured to detect a thermal gradient across the length of the probe.
19. The method of claim 14, wherein the device provides a metric indicative of motion of the support platform within the processing system.
20. The method of claim 14, wherein the device measures a temperature of the support platform.
US11/052,161 1998-03-06 2005-02-07 Sensor device for non-intrusive diagnosis of a semiconductor processing system Abandoned US20050126315A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US11/052,161 US20050126315A1 (en) 1998-03-06 2005-02-07 Sensor device for non-intrusive diagnosis of a semiconductor processing system
US11/427,376 US7434485B2 (en) 1998-03-06 2006-06-29 Sensor device for non-intrusive diagnosis of a semiconductor processing system
US11/470,401 US7331250B2 (en) 1998-03-06 2006-09-06 Sensor device for non-intrusive diagnosis of a semiconductor processing system

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US09/036,247 US6244121B1 (en) 1998-03-06 1998-03-06 Sensor device for non-intrusive diagnosis of a semiconductor processing system
US09/816,806 US6468816B2 (en) 1998-03-06 2001-03-23 Method for sensing conditions within a substrate processing system
US10/083,899 US6677166B2 (en) 1998-03-06 2002-02-27 Method for confirming alignment of a substrate support mechanism in a semiconductor processing system
US10/084,290 US6642853B2 (en) 1998-03-06 2002-02-27 Movable wireless sensor device for performing diagnostics with a substrate processing system
US10/445,598 US6895831B2 (en) 1998-03-06 2003-05-27 Sensor device for non-intrusive diagnosis of a semiconductor processing system
US11/052,161 US20050126315A1 (en) 1998-03-06 2005-02-07 Sensor device for non-intrusive diagnosis of a semiconductor processing system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/445,598 Continuation US6895831B2 (en) 1998-03-06 2003-05-27 Sensor device for non-intrusive diagnosis of a semiconductor processing system

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/427,376 Continuation US7434485B2 (en) 1998-03-06 2006-06-29 Sensor device for non-intrusive diagnosis of a semiconductor processing system

Publications (1)

Publication Number Publication Date
US20050126315A1 true US20050126315A1 (en) 2005-06-16

Family

ID=21887524

Family Applications (8)

Application Number Title Priority Date Filing Date
US09/036,247 Expired - Lifetime US6244121B1 (en) 1998-03-06 1998-03-06 Sensor device for non-intrusive diagnosis of a semiconductor processing system
US09/816,806 Expired - Lifetime US6468816B2 (en) 1998-03-06 2001-03-23 Method for sensing conditions within a substrate processing system
US10/083,899 Expired - Lifetime US6677166B2 (en) 1998-03-06 2002-02-27 Method for confirming alignment of a substrate support mechanism in a semiconductor processing system
US10/084,290 Expired - Lifetime US6642853B2 (en) 1998-03-06 2002-02-27 Movable wireless sensor device for performing diagnostics with a substrate processing system
US10/445,598 Expired - Lifetime US6895831B2 (en) 1998-03-06 2003-05-27 Sensor device for non-intrusive diagnosis of a semiconductor processing system
US11/052,161 Abandoned US20050126315A1 (en) 1998-03-06 2005-02-07 Sensor device for non-intrusive diagnosis of a semiconductor processing system
US11/427,376 Expired - Fee Related US7434485B2 (en) 1998-03-06 2006-06-29 Sensor device for non-intrusive diagnosis of a semiconductor processing system
US11/470,401 Expired - Fee Related US7331250B2 (en) 1998-03-06 2006-09-06 Sensor device for non-intrusive diagnosis of a semiconductor processing system

Family Applications Before (5)

Application Number Title Priority Date Filing Date
US09/036,247 Expired - Lifetime US6244121B1 (en) 1998-03-06 1998-03-06 Sensor device for non-intrusive diagnosis of a semiconductor processing system
US09/816,806 Expired - Lifetime US6468816B2 (en) 1998-03-06 2001-03-23 Method for sensing conditions within a substrate processing system
US10/083,899 Expired - Lifetime US6677166B2 (en) 1998-03-06 2002-02-27 Method for confirming alignment of a substrate support mechanism in a semiconductor processing system
US10/084,290 Expired - Lifetime US6642853B2 (en) 1998-03-06 2002-02-27 Movable wireless sensor device for performing diagnostics with a substrate processing system
US10/445,598 Expired - Lifetime US6895831B2 (en) 1998-03-06 2003-05-27 Sensor device for non-intrusive diagnosis of a semiconductor processing system

Family Applications After (2)

Application Number Title Priority Date Filing Date
US11/427,376 Expired - Fee Related US7434485B2 (en) 1998-03-06 2006-06-29 Sensor device for non-intrusive diagnosis of a semiconductor processing system
US11/470,401 Expired - Fee Related US7331250B2 (en) 1998-03-06 2006-09-06 Sensor device for non-intrusive diagnosis of a semiconductor processing system

Country Status (1)

Country Link
US (8) US6244121B1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050246127A1 (en) * 2002-12-03 2005-11-03 Renken Wayne G Integrated process condition sensing wafer and data analysis system
US20060236793A1 (en) * 1998-03-06 2006-10-26 Reginald Hunter Sensor device for non-intrusive diagnosis of a semiconductor processing system
US7135852B2 (en) 2002-12-03 2006-11-14 Sensarray Corporation Integrated process condition sensing wafer and data analysis system
US20070251338A1 (en) * 2006-05-01 2007-11-01 Sensarray Corporation Process Condition Measuring Device with Shielding
US20070251339A1 (en) * 2006-05-01 2007-11-01 Sensarray Corporation Process Condition Measuring Device with Shielding
US7301623B1 (en) * 2003-12-16 2007-11-27 Nanometrics Incorporated Transferring, buffering and measuring a substrate in a metrology system

Families Citing this family (181)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW539918B (en) * 1997-05-27 2003-07-01 Tokyo Electron Ltd Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US6748960B1 (en) * 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
CN1192417C (en) * 1999-11-02 2005-03-09 东京威力科创股份有限公司 Method and apparatus for supercritical processing of multiple workpieces
US6502054B1 (en) * 1999-11-22 2002-12-31 Lam Research Corporation Method of and apparatus for dynamic alignment of substrates
US6629053B1 (en) 1999-11-22 2003-09-30 Lam Research Corporation Method and apparatus for determining substrate offset using optimization techniques
WO2001086688A1 (en) * 2000-05-05 2001-11-15 Tokyo Electron Limited Measuring plasma uniformity in-situ at wafer level
JP2002009140A (en) * 2000-06-22 2002-01-11 Mitsubishi Electric Corp Electrostatic chuck apparatus
US6921456B2 (en) * 2000-07-26 2005-07-26 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
US7127362B2 (en) * 2000-08-22 2006-10-24 Mundt Randall S Process tolerant methods and apparatus for obtaining data
US6691068B1 (en) 2000-08-22 2004-02-10 Onwafer Technologies, Inc. Methods and apparatus for obtaining data for process operation, optimization, monitoring, and control
US6914423B2 (en) 2000-09-05 2005-07-05 Cascade Microtech, Inc. Probe station
US6965226B2 (en) 2000-09-05 2005-11-15 Cascade Microtech, Inc. Chuck for holding a device under test
AU2002226351B2 (en) * 2000-12-15 2007-07-05 Johnsondiversey, Inc. Device for monitoring a wash process
US6901971B2 (en) * 2001-01-10 2005-06-07 Entegris, Inc. Transportable container including an internal environment monitor
NL1017593C2 (en) * 2001-03-14 2002-09-17 Asm Int Inspection system for process devices for treating substrates, as well as a sensor intended for such an inspection system and a method for inspecting process devices.
US6542835B2 (en) 2001-03-22 2003-04-01 Onwafer Technologies, Inc. Data collection methods and apparatus
KR100777892B1 (en) * 2001-04-10 2007-11-21 동경 엘렉트론 주식회사 High pressure processing chamber for semiconductor substrate including flow enhancing features
JP3694808B2 (en) * 2001-04-13 2005-09-14 株式会社安川電機 Wafer transfer robot teaching method and teaching plate
TW594455B (en) 2001-04-19 2004-06-21 Onwafer Technologies Inc Methods and apparatus for obtaining data for process operation, optimization, monitoring, and control
US6971036B2 (en) 2001-04-19 2005-11-29 Onwafer Technologies Methods and apparatus for low power delay control
US7282889B2 (en) * 2001-04-19 2007-10-16 Onwafer Technologies, Inc. Maintenance unit for a sensor apparatus
US6671660B2 (en) 2001-04-19 2003-12-30 Onwafer Technologies, Inc. Methods and apparatus for power control
US6789034B2 (en) * 2001-04-19 2004-09-07 Onwafer Technologies, Inc. Data collection methods and apparatus with parasitic correction
US7960670B2 (en) * 2005-05-03 2011-06-14 Kla-Tencor Corporation Methods of and apparatuses for measuring electrical parameters of a plasma process
JP4041797B2 (en) 2001-06-28 2008-01-30 ポラック ラボラトリーズ インコーポレイテッド Built-in sensor device
US20040040660A1 (en) * 2001-10-03 2004-03-04 Biberger Maximilian Albert High pressure processing chamber for multiple semiconductor substrates
US20030139043A1 (en) * 2001-12-11 2003-07-24 Steve Marcus Apparatus and method for monitoring a plasma etch process
US20030115978A1 (en) * 2001-12-20 2003-06-26 Moehnke Stephanie J. Apparatus and method for monitoring environment within a container
US6889568B2 (en) * 2002-01-24 2005-05-10 Sensarray Corporation Process condition sensing wafer and data analysis system
US7757574B2 (en) * 2002-01-24 2010-07-20 Kla-Tencor Corporation Process condition sensing wafer and data analysis system
US20050224902A1 (en) * 2002-02-06 2005-10-13 Ramsey Craig C Wireless substrate-like sensor
US7289230B2 (en) * 2002-02-06 2007-10-30 Cyberoptics Semiconductors, Inc. Wireless substrate-like sensor
US20050233770A1 (en) * 2002-02-06 2005-10-20 Ramsey Craig C Wireless substrate-like sensor
US20050224899A1 (en) * 2002-02-06 2005-10-13 Ramsey Craig C Wireless substrate-like sensor
US6935038B2 (en) * 2002-02-14 2005-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Gap gauge
JP2005517884A (en) * 2002-02-15 2005-06-16 東京エレクトロン株式会社 Pressure-enhanced diaphragm valve
US6669829B2 (en) * 2002-02-20 2003-12-30 Applied Materials, Inc. Shutter disk and blade alignment sensor
US7008517B2 (en) * 2002-02-20 2006-03-07 Applied Materials, Inc. Shutter disk and blade for physical vapor deposition chamber
US7500280B2 (en) * 2002-03-18 2009-03-10 Hill-Rom Services, Inc. Hospital bed control apparatus
US7085622B2 (en) * 2002-04-19 2006-08-01 Applied Material, Inc. Vision system
US7233841B2 (en) * 2002-04-19 2007-06-19 Applied Materials, Inc. Vision system
US7018517B2 (en) 2002-06-21 2006-03-28 Applied Materials, Inc. Transfer chamber for vacuum processing system
US6727994B2 (en) * 2002-06-26 2004-04-27 Taiwan Semiconductor Manufacturing Co., Ltd Z-axis monitoring apparatus for robot blade
US6830650B2 (en) * 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US20050011611A1 (en) * 2002-07-12 2005-01-20 Mahoney Leonard J. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US6907364B2 (en) * 2002-09-16 2005-06-14 Onwafer Technologies, Inc. Methods and apparatus for deriving thermal flux data for processing a workpiece
US7212950B2 (en) * 2002-09-18 2007-05-01 Onwafer Technologies, Inc. Methods and apparatus for equipment matching and characterization
JP3916549B2 (en) * 2002-10-31 2007-05-16 東京エレクトロン株式会社 Process monitor and semiconductor manufacturing apparatus
US6807503B2 (en) 2002-11-04 2004-10-19 Brion Technologies, Inc. Method and apparatus for monitoring integrated circuit fabrication
US6722642B1 (en) 2002-11-06 2004-04-20 Tokyo Electron Limited High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism
JP2004207687A (en) * 2002-12-10 2004-07-22 Sharp Corp Semiconductor manufacturing equipment and manufacturing method using the same
US7010958B2 (en) * 2002-12-19 2006-03-14 Asml Holding N.V. High-resolution gas gauge proximity sensor
SG107157A1 (en) * 2002-12-19 2004-11-29 Asml Holding Nv Liquid flow proximity sensor for use in immersion lithography
US6898558B2 (en) * 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040154647A1 (en) * 2003-02-07 2004-08-12 Supercritical Systems, Inc. Method and apparatus of utilizing a coating for enhanced holding of a semiconductor substrate during high pressure processing
US20040204777A1 (en) * 2003-04-14 2004-10-14 Alon Harpaz Precision motion control using feed forward of acceleration
US7270137B2 (en) * 2003-04-28 2007-09-18 Tokyo Electron Limited Apparatus and method of securing a workpiece during high-pressure processing
US7016754B2 (en) * 2003-05-08 2006-03-21 Onwafer Technologies, Inc. Methods of and apparatus for controlling process profiles
US7403834B2 (en) * 2003-05-08 2008-07-22 Regents Of The University Of California Methods of and apparatuses for controlling process profiles
US7492172B2 (en) 2003-05-23 2009-02-17 Cascade Microtech, Inc. Chuck for holding a device under test
US7596425B2 (en) * 2003-06-13 2009-09-29 Dainippon Screen Mfg. Co., Ltd. Substrate detecting apparatus and method, substrate transporting apparatus and method, and substrate processing apparatus and method
US20050034660A1 (en) * 2003-08-11 2005-02-17 Supercritical Systems, Inc. Alignment means for chamber closure to reduce wear on surfaces
US7557433B2 (en) 2004-10-25 2009-07-07 Mccain Joseph H Microelectronic device with integrated energy source
US7250626B2 (en) 2003-10-22 2007-07-31 Cascade Microtech, Inc. Probe testing structure
US7107125B2 (en) * 2003-10-29 2006-09-12 Applied Materials, Inc. Method and apparatus for monitoring the position of a semiconductor processing robot
US20070039827A1 (en) * 2003-12-09 2007-02-22 Acm Reasearch, Inc. Measuring alignment between a wafer chuck and polishing/plating receptacle
US7187188B2 (en) 2003-12-24 2007-03-06 Cascade Microtech, Inc. Chuck with integrated wafer support
US8291762B2 (en) * 2004-01-15 2012-10-23 Robert Akins Work capacities testing apparatus and method
CN1930660A (en) * 2004-03-09 2007-03-14 赛博光学半导体公司 Wireless substrate-like sensor
US7415312B2 (en) 2004-05-25 2008-08-19 Barnett Jr James R Process module tuning
US7171334B2 (en) * 2004-06-01 2007-01-30 Brion Technologies, Inc. Method and apparatus for synchronizing data acquisition of a monitored IC fabrication process
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
US20050284570A1 (en) * 2004-06-24 2005-12-29 Doran Daniel B Diagnostic plasma measurement device having patterned sensors and features
US20060216137A1 (en) * 2004-07-02 2006-09-28 Katsunori Sakata Carrying apparatus and carrying control method for sheet-like substrate
US7363195B2 (en) * 2004-07-07 2008-04-22 Sensarray Corporation Methods of configuring a sensor network
KR101237782B1 (en) * 2004-07-10 2013-02-28 온웨이퍼 테크놀로지즈 인코포레이티드 Methods and apparatus for low distortion parameter measurements
TWI336823B (en) * 2004-07-10 2011-02-01 Onwafer Technologies Inc Methods of and apparatuses for maintenance, diagnosis, and optimization of processes
US7433759B2 (en) * 2004-07-22 2008-10-07 Applied Materials, Inc. Apparatus and methods for positioning wafers
US20060043063A1 (en) * 2004-09-02 2006-03-02 Mahoney Leonard J Electrically floating diagnostic plasma probe with ion property sensors
WO2006030723A1 (en) * 2004-09-13 2006-03-23 Shin-Etsu Handotai Co., Ltd. Semiconductor wafer evaluating method and semiconductor wafer evaluating apparatus
US20070196011A1 (en) * 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
JP2008521261A (en) * 2004-11-22 2008-06-19 アプライド マテリアルズ インコーポレイテッド Substrate processing apparatus using batch processing chamber
US7017390B1 (en) 2004-12-07 2006-03-28 Asml Holding N.V. Proximity sensor nozzle shroud with flow curtain
US6978658B1 (en) 2004-12-20 2005-12-27 Asml Holding N.V. Proximity sensor with self compensation for mechanism instability
US20060134332A1 (en) * 2004-12-22 2006-06-22 Darko Babic Precompressed coating of internal members in a supercritical fluid processing system
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US7722434B2 (en) 2005-03-29 2010-05-25 Kla-Tencor Corporation Apparatus for measurement of parameters in process equipment
US20060222478A1 (en) * 2005-03-31 2006-10-05 Tokyo Electron Limited Processing apparatus, and system and program for monitoring and controlling fan filter unit
US20060234398A1 (en) * 2005-04-15 2006-10-19 International Business Machines Corporation Single ic-chip design on wafer with an embedded sensor utilizing rf capabilities to enable real-time data transmission
US7482576B2 (en) * 2005-05-03 2009-01-27 Kla-Tencor Corporation Apparatuses for and methods of monitoring optical radiation parameters for substrate processing operations
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US7321299B2 (en) * 2005-06-08 2008-01-22 Axcelis Technologies, Inc. Workpiece handling alignment system
US7671478B2 (en) * 2005-09-02 2010-03-02 Honeywell International Inc. Low height vertical sensor packaging
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US8790499B2 (en) * 2005-11-25 2014-07-29 Applied Materials, Inc. Process kit components for titanium sputtering chamber
US8604361B2 (en) * 2005-12-13 2013-12-10 Kla-Tencor Corporation Component package for maintaining safe operating temperature of components
US7893697B2 (en) * 2006-02-21 2011-02-22 Cyberoptics Semiconductor, Inc. Capacitive distance sensing in semiconductor processing tools
CN101410690B (en) 2006-02-21 2011-11-23 赛博光学半导体公司 Capacitive distance sensing in semiconductor processing tools
US7840305B2 (en) * 2006-06-28 2010-11-23 3M Innovative Properties Company Abrasive articles, CMP monitoring system and method
US20080019806A1 (en) * 2006-07-24 2008-01-24 Nyi Oo Myo Small footprint modular processing system
US8104951B2 (en) * 2006-07-31 2012-01-31 Applied Materials, Inc. Temperature uniformity measurements during rapid thermal processing
JP4814731B2 (en) 2006-08-30 2011-11-16 株式会社日立ハイテクノロジーズ Substrate holding apparatus, inspection or processing apparatus, substrate holding method, inspection or processing method, and inspection apparatus
GB2455006A (en) * 2006-09-29 2009-05-27 Cyberoptics Semiconductor Inc Substrate-like particle sensor
KR20090068202A (en) * 2006-10-02 2009-06-25 싸이버옵틱스 쎄미콘덕터 인코퍼레이티드 Acceleration sensor with redundant accelerometers
US7698952B2 (en) * 2006-10-03 2010-04-20 Kla-Tencor Corporation Pressure sensing device
CN101529555A (en) * 2006-10-23 2009-09-09 赛博光学半导体公司 Improved calibration of a substrate handling robot
DE102006056290A1 (en) * 2006-11-29 2008-06-05 Bankmann, Joachim, Dr. Coating plant with a radio device and a measuring device
DE102006056289A1 (en) * 2006-11-29 2008-06-05 Bankmann, Joachim, Dr. Coating system with a radio device and method for controlling an actuator or a heater
JP2010519768A (en) 2007-02-23 2010-06-03 ケーエルエー−テンカー・コーポレーション Process condition measuring device
US7778793B2 (en) * 2007-03-12 2010-08-17 Cyberoptics Semiconductor, Inc. Wireless sensor for semiconductor processing systems
TW200849444A (en) * 2007-04-05 2008-12-16 Cyberoptics Semiconductor Inc Semiconductor processing system with integrated showerhead distance measuring device
US8968536B2 (en) 2007-06-18 2015-03-03 Applied Materials, Inc. Sputtering target having increased life and sputtering uniformity
US20090015268A1 (en) * 2007-07-13 2009-01-15 Gardner Delrae H Device and method for compensating a capacitive sensor measurement for variations caused by environmental conditions in a semiconductor processing environment
JP2009054993A (en) 2007-08-02 2009-03-12 Tokyo Electron Ltd Tool for detecting position
US7901552B2 (en) 2007-10-05 2011-03-08 Applied Materials, Inc. Sputtering target with grooves and intersecting channels
JP4447631B2 (en) * 2007-11-05 2010-04-07 東京エレクトロン株式会社 Position detection jig
JP5336513B2 (en) * 2007-12-27 2013-11-06 ラム リサーチ コーポレーション System and method for dynamic alignment beam calibration
CN102027568B (en) * 2007-12-27 2014-09-03 朗姆研究公司 Systems and methods for calibrating end effector alignment in a plasma processing system
US8860955B2 (en) * 2007-12-27 2014-10-14 Lam Research Corporation Arrangements and methods for determining positions and offsets
WO2009086164A2 (en) * 2007-12-27 2009-07-09 Lam Research Corporation Systems and methods for calibrating end effector alignment using at least a light source
US8386064B2 (en) * 2008-03-17 2013-02-26 Tokyo Electron Limited Control device and control method
ATE520964T1 (en) * 2008-10-02 2011-09-15 Rena Gmbh METHOD AND DEVICE FOR DETECTING PHYSICAL PARAMETERS
CH699897A2 (en) * 2008-11-10 2010-05-14 Etel Sa SCARA-type parallel robot.
US8319503B2 (en) 2008-11-24 2012-11-27 Cascade Microtech, Inc. Test apparatus for measuring a characteristic of a device under test
US8148977B2 (en) * 2009-01-27 2012-04-03 Applied Materials, Inc. Apparatus for characterizing a magnetic field in a magnetically enhanced substrate processing system
US20110046919A1 (en) 2009-03-02 2011-02-24 Juliesta Elaine Sylvester Method for accurate measurement of enzyme activities
US8275478B2 (en) * 2009-03-13 2012-09-25 Globalfoundries Inc. Method and apparatus for routing wafer pods to allow parallel processing
US8712571B2 (en) * 2009-08-07 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for wireless transmission of diagnostic information
US8676537B2 (en) 2009-08-07 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Portable wireless sensor
US10020182B2 (en) * 2009-08-07 2018-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Digital wireless data collection
CN101673699B (en) * 2009-09-03 2011-05-11 东莞宏威数码机械有限公司 Carrying platform of substrate box
JP5476114B2 (en) * 2009-12-18 2014-04-23 東京エレクトロン株式会社 Temperature measuring device
JP5517350B2 (en) * 2010-06-15 2014-06-11 東京エレクトロン株式会社 Mounting table drive device
US9134186B2 (en) 2011-02-03 2015-09-15 Kla-Tencor Corporation Process condition measuring device (PCMD) and method for measuring process conditions in a workpiece processing tool configured to process production workpieces
US8681493B2 (en) 2011-05-10 2014-03-25 Kla-Tencor Corporation Heat shield module for substrate-like metrology device
US8555727B2 (en) * 2011-06-13 2013-10-15 Devilbiss Healthcare, Llc Integrated vacuum gauge and regulator
CN103576463B (en) * 2012-07-20 2015-09-30 上海微电子装备有限公司 Workbench of lithography machine and method of work thereof
US20140064884A1 (en) * 2012-09-06 2014-03-06 Shenzhen China Star Optoelectronic Technology Co., Ltd. Oven and Adjustable Baking System
US9356822B2 (en) * 2012-10-30 2016-05-31 Kla-Tencor Corporation Automated interface apparatus and method for use in semiconductor wafer handling systems
JP6040883B2 (en) 2012-12-25 2016-12-07 東京エレクトロン株式会社 Substrate transport apparatus, substrate transport method, and storage medium
US9748123B2 (en) * 2013-03-14 2017-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Pressure-controlled wafer carrier and wafer transport system
KR20210003959A (en) 2013-03-15 2021-01-12 어플라이드 머티어리얼스, 인코포레이티드 Chamber design for semiconductor processing
US20160033882A1 (en) * 2014-08-02 2016-02-04 Applied Materials, Inc. Methods and apparatus for substrate support alignment
US10002781B2 (en) 2014-11-10 2018-06-19 Brooks Automation, Inc. Tool auto-teach method and apparatus
US10101301B2 (en) * 2015-03-24 2018-10-16 Board Of Trustees Of Michigan State University Rotating field transceiver nondestructive inspection probe
US9966290B2 (en) 2015-07-30 2018-05-08 Lam Research Corporation System and method for wafer alignment and centering with CCD camera and robot
US10067070B2 (en) * 2015-11-06 2018-09-04 Applied Materials, Inc. Particle monitoring device
US9921268B2 (en) * 2015-11-18 2018-03-20 International Business Machines Corporation Auto-alignment of backer plate for direct docking test boards
JP6546520B2 (en) * 2015-12-11 2019-07-17 株式会社Screenホールディングス Heat treatment equipment
US10818561B2 (en) 2016-01-28 2020-10-27 Applied Materials, Inc. Process monitor device having a plurality of sensors arranged in concentric circles
US10521774B2 (en) 2016-03-22 2019-12-31 Asm Ip Holding B.V. Preventive maintenance system and preventive maintenance method
WO2017196540A1 (en) 2016-05-13 2017-11-16 Applied Materials, Inc. Sensor based auto-calibration wafer
JP6651994B2 (en) * 2016-06-17 2020-02-19 東京エレクトロン株式会社 Substrate processing apparatus, maintenance jig, maintenance method for substrate processing apparatus, and storage medium
NL2019071A (en) * 2016-07-07 2018-01-11 Asml Netherlands Bv An Inspection Substrate and an Inspection Method
US10276455B2 (en) * 2016-07-29 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for measurement of semiconductor device fabrication tool implement
JP2018054500A (en) 2016-09-29 2018-04-05 東京エレクトロン株式会社 Position detection system and processing device
CN110249415A (en) * 2016-10-22 2019-09-17 马坦·拉皮多特 For detecting the mobile checking system of defect generation and position
US10451981B2 (en) 2016-10-28 2019-10-22 Asml Netherlands B.V. Measurement substrate, a measurement method and a measurement system
US10509052B2 (en) 2017-02-06 2019-12-17 Lam Research Corporation Smart vibration wafer with optional integration with semiconductor processing tool
JP6656200B2 (en) * 2017-04-12 2020-03-04 東京エレクトロン株式会社 Position detection system and processing device
NL2021410A (en) * 2017-08-28 2019-03-07 Asml Holding Nv Apparatus for and method cleaning a support inside a lithography apparatus
US10790177B2 (en) * 2017-11-14 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Systems, devices, and methods for using a real time environment sensor in a FOUP
CN108280294B (en) * 2018-01-23 2019-03-19 中冶建筑研究总院有限公司 A kind of cable arch structure damage combined recognising method based on modal parameter
WO2020094467A1 (en) * 2018-11-09 2020-05-14 Asml Holding N.V. Sensor array for real time detection of reticle position and forces
CN113169090A (en) * 2018-12-03 2021-07-23 朗姆研究公司 Pin lifter test substrate
EP3921123A4 (en) 2019-02-08 2022-10-26 Yaskawa America, Inc. Through-beam auto teaching
KR20200134582A (en) * 2019-05-22 2020-12-02 삼성전자주식회사 Pressure measuring apparatus
KR20210002175A (en) * 2019-06-26 2021-01-07 삼성전자주식회사 Sensor module and etching apparatus having the same
KR102267913B1 (en) * 2019-06-27 2021-06-23 세메스 주식회사 Apparatus for treating substrate
US11908722B2 (en) 2019-09-09 2024-02-20 Kla Corporation Automatic teaching of substrate handling for production and process-control tools
US11295975B2 (en) * 2019-09-13 2022-04-05 Brooks Automation Us, Llc Method and apparatus for substrate alignment
TWI756836B (en) * 2019-09-30 2022-03-01 台灣積體電路製造股份有限公司 Measuring method and semiconductor structure forming method
US11460290B2 (en) * 2019-09-30 2022-10-04 Taiwan Semiconductor Manufacturing Company Ltd. Measuring method and semiconductor structure forming method
US20210172728A1 (en) * 2019-12-06 2021-06-10 Applied Materials, Inc. Methods and systems of optical inspection of electronic device manufacturing machines
US11924972B2 (en) 2020-06-02 2024-03-05 Applied Materials, Inc. Diagnostic disc with a high vacuum and temperature tolerant power source
US11589474B2 (en) 2020-06-02 2023-02-21 Applied Materials, Inc. Diagnostic disc with a high vacuum and temperature tolerant power source
US11284018B1 (en) 2020-09-15 2022-03-22 Applied Materials, Inc. Smart camera substrate
US20220108907A1 (en) * 2020-10-05 2022-04-07 Applied Materials, Inc. Semiconductor substrate support leveling apparatus
US20220172968A1 (en) * 2020-12-01 2022-06-02 Applied Materials, Inc. In-chamber low-profile sensor assembly
JP2022088774A (en) * 2020-12-03 2022-06-15 東京エレクトロン株式会社 Correction method and substrate transport device
KR102510873B1 (en) * 2020-12-04 2023-03-16 삼성전자주식회사 Plasma process monitoring device and method, and substrate processing method using the monitoring method

Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4180199A (en) * 1978-02-27 1979-12-25 Hollis Engineering, Inc. Mass soldering control system
US4543576A (en) * 1981-06-12 1985-09-24 Siemens Aktiengesellschaft System for measuring electrical resistance and temperature during manufacture of thin, conductive films deposited on substrates by means of evaporation or sputter deposition
US4745564A (en) * 1986-02-07 1988-05-17 Board Of Trustees Operating Michigan State University Impact detection apparatus
US4819167A (en) * 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
US5240556A (en) * 1991-06-05 1993-08-31 Tokyo Electron Limited Surface-heating apparatus and surface-treating method
US5409348A (en) * 1992-05-15 1995-04-25 Tokyo Electron Limited Substrate transfer method
US5444637A (en) * 1993-09-28 1995-08-22 Advanced Micro Devices, Inc. Programmable semiconductor wafer for sensing, recording and retrieving fabrication process conditions to which the wafer is exposed
US5483138A (en) * 1992-11-12 1996-01-09 Applied Materials, Inc. System and method for automated positioning of a substrate in a processing chamber
US5645391A (en) * 1992-06-05 1997-07-08 Tokyo Electron Limited Substrate transfer apparatus, and method of transferring substrates
US5709757A (en) * 1994-08-25 1998-01-20 Tokyo Electron Limited Film forming and dry cleaning apparatus and method
US5746513A (en) * 1994-09-01 1998-05-05 Sensarray Corporation Temperature calibration substrate
US5775808A (en) * 1996-06-19 1998-07-07 Applied Materials, Inc. Apparatus for real-time, in situ measurement of temperature and a method of fabricating and using same
US5929689A (en) * 1996-09-05 1999-07-27 Sensarray Corporation Photodetector quiescent current compensation method and apparatus
US5967661A (en) * 1997-06-02 1999-10-19 Sensarray Corporation Temperature calibration substrate
US5969639A (en) * 1997-07-28 1999-10-19 Lockheed Martin Energy Research Corporation Temperature measuring device
US5984607A (en) * 1995-11-06 1999-11-16 Tokyo Electron Limited Transfer apparatus, transfer method, treatment apparatus and treatment method
US6032083A (en) * 1995-06-07 2000-02-29 Tokyo Electron Limited Substrate transfer apparatus and heat treatment system using the same
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US20020026259A1 (en) * 1995-05-18 2002-02-28 Kabushiki Kaisha Toshiba Method of transporting substrates and apparatus for transporting substrates
US20020068992A1 (en) * 2000-12-04 2002-06-06 Hine Roger G. Self teaching robot
US20020103571A1 (en) * 2001-01-31 2002-08-01 Yoo Woo Sik System and method for determining robot alignment

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4119381A (en) * 1976-12-17 1978-10-10 Eastman Kodak Company Incubator and radiometric scanner
US4180019A (en) * 1978-03-01 1979-12-25 The Lummus Company Process heater
US4365705A (en) * 1980-09-02 1982-12-28 Cts Corporation Process and apparatus for continuously loading pallets with ceramic articles
US4612376A (en) * 1983-03-25 1986-09-16 Fujisawa Pharmaceutical Co., Ltd. Substituted-3,4-dihydro-4-(2,4,6-trimethoxyphenylimino)-2(1H)-pyrimidones useful as cardiotonic, antihypertensive, cerebrovascular vasodilator and anti-platelet agent
DE3412724A1 (en) * 1984-04-04 1985-10-17 Siemens AG, 1000 Berlin und 8000 München Method and arrangement for measuring, during their production, the layer thickness and/or the concentration of thin layers deposited on substrates
US4821674A (en) * 1987-03-31 1989-04-18 Deboer Wiebe B Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US5435682A (en) * 1987-10-15 1995-07-25 Advanced Semiconductor Materials America, Inc. Chemical vapor desposition system
JPH02268561A (en) * 1989-04-11 1990-11-02 Konica Corp Image sensor
DE4012839B4 (en) * 1989-04-26 2004-02-26 Atg Test Systems Gmbh & Co.Kg Method and test device for testing electrical or electronic devices under test
US5046909A (en) * 1989-06-29 1991-09-10 Applied Materials, Inc. Method and apparatus for handling semiconductor wafers
JPH03214783A (en) * 1990-01-19 1991-09-19 Aichi Tokei Denki Co Ltd Laminated sensor
DE4042336A1 (en) * 1990-02-12 1991-08-14 Fraunhofer Ges Forschung Integrated capacitive press sensor device - has capacitive sensor and capacitive reference element formed side-by-side on common substrate
JP3238432B2 (en) * 1991-08-27 2001-12-17 東芝機械株式会社 Multi-chamber type single wafer processing equipment
JP2647585B2 (en) * 1991-11-28 1997-08-27 三菱電機株式会社 Automatic thin film measuring device
EP0566156B1 (en) * 1992-04-17 1997-08-27 Terumo Kabushiki Kaisha Infrared sensor and method for production thereof
FR2692047B1 (en) * 1992-06-04 1995-08-04 Gaz De France SELECTIVE GAS DETECTION SENSOR AND DEVICE FOR ITS IMPLEMENTATION.
JP3247495B2 (en) * 1993-06-25 2002-01-15 株式会社日立国際電気 Substrate processing apparatus, position setting method of substrate transfer machine, and boat state detection method
US5452521A (en) 1994-03-09 1995-09-26 Niewmierzycki; Leszek Workpiece alignment structure and method
KR0133481B1 (en) * 1994-03-10 1998-04-23 구자홍 Production method for infrared array sensor using processing
JPH0864654A (en) * 1994-08-22 1996-03-08 Dainippon Screen Mfg Co Ltd Method and apparatus for transferring substrate
US5552891A (en) * 1994-10-31 1996-09-03 International Business Machines Corporation Automated mask alignment for UV projection expose system
JPH08233855A (en) * 1995-02-28 1996-09-13 Kansai Gas Meter Co Ltd Electrostatic capacity type acceleration sensor
KR0122284Y1 (en) * 1995-04-13 1998-08-17 정문술 Metal tray unit for testing semiconductor device
US5942991A (en) * 1995-06-06 1999-08-24 Diversified Technologies, Inc. Resonant sensor system and method
JP3772379B2 (en) * 1996-03-25 2006-05-10 ソニー株式会社 Recording medium, address recording method, and apparatus
US5810549A (en) * 1996-04-17 1998-09-22 Applied Materials, Inc. Independent linear dual-blade robot and method for transferring wafers
US5844683A (en) 1996-05-22 1998-12-01 Applied Materials, Inc. Position sensor system for substrate holders
US5980194A (en) 1996-07-15 1999-11-09 Applied Materials, Inc. Wafer position error detection and correction system
US5962909A (en) * 1996-09-12 1999-10-05 Institut National D'optique Microstructure suspended by a microsupport
US5946083A (en) * 1997-10-01 1999-08-31 Texas Instruments Incorporated Fixed optic sensor system and distributed sensor network
TW350115B (en) 1996-12-02 1999-01-11 Toyota Automatic Loom Co Ltd Misregistration detection device and method thereof
KR100260358B1 (en) * 1996-12-30 2000-07-01 김영환 Output buffer circuit
US5851370A (en) * 1997-01-24 1998-12-22 Motorola Corporation Automated electrophoresis system and method
US6111520A (en) 1997-04-18 2000-08-29 Georgia Tech Research Corp. System and method for the wireless sensing of physical properties
DE19882662T1 (en) * 1997-08-28 2000-08-03 Cvc Products Inc Wafer transport device for multi-station tools
US6002840A (en) 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
US6336845B1 (en) * 1997-11-12 2002-01-08 Lam Research Corporation Method and apparatus for polishing semiconductor wafers
JPH11189894A (en) * 1997-12-24 1999-07-13 Murata Mfg Co Ltd Sn alloy plated film, electronic part and chip type ceramic electronic part
US6198976B1 (en) 1998-03-04 2001-03-06 Applied Materials, Inc. On the fly center-finding during substrate handling in a processing system
US6208751B1 (en) * 1998-03-24 2001-03-27 Applied Materials, Inc. Cluster tool
US6051113A (en) 1998-04-27 2000-04-18 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure using target indexing
US6352466B1 (en) 1998-08-31 2002-03-05 Micron Technology, Inc. Method and apparatus for wireless transfer of chemical-mechanical planarization measurements
JP3674333B2 (en) * 1998-09-11 2005-07-20 株式会社日立製作所 Power semiconductor module and electric motor drive system using the same
US6195246B1 (en) * 1999-03-30 2001-02-27 Electron Vision Corporation Electrostatic chuck having replaceable dielectric cover
JP4255091B2 (en) * 1999-04-07 2009-04-15 株式会社日立国際電気 Semiconductor manufacturing method
US6166509A (en) 1999-07-07 2000-12-26 Applied Materials, Inc. Detection system for substrate clamp
US6532403B2 (en) 2000-04-21 2003-03-11 Microtool, Inc Robot alignment system and method
US7127362B2 (en) 2000-08-22 2006-10-24 Mundt Randall S Process tolerant methods and apparatus for obtaining data
US6691068B1 (en) 2000-08-22 2004-02-10 Onwafer Technologies, Inc. Methods and apparatus for obtaining data for process operation, optimization, monitoring, and control
JP2002100664A (en) * 2000-09-25 2002-04-05 Hitachi Kokusai Electric Inc Wafer processing method and equipment
US6648730B1 (en) * 2000-10-30 2003-11-18 Applied Materials, Inc. Calibration tool
JP2004523901A (en) 2001-01-30 2004-08-05 グリーン トゥイード オブ デラウエア インコーポレイテッド Monitoring system for severe atmosphere
US6542835B2 (en) 2001-03-22 2003-04-01 Onwafer Technologies, Inc. Data collection methods and apparatus
US6671660B2 (en) 2001-04-19 2003-12-30 Onwafer Technologies, Inc. Methods and apparatus for power control
TW594455B (en) 2001-04-19 2004-06-21 Onwafer Technologies Inc Methods and apparatus for obtaining data for process operation, optimization, monitoring, and control
JP4041797B2 (en) 2001-06-28 2008-01-30 ポラック ラボラトリーズ インコーポレイテッド Built-in sensor device
US20030115978A1 (en) * 2001-12-20 2003-06-26 Moehnke Stephanie J. Apparatus and method for monitoring environment within a container
US6889568B2 (en) * 2002-01-24 2005-05-10 Sensarray Corporation Process condition sensing wafer and data analysis system
US7233841B2 (en) 2002-04-19 2007-06-19 Applied Materials, Inc. Vision system
US7085622B2 (en) 2002-04-19 2006-08-01 Applied Material, Inc. Vision system
US20050028049A1 (en) 2002-04-19 2005-02-03 Kameshwar Poolla Sensor methods and apparatus
US6830650B2 (en) * 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US20050011611A1 (en) * 2002-07-12 2005-01-20 Mahoney Leonard J. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US7020583B2 (en) * 2004-01-30 2006-03-28 Tokyo Electron Limited Method and apparatus for determining chemistry of part's residual contamination

Patent Citations (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4180199A (en) * 1978-02-27 1979-12-25 Hollis Engineering, Inc. Mass soldering control system
US4543576A (en) * 1981-06-12 1985-09-24 Siemens Aktiengesellschaft System for measuring electrical resistance and temperature during manufacture of thin, conductive films deposited on substrates by means of evaporation or sputter deposition
US4745564B1 (en) * 1986-02-07 1997-02-11 Us Army Impact detection apparatus
US4745564A (en) * 1986-02-07 1988-05-17 Board Of Trustees Operating Michigan State University Impact detection apparatus
US4745564B2 (en) * 1986-02-07 2000-07-04 Us Agriculture Impact detection apparatus
US4819167A (en) * 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
US5240556A (en) * 1991-06-05 1993-08-31 Tokyo Electron Limited Surface-heating apparatus and surface-treating method
US5409348A (en) * 1992-05-15 1995-04-25 Tokyo Electron Limited Substrate transfer method
US5645391A (en) * 1992-06-05 1997-07-08 Tokyo Electron Limited Substrate transfer apparatus, and method of transferring substrates
US5483138A (en) * 1992-11-12 1996-01-09 Applied Materials, Inc. System and method for automated positioning of a substrate in a processing chamber
US5444637A (en) * 1993-09-28 1995-08-22 Advanced Micro Devices, Inc. Programmable semiconductor wafer for sensing, recording and retrieving fabrication process conditions to which the wafer is exposed
US5709757A (en) * 1994-08-25 1998-01-20 Tokyo Electron Limited Film forming and dry cleaning apparatus and method
US5746513A (en) * 1994-09-01 1998-05-05 Sensarray Corporation Temperature calibration substrate
US20020026259A1 (en) * 1995-05-18 2002-02-28 Kabushiki Kaisha Toshiba Method of transporting substrates and apparatus for transporting substrates
US6032083A (en) * 1995-06-07 2000-02-29 Tokyo Electron Limited Substrate transfer apparatus and heat treatment system using the same
US5984607A (en) * 1995-11-06 1999-11-16 Tokyo Electron Limited Transfer apparatus, transfer method, treatment apparatus and treatment method
US5775808A (en) * 1996-06-19 1998-07-07 Applied Materials, Inc. Apparatus for real-time, in situ measurement of temperature and a method of fabricating and using same
US5929689A (en) * 1996-09-05 1999-07-27 Sensarray Corporation Photodetector quiescent current compensation method and apparatus
US5967661A (en) * 1997-06-02 1999-10-19 Sensarray Corporation Temperature calibration substrate
US5969639A (en) * 1997-07-28 1999-10-19 Lockheed Martin Energy Research Corporation Temperature measuring device
US20020092369A1 (en) * 1998-03-06 2002-07-18 Applied Materials Inc. Method for confirming alignment of a substrate support mechanism in a semiconductor processing system
US6642853B2 (en) * 1998-03-06 2003-11-04 Applied Materials, Inc. Movable wireless sensor device for performing diagnostics with a substrate processing system
US7331250B2 (en) * 1998-03-06 2008-02-19 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US20020078770A1 (en) * 1998-03-06 2002-06-27 Applied Materials, Inc. Method for confirming alignment of a substrate support mechanism in a semiconductor processing system
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US20070022832A1 (en) * 1998-03-06 2007-02-01 Reginald Hunter Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6468816B2 (en) * 1998-03-06 2002-10-22 Applied Materials, Inc. Method for sensing conditions within a substrate processing system
US20010042414A1 (en) * 1998-03-06 2001-11-22 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US20030209097A1 (en) * 1998-03-06 2003-11-13 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6677166B2 (en) * 1998-03-06 2004-01-13 Applied Materials, Inc. Method for confirming alignment of a substrate support mechanism in a semiconductor processing system
US6895831B2 (en) * 1998-03-06 2005-05-24 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US20060236793A1 (en) * 1998-03-06 2006-10-26 Reginald Hunter Sensor device for non-intrusive diagnosis of a semiconductor processing system
US20020068992A1 (en) * 2000-12-04 2002-06-06 Hine Roger G. Self teaching robot
US20020103571A1 (en) * 2001-01-31 2002-08-01 Yoo Woo Sik System and method for determining robot alignment

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7434485B2 (en) * 1998-03-06 2008-10-14 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US20060236793A1 (en) * 1998-03-06 2006-10-26 Reginald Hunter Sensor device for non-intrusive diagnosis of a semiconductor processing system
US7149643B2 (en) * 2002-12-03 2006-12-12 Sensarray Corporation Integrated process condition sensing wafer and data analysis system
US20050246127A1 (en) * 2002-12-03 2005-11-03 Renken Wayne G Integrated process condition sensing wafer and data analysis system
US7151366B2 (en) 2002-12-03 2006-12-19 Sensarray Corporation Integrated process condition sensing wafer and data analysis system
US20070046284A1 (en) * 2002-12-03 2007-03-01 Sensarray Corporation Integrated Process Condition Sensing Wafer and Data Analysis System
US7135852B2 (en) 2002-12-03 2006-11-14 Sensarray Corporation Integrated process condition sensing wafer and data analysis system
US7855549B2 (en) 2002-12-03 2010-12-21 Kla-Tencor Corporation Integrated process condition sensing wafer and data analysis system
US7301623B1 (en) * 2003-12-16 2007-11-27 Nanometrics Incorporated Transferring, buffering and measuring a substrate in a metrology system
US20070251338A1 (en) * 2006-05-01 2007-11-01 Sensarray Corporation Process Condition Measuring Device with Shielding
US20070251339A1 (en) * 2006-05-01 2007-11-01 Sensarray Corporation Process Condition Measuring Device with Shielding
US7540188B2 (en) 2006-05-01 2009-06-02 Lynn Karl Wiese Process condition measuring device with shielding
US7555948B2 (en) 2006-05-01 2009-07-07 Lynn Karl Wiese Process condition measuring device with shielding

Also Published As

Publication number Publication date
US6895831B2 (en) 2005-05-24
US20060236793A1 (en) 2006-10-26
US20030209097A1 (en) 2003-11-13
US6677166B2 (en) 2004-01-13
US20010042414A1 (en) 2001-11-22
US7331250B2 (en) 2008-02-19
US6244121B1 (en) 2001-06-12
US6468816B2 (en) 2002-10-22
US20020092369A1 (en) 2002-07-18
US20020078770A1 (en) 2002-06-27
US6642853B2 (en) 2003-11-04
US7434485B2 (en) 2008-10-14
US20070022832A1 (en) 2007-02-01

Similar Documents

Publication Publication Date Title
US6895831B2 (en) Sensor device for non-intrusive diagnosis of a semiconductor processing system
US7319920B2 (en) Method and apparatus for self-calibration of a substrate handling robot
EP1062687B1 (en) On the fly center-finding during substrate handling in a processing system
US7233841B2 (en) Vision system
US20090182454A1 (en) Method and apparatus for self-calibration of a substrate handling robot
US6085125A (en) Prealigner and planarity teaching station
US6934606B1 (en) Automatic calibration of a wafer-handling robot
US20070004058A1 (en) Semiconductor manufacturing device with transfer robot
US6468022B1 (en) Edge-gripping pre-aligner
TW200527172A (en) Auto-diagnostic method and apparatus
JPH1064971A (en) Error detection and correction device for position of wafer and its method
WO2017209901A2 (en) Substrate distance monitoring
US7353076B2 (en) Vacuum processing method and vacuum processing apparatus
WO2002007236A1 (en) Displacement detector and processing system
CN111564396B (en) Method for calibrating manipulator of semiconductor processing equipment and semiconductor equipment
US7493231B2 (en) Process for determining the actual position of a rotation axis of a transportation mechanism
US20090092470A1 (en) End effector with sensing capabilities
JP2011108958A (en) Semiconductor wafer carrying device and carrying method using the same
CN213936147U (en) Mechanical arm
JP3674063B2 (en) Wafer transfer device
WO2021145744A1 (en) Substrate transfer method and substrate transfer device
KR20060028578A (en) Robot of semiconductor equipment for transferring semiconductor substrate
KR20030061032A (en) Semiconductor manufacturing equipment

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:HUNTER, REGINALD;REEL/FRAME:016257/0826

Effective date: 19980304

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION