US20050038979A1 - Method and circuits for early detection of a full queue - Google Patents

Method and circuits for early detection of a full queue Download PDF

Info

Publication number
US20050038979A1
US20050038979A1 US10/945,710 US94571004A US2005038979A1 US 20050038979 A1 US20050038979 A1 US 20050038979A1 US 94571004 A US94571004 A US 94571004A US 2005038979 A1 US2005038979 A1 US 2005038979A1
Authority
US
United States
Prior art keywords
instructions
queue
cycle
count
enqueued
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/945,710
Inventor
Timothy Fischer
Daniel Leibholz
James Farrell
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hewlett Packard Development Co LP
Original Assignee
Hewlett Packard Development Co LP
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hewlett Packard Development Co LP filed Critical Hewlett Packard Development Co LP
Priority to US10/945,710 priority Critical patent/US20050038979A1/en
Publication of US20050038979A1 publication Critical patent/US20050038979A1/en
Assigned to HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. reassignment HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: COMPAQ INFORMATION TECHNOLOGIES GROUP, L.P.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F7/38Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation
    • G06F7/48Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation using non-contact-making devices, e.g. tube, solid state device; using unspecified devices
    • G06F7/52Multiplying; Dividing
    • G06F7/535Dividing only
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F7/38Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation
    • G06F7/48Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation using non-contact-making devices, e.g. tube, solid state device; using unspecified devices
    • G06F7/4824Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation using non-contact-making devices, e.g. tube, solid state device; using unspecified devices using signed-digit representation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F7/38Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation
    • G06F7/48Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation using non-contact-making devices, e.g. tube, solid state device; using unspecified devices
    • G06F7/50Adding; Subtracting
    • G06F7/505Adding; Subtracting in bit-parallel fashion, i.e. having a different digit-handling circuit for each denomination
    • G06F7/506Adding; Subtracting in bit-parallel fashion, i.e. having a different digit-handling circuit for each denomination with simultaneous carry generation for, or propagation over, two or more stages
    • G06F7/508Adding; Subtracting in bit-parallel fashion, i.e. having a different digit-handling circuit for each denomination with simultaneous carry generation for, or propagation over, two or more stages using carry look-ahead circuits
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3802Instruction prefetching
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3802Instruction prefetching
    • G06F9/3814Implementation provisions of instruction buffers, e.g. prefetch buffer; banks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3838Dependency mechanisms, e.g. register scoreboarding
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3838Dependency mechanisms, e.g. register scoreboarding
    • G06F9/384Register renaming
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2207/00Indexing scheme relating to methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F2207/535Indexing scheme relating to groups G06F7/535 - G06F7/5375
    • G06F2207/5352Non-restoring division not covered by G06F7/5375

Definitions

  • An instruction queue is typically a random-access storage array which holds instructions between the time they are fetched from memory and when they are issued to an execution unit.
  • the queue is typically structured as a set of rows, each of which holds one instruction.
  • instructions issue from the instruction queue out-of-order, with instruction prioritization managed with pointers to the oldest and newest instructions in the queue.
  • the concept of out-of-order execution is also called “dynamic execution” or “dynamic scheduling”.
  • the queue structure itself may also be called an “instruction buffer”, “re-order buffer”, or “scoreboard”.
  • the instruction queue is called a “Re-order Buffer.”
  • instruction queue architectures sometimes called re-order buffers, appear to hold twenty-four instructions through similar execute and retirement operations.
  • out-of-order issue machines with a 16-entry or larger re-order buffer track the status of each in-flight instruction, and twelve integer and eight floating-point “rename buffers” assign instructions to execution units.
  • Each execution unit has a “reservation station,” that is, an instruction buffer dedicated to an execution unit from which data-ready instructions are issued.
  • the instruction input pipeline must stall when the queue is full.
  • Traditional queue-full mechanisms rely on a threshold indicator which asserts a pipeline stall signal when the number of instructions in the queue reaches a fixed level. This signal is typically based on pointer comparisons, and the fixed threshold must be reached before the queue is determined to be completely filled, thus wasting queue space.
  • the present invention does not use pointers to manage queue allocation and prioritization, and hence, the above scheme is not generally applicable. Instead, a pipelined detection scheme is used which first counts the number of free queue slots, and then modifieds this count based on queue events which free or allocate queue space each cycle. The resulting free-entry count is then used in one of two ways.
  • the queue-free-slot count is compared to the number of instructions entering that cycle. Pipeline stall is asserted if there are not enough free slots available for the entire entering instruction block.
  • At least one computer system employing the present invention has a 20-row integer queue and a 15-row floating-point queue. Each cycle, up to four instructions can be issued from the integer queue, and up to four new instructions can enter the queue. Up to two instructions can be issued from and can enter the floating-point queue. Instructions are removed from the queues two cycles after they are issued, creating empty queue rows. New instructions can enter the queue only when there are a sufficient number of empty rows in which to place the instructions. If there are not a sufficient number of empty rows, the input pipeline is stalled.
  • Instructions in the queue are prioritized to ensure that all instructions are issued from the queue in a finite amount of time, thus preventing deadlock as well as meeting performance goals by issuing oldest instructions first.
  • older instructions in a queue are compacted toward the bottom of the queue each cycle, while their original order is maintained.
  • An update logic circuit generates control signals to perform the compaction. Compaction creates room at the top of the queue where new instructions enter. Maintaining instructions in-order from the bottom to the top of the queue eliminates the need for pointers to track oldest/newest queue instructions and greatly simplifies the issue prioritization process, allowing the use of fast, simple arbitration circuits.
  • This operation can be simplified by moving instructions at most a predetermined number of rows lower each cycle. For example, since no more than four instructions enter the integer instruction queue each cycle. maximum input bandwidth is guaranteed if the predetermined number is four.
  • Instructions are moved through the queue via multiplexors associated with each queue row.
  • each multiplexor has five data inputs.
  • the inputs correspond to the contents of rows N through N+ 4 .
  • An instruction in row N+ 2 is moved to row N by asserting the “N+ 2 ” multiplexor select signal.
  • An update logic circuit generates each row's multiplexor selects to control the compaction of the queue.
  • update logic used to compact the queue provides a count of free queue rows in cycle K- 2 to the full-queue detection circuit.
  • the number of instructions issued in cycle K- 1 is added to the free row count
  • the number of instructions enqueued in cycle K- 1 is subtracted from the sum of the free row count and the issue count.
  • the number of speculatively issued instructions issued in cycle K- 1 which produce a cache hit is added to the above remainder.
  • the counting, addition and subtraction operations can be simplified by using flat-vectors to represent counts and shifting operations to increment and decrement the counts.
  • the result is then encoded and compared with the number of incoming instructions.
  • the number of free rows in the queue is compared with a predetermined value, preferably the maximum number of incoming instructions allowed.
  • a method for detecting instruction queue status at a cycle K comprises adding together the number of invalid instructions or free rows in the queue during cycle K- 2 , the number of instructions issued for cycle K- 1 and the number of instructions speculatively issued in cycle K- 1 that have produced a cache hit, and subtracting from the sum the number of instructions enqueued for cycle K- 1 .
  • the result of this calculation indicates the number of invalid instructions in the queue cycle K.
  • the number of invalid entries instructions, the number of issued instructions, and the number of enqueued instructions are each preferably represented as a flat vector. Adding can therefore be performed by shifting in one direction, while subtracting can be performed by shifting in the opposite direction.
  • a stall signal can be generated if the indicative value is less than a predetermined value, where the predetermined value is equal to or otherwise related to the maximum number of instructions that can be enqueued in one cycle.
  • a stall signal can be generated if the number of instructions to be enqueued is greater than the indicative value.
  • FIG. 1 is a block diagram illustrating the pipeline stages of a CPU to which the present invention is applied.
  • FIG. 2 is a block diagram showing the relationship between the issue logic, update logic, and execution units of the CPU.
  • FIG. 3 is a block diagram describing the organization of the issue logic.
  • FIG. 4 is a timing diagram illustrating the register request, arbitration, scoreboard update, and instruction execution.
  • FIG. 5 is a schematic diagram illustrating the instruction queue compaction process of the present invention
  • FIG. 6 is a schematic diagram illustrating one of the multiplexors which move instructions through the queue.
  • FIG. 7 is a schematic diagram illustrating the counters and valid bits associated with each queue row.
  • FIG. 8 is a schematic diagram illustrating a preferred method of generating the update signals from the counters.
  • FIG. 9 is a block diagram of a preferred embodiment of the Update Logic circuit for five of the twenty queue rows.
  • FIG. 10 is a schematic diagram of a simplified circuit of a local stage 1 adder circuit of FIG. 9 .
  • FIG. 11 is a schematic diagram illustrating a preferred implementation of generating update signals for the valid indicators.
  • FIG. 12 is a schematic diagram illustrating a problem which the implementation shown in FIG. 11 resolves.
  • FIG. 13 is a block diagram illustrating the stall logic of a preferred embodiment of the present invention.
  • FIG. 14 is a simplified schematic illustrating the adder stages which make up the event counters of FIG. 13 .
  • FIG. 15 is a simplified schematic of the add/subtract circuitry of FIG. 13 .
  • FIG. 16A is a simplified schematic of the comparator of FIG. 13 used with the floating-point queue.
  • FIG. 16B is a simplified schematic of the comparator of FIG. 13 used with the integer queue.
  • FIGS. 17A and 17B are simplified schematic illustrating a pipeline enqueue stage for an early stall, as in the present invention, and for a late stall, respectively.
  • FIG. 18 is a schematic diagram illustrating the relative stages through the pipeline of various instructions.
  • FIG. 1 is a block diagram illustrating the pipeline stages of a CPU 10 in which the present invention is implemented. Specifically, during fetch stages 0 and 1 , four instructions 12 are fetched per machine cycle from the instruction cache 14 .
  • Mapping pipeline stage 2 assigns the virtual registers identified in the fetched instruction to physical registers maintained in the CPU 10 .
  • four instructions are renamed per cycle, assigning up to eight source and four destination registers.
  • Queue pipeline stage 3 issues instructions out-of-order when data is ready, i.e., when the registers containing the data are available.
  • Four integer instructions issue per cycle, and up to two floating point instructions issue per cycle.
  • Valid flags or indicators are maintained in valid indicator storage locations. A valid indicator storage location is associated with each queue row.
  • register pipeline stage 4 dual integer register files 30 , 32 pass the data required by the issued instructions to four integer execution units 3440 .
  • floating point register file 42 passes the required data for the floating point instructions to floating point divide/squareroot unit 44 and floating point multiply unit 46 .
  • Two of the integer execution units 36 , 40 have the capability to issue addresses to data cache 48 , enabling two load/stores per cycle.
  • the data cache 48 communicates off-chip via the bus interface 50 .
  • FIG. 2 shows the general layout for integer execution.
  • Four integer execution units 34 - 40 are arranged in two clusters, Cluster 0 and Cluster 1 .
  • Each cluster contains a complete copy of the register file: register file 0 30 and register file 1 32 .
  • the physical distance between the clusters requires that one cycle of latency exist between the conclusion of an operation in one cluster and the availability of the destination register in the other cluster.
  • Register result data crosses between the clusters in intercluster register bypass buses 56 .
  • the issue logic 100 schedules instructions to minimize the inter-cluster latency.
  • the instructions are statistically assigned to request either the upper or lower pairs of execution units. This allows the instructions to have an opportunity to issue on the same cluster that produces the dependent register.
  • FIG. 3 shows the issue logic data path for the instruction queue. It contains twenty rows, one instruction occupying each row. The instructions are ordered from the oldest, INST 0 , at the bottom to the newest, INST 19 , in row 19 , at the top. Up to four instructions enter the instruction queue per cycle, requiring compaction of the instructions remaining in the queue toward the bottom every cycle.
  • Register scoreboard logic is divided in halves 102 , 104 book-ending the update 106 , valid 108 , arbiter 110 , and request logic 112 .
  • Register scoreboard logic 102 holds the forty even registers and register scoreboard logic 104 holds the odd registers of the eighty integer physical registers, in the preferred embodiment.
  • the register scoreboard logic For every instruction and each potential register required by the instructions, the register scoreboard logic holds two decoded fields (see fields a, b in register score board logic 104 at instruction INST 0 ). The lower field is the decoded source register, and the upper field is the decoded destination register. Each cycle, the source field is compared to outstanding destination register numbers and a match signal is generated on one of two register request wires 114 running across the data path.
  • the request logic 112 stores execution unit assignment information. Each of the execution units 34 - 40 may execute different instructions or may or may not have access to the data cache 48 .
  • the request logic combines the execution assignment information with the two register request signals 114 .
  • the output is a four bit field 116 that indicates the execution units requested to the arbiter 110 by each row/instruction.
  • the arbiter 110 contains two pick-oldest-two arbiter circuits operating in parallel to choose up to four instructions each cycle for execution.
  • the valid logic 108 maintains a valid bit for each row and pulls one of the request lines when invalid. It calculates a new valid bit each cycle based on issue, invalidate, and reset information.
  • the update logic 106 accepts five new valid bits each cycle and generates five select signals 117 used to compact the instructions in the queues 102 , 104 .
  • FIG. 4 is a timing diagram showing the progress of instructions from request to execution.
  • ADD enters the queue, requests an execution unit, and wins the arbitration by the end of machine cycle 3 , i.e., request and arbitration occur within one machine cycle.
  • the issue signal is an index into the array with the instruction data enabling the instruction read in cycle 4 . Passage of the instruction to the execution unit enables the register file read in the end of cycle 4 and the instruction execution in cycle 5 .
  • the SUB instruction can request to issue in cycle 4 since in the present invention, issuance of single cycle instructions makes available the corresponding registers for the next machine cycle.
  • destination register R 3 of ADD will be reflected as available in the scoreboard logic 102 , 104 for the subsequent SUB, which uses R 3 as the source register.
  • the issued instructions participate in the update calculation, which will overwrite that row in cycle 4 for the ADD and cycle 5 for the SUB, since in those cycles the corresponding instructions have exited the queue. This provides queue compaction at the beginning of each cycle as indicated by shaded region 116 .
  • the update logic calculates multiplexor select signals from valid states of the instructions in the queue and moves enough instructions to the bottom of the queue to free up to four rows at the top for newly fetched instructions.
  • FIG. 5 illustrates the instruction queue compaction process 156 .
  • the instruction queue 150 A holds several valid instructions, INST A-INST j. Since instructions are issued out-of-order, removal of instructions from the queue leaves empty, or invalid, rows, indicated by asterisks (*), scattered throughout the queue 150 A.
  • each cycle the remaining valid instructions INST A-INST J are physically compacted in the queue, toward the bottom, i.e., row 0 , resulting in the queue as shown at 150 B.
  • compaction is simplified by moving instructions at most four rows each cycle. Because no more than four instructions enter the queue each cycle, the first four empty rows 152 will always be at the top of the queue. Thus, up to four new instructions are placed at the top of the queue, at 154 , each cycle.
  • FIG. 6 illustrates one of the multiplexors 130 which move instructions through the queue.
  • one multiplexor is associated with each queue row.
  • queue row N 138 is shown with its associated multiplexor 130 .
  • each multiplexor has five data inputs 136 .
  • these inputs 136 correspond to the instructions stored in rows N through N+ 4 .
  • One of the input instructions is selected by the multiplexor 130 and passed to queue row N 138 .
  • Five select signals UPDATE ⁇ 4 - 0 > 134 generated for each row by the update logic circuit 106 ( FIG. 3 ), determine which of the five input instructions 136 will be moved to row N. For example, an instruction at row N+ 2 is moved two rows lower to row N by asserting the UPDATE ⁇ 2 >select signal to the multiplexor 130 for row N.
  • each instruction stored in the queue forms an input to its own multiplexor as well as the multiplexors associated with the four rows immediately below.
  • the contents 140 of queue row N is routed to multiplexors associated with rows N, N- 1 , N- 2 , N- 3 and N 4 , as shown at 142 .
  • the update logic circuit 106 ( FIG. 3 ) generates each row's multiplexor select signals to control the compaction of the queue. For each queue row, the update logic circuit counts the number of invalid rows from the bottom of the queue up to and including the instant row. This count saturates at four in the illustrated embodiment since instructions are shifted at most by four rows per cycle.
  • FIG. 7 illustrates the counters and valid bits associated with each queue row, where each counter is a flat vector. That is, for each row, five count bits are generated, only one of which is set at any time.
  • each counter 161 of counter block 160 is a vector counter associated with the corresponding queue row. In any counter, the position of the set bit indicates the number of invalid rows in the queue from that row to the bottom of the queue.
  • Valid indicator storage locations 162 hold valid indicators associated with each queue row are also shown, a 1 here indicating a valid instruction in the corresponding queue row.
  • row 0 's valid indicator is 1, indicating that row 0 in the queue holds a valid instruction. Since there are no invalid instructions below, the bit corresponding to a count of 0 is set, and row 0 's counter is ⁇ 00001>.
  • Row 1 is empty, so the corresponding valid indicator is 0.
  • there is one empty instruction up to and including row 1 so the bit corresponding to a count of 1 is set, yielding a counter value of ⁇ 00010>for row 1 .
  • each valid instruction is moved toward the bottom of the queue by the number of rows indicated by the corresponding counter.
  • the update logic circuit 106 forms five multiplexor select signals, UPDATE ⁇ 4:0>from counters 161 associated with rows N through N+ 4 , and drives the select signals across the entire queue datapath at the start of each new cycle.
  • FIG. 8 illustrates a preferred method of generating the update signals from the counters.
  • UPDATE ⁇ 4:0>signals 134 are formed by combining the counter bits from rows 0 to 4 which lie in the diagonal 170 , respectively.
  • Each bit in the diagonal is logically ANDed with its row's valid bit to mask the count when the row contains an invalid instruction. Masked bits are indicated with asterisks (*).
  • This has the effect of turning on only one UPDATE signal for each row when receiving a valid instruction, while shutting off all UPDATE signals for rows into which only invalid instructions would be shifted. This saves a large amount of power in the queue because mvand instructions are not moved within the queue. They are either overwritten with valid instructions or with the precharged value of the shift multiplexor 130 .
  • the masked UPDATE signals 134 which derive from the diagonal 170 ANDed with valid indicators for rows 0 - 4 , or ⁇ 11001>, are ⁇ 00001>. This drives the multiplexor 130 to select the instruction stored in row 0 . Thus the valid instruction in row 0 is not moved.
  • the update logic circuit 106 preferably extends beyond the queue to include four extra rows. These rows represent the four incoming instructions 172 , which will enter the queue on the next cycle. Their valid bits 176 are counted and additional counters 174 are provided which affect the queue multiplexor select lines in the same manner as valid bits for instructions within the queue.
  • the update logic circuit 106 has one cycle of latency and consists of two stages, each taking one phase to complete.
  • FIG. 9 illustrates a 5-row slice 200 of the 20-row update datapath.
  • the structure of the datapath is similar to a carry lookahead adder, with the global adders performing the lookahead function.
  • Stage 1 201 counts instruction invalid bits up to and including each row and saturates at four. Stage 1 adders are broken into groups of five rows, as shown, to reduce their delay. Local adders 207 in four of the five rows sum the invalid bits in the complementary valid/invalid input pairs 205 within the group up to and including the designated row. A global adder 203 sums all five invalid bits within each group, similar to a lookahead adder. Local and global adder sums 208 are then driven into stage 2 211 through latch 209 and gates 213 .
  • Stage 2 211 combines local and global sums 208 from stage 1 201 with Stage 1 global sums 224 from the groups below the instant group to form multiplexor selects 134 for each instruction queue row as described previously.
  • the global sums from the groups below 224 and from the instant group are passed to the group above at 225 .
  • the multiplexor select wiring 219 generates the update signals 227 from the diagonals of the counters as described above.
  • the update signals 227 pass through gates 221 when enabled by clock signal 223 , forming Stage 2 outputs 134 .
  • the Stage 2 outputs 134 are driven across the entire instruction queue, and control precharged NMOS pass transistor multiplexors to shift instructions within the queue.
  • FIG. 10 illustrates an update circuit Stage 1 adder 207 of FIG. 9 in detail.
  • Clock 233 has two phases, phase A and phase B. Each instruction's new valid bit is calculated from its issue signal during the clock's low assertion time, phase B. In phase A, or the high assertion time of clock 233 , the valid bit is shifted into its new row through a precharged NMOS pass multiplexor 231 , and dominoes into the stage 1 adder 207 using the old update multiplexor outputs 134 , via gates 254 and 256 . Note that complementary versions 205 A, 205 B of each row's valid bit are needed for the pass/shift function. The example of FIG. 10 has each of three rows drive into the stage 1 adder cells.
  • the adder 207 is implemented as a 5-bit precharged NMOS shifter array for fast addition, as illustrated by the center cell 258 .
  • the adder 207 adds by shifting a token left one bit for each valid entry.
  • the five bits represent an invalid row count that saturates at four.
  • the illustrated local adder 207 sums valid bits for three rows.
  • stage 1 sum 208 is latched at 209 and driven to stage 2 in phase B.
  • the update logic stage 2 output is turned into multiplexor selects as previously described and is driven across the issue logic datapath during the next phase A, which closes the loop.
  • each rows' count bits are logically ANDed with that row's valid bit to mask the count when the row contains an invalid instruction. This has the effect of turning on only one UPDATE signal for each row when receiving a valid instruction, while shutting off all UPDATE signals for rows into which only invalid instructions would be shifted. This saves a large amount of power in the queue because invalid instructions are not moved within the queue: they are either overwritten with valid instructions or with the precharged value of the shift multiplexor.
  • the two complementary valid bits associated with each instruction within the queue must be shifted properly and not dropped, even when that instruction is invalid, in order to guarantee correct results from the issue logic.
  • These valid bits cannot simply receive the precharge value from the shift multiplexor when the row is invalid because that would destroy the information in those bits, which is needed.
  • FIG. 11 thus illustrates an implementation for generating update signals for shifting the valid indicators during compaction.
  • a modified diagonal 170 A is formed for each row.
  • a second multiplexor 130 A is associated with each queue row, using the same UPDATE ⁇ 3 - 0 >signals as the first multiplexor 130 ( FIG. 8 ), but using an alternate select bit UPDATE ⁇ 5 >in place of UPDATE ⁇ 4 >.
  • This alternate select UPDATE ⁇ 5 > is generated for each row N using the most significant bit, or count ⁇ 4 >wire, from row N+ 3 , unmasked by the row's valid indicator.
  • FIG. 12 illustrates why the unmasked UPDATE ⁇ 5 >bit is taken from row N+ 3 rather than row N+ 4 . Assume that all rows up to and including row N hold valid instructions and that rows N+ 1 through N+ 4 do not hold valid instructions. If the unmasked UPDATE ⁇ 5 >signal were taken from row N+ 4 , the count value would be ⁇ 10001> 300 , possibly causing improper overwriting of the valid indicator for row N. On the other hand, when the UPDATE ⁇ 5 >signal is taken from row N+ 3 , as in a preferred embodiment, the resulting count 302 is ⁇ 00001>and there is no conflict.
  • the update logic 106 compacts instructions in the queue toward the bottom.
  • the update logic circuit can provide a count of the total number of free entries in the queue in cycle K- 2 . This count requires 1 cycle to generate, and is not available until the beginning of cycle K- 1 .
  • cycle K all significant events which allocated space (decreasing the free count) or freed up space in the queue during cycle K- 1 are calculated into the free count. This gives a total count of the free space available in the queue to instructions entering in cycle K.
  • T K A K ⁇ 2 +B K ⁇ 1 ⁇ C K ⁇ 1 D K ⁇ 1 (1)
  • T K is the number of free queue entries in cycle K
  • a K ⁇ 2 is the number of free queue entries in cycle K- 2 as reported by the update logic circuit
  • B K ⁇ 1 is the issue count for cycle K- 1 , i.e., the number of instructions issued in cycle K- 1 ,
  • C K ⁇ 1 is the number of instructions enqueued in cycle K- 1 .
  • D K ⁇ 1 is the number of instructions speculatively issued in cycle K- 1 which have produced a cache hit.
  • Speculatively issued instructions are instructions which consume the results of previously-issued producer load instructions whose cache hit/miss is not known at the time of issuance. These consumers are issued “speculatively” assuming producer cache hit, and killed if the producer load instructions miss in the cache.
  • the free-entry count T K is compared to the number of instructions entering in cycle K, asserting pipeline stall during cycle K if there are not enough free slots for the entire instruction block to enter, i.e., if T K is less than the number of instructions entering. For example, when there are three free rows and three incoming instructions, the pipeline does not stall. A count of three free rows with four incoming instructions does cause a pipeline stall.
  • the queue free count is usable in the queue-full stall circuit
  • a count of eight is sufficient because, in Eq. (1), a maximum of 4 is subtracted from the count, whose value must be at least 4 in order not to stall. Thus, a range of 0 to 7 is sufficient to represent the values for which a stall can occur.
  • the update logic count circuit represents values 0-7 with explicit wires. Values of 8 or greater are represented with none of the values 0 to 7 asserted. Negative values cannot occur.
  • the free-row count is driven from the update logic into the queue-stall logic.
  • FIG. 13 is a block diagram illustrating the stall logic 401 of a preferred embodiment of the present invention.
  • the free count A K ⁇ 2 403 comes from the update logic circuit 106 and is translated to proper voltages by pulldown circuit 405 .
  • Valid bits of instructions issued in cycle K- 1 are used to add B K ⁇ 1 , the number of instructions issued in cycle K- 1 , to the free count in counter 409 .
  • the total free count plus issued instructions, calculated by counter 409 are clocked through latch 410 to pulldown circuit 413 of the adder/subtracter circuit 440 .
  • the number of instructions 415 enqueued in cycle K- 1 is counted by counter 417 .
  • the enqueued instruction count from counter 417 is latched by latch 418 to the adder/subtracter circuit 440 .
  • Subtracter 419 subtracts the number of enqueued instructions in cycle K- 1 from the total of the free count plus issued instructions.
  • valid indicators 427 of instructions requesting to be enqueued at cycle K are clocked by latch 429 to encoder 431 which encodes a count of valid instructions to be enqueued to simplify the later comparison.
  • the encoded count is latched through latch 432 and compared at comparator 433 with the result of the adder/subtracter circuit 440 .
  • encoder 431 is not needed because the result of the adder/subtracter circuit 440 is compared with a fixed value, 4 in the preferred embodiment.
  • the output of comparator 433 is latched by latch 435 which is clocked by clock signal 411 .
  • the output is a stall signal 437 which is gated by gate 439 and clocked by clock signal 411 to stall the input pipeline.
  • the stall signal is also used to disable latch 429 .
  • the counted events do not include all possible changes to the queue contents. This is because some events, such as kills, are relatively uncommon and have little effect on the queue when averaged over a long period of time. Note that these events can only be left out if they add free entries to the queue—i.e., tradeoff a small amount of performance for area and a cycle time advantage. Thus, a conservative count of free entries is created by leaving out small positive credits to the queue accounting. This allows the implementation of the stall logic in a small area while meeting cycle time goals by using queue entries as efficiently as possible.
  • FIG. 14 illustrates a typical counter from FIG. 13 , comprising several adder stages.
  • Each event counter e.g., counter 417
  • the adder 417 shown in FIG. 14 counts, for example, instructions enqueued in cycle K- 1 . It comprises four adder stages 417 A- 417 D each of which can shift a bit one position to the left or right, depending on the value of the valid high and valid low complementary bits 445 , which are clocked into the adder stages via clock 411 through gates 447 . The resulting flat vector count is latched through latch 418 and through gates 443 to the adder/subtracter circuit 440 .
  • FIG. 15 is a schematic of the adder/subtracter circuit 440 of FIG. 13 .
  • the output 429 of this circuit is a total count of the free entries in the queue.
  • the input 413 is 8 bits wide internally to handle large intermediate counts. For example, an initial free entry count of 7, less 4 enqueued instructions from the previous cycle K- 1 , with no instructions issued in cycle K- 1 , leaves a total of three free queue entries. The input pipeline must stall if there are four instructions enqueuing in the current cycle K.
  • the pulldown section 413 provides proper bias to the count from counter 409 ( FIG. 13 ). This count is then clocked via clock 411 to the subtracter stage 419 , the output of which requires only five bits.
  • the output of subtracter stage 419 is clocked via clock 411 to adder stage 425 .
  • the adder stage 425 adds the number of speculatively issued instructions from counter 423 .
  • the output of the adder stage is clocked through via clock 411 , and buffered by gates 427 to comparator 433 ( FIG. 13 ).
  • the output 429 of the adder/subtracter circuit 440 comprises four wires representing the total free row count for cycle K, for values between 0 and 3. Negative values cannot occur. Positive values larger than 3 result in none of the wires being asserted, indicating to the comparator that a stall cannot occur since there are at least 4 free rows in the queue.
  • FIG. 16A is a simplified schematic of the comparator of FIG. 13 used with the floating-point queue embodiment.
  • the floating-queue enqueue bit counter is preferably an encoder which simplifies the comparison operation. Rather than being a simple count of the valid bits of enqueuing entries, the count is encoded in four bits ⁇ 4:1>as follows, where enqueue count ⁇ 4-1>is the output of the encoder 43 1 . No. of enqueuing instructions enqueue_count ⁇ 4-1> 1 ⁇ 0001> 2 ⁇ 0011> 3 ⁇ 0111> 4 ⁇ 1111>
  • This encoding allows generation of the stall signal by a simple comparison.
  • Comparator circuit 433 A corresponds to the comparator 433 of FIG. 13 for the floating-point embodiment
  • stall (free_entries ⁇ 3> & enqueue_count ⁇ 4>)
  • FIG. 16B is a simplified schematic of the comparator of FIG. 13 used with the integer queue.
  • the integer queue has a simplified queue comparator 433 B, corresponding to 433 of FIG. 13 for the integer embodiment, to save space. Performance studies have indicated that the performance degradation as a result of this simplification is acceptable.
  • the integer embodiment does not require an encoder.
  • Inputs to the comparator 433 B are the free_entries ⁇ 3 - 0 >count 429 and a clock signal 411 .
  • FIG. 1 7 A illustrates a pipeline enqueue stage for an early stall, as in the present invention.
  • Stall signal 437 is gated through gate 471 by clock 411 to buffer 473 , which stalls the enqueuing instructions 475 when the stall signal 437 is asserted.
  • the instructions 475 are passed to the instruction queue 150 .
  • a system design target frequency of 600 MHz makes generation and usage of any stall signal an inherently critical path. Pipelining the stall generation over multiple cycles enables the generation of an accurate stall signal which uses the storage space efficiently by not causing a large number of false stalls.
  • FIG. 18 is a schematic diagram illustrating the relative stages through the pipeline 461 for various instructions.
  • Each instruction I O -I 4 has been mapped at a different cycle K- 4 through K, respectively.
  • the object is to determine the stall signal for each, in particular, for illustration, cycle K.
  • the update logic produces a free count 403 of the queue which is available at cycle K- 1 .
  • I 1 and other instructions are issued from the queue and that count 407 made available to the stall logic.

Abstract

In a pipelined computer architecture in which instructions may be removed from the instruction queue out of sequence, instruction queue status at a cycle K is determined by adding together the number of invalid instructions or free rows in the queue during cycle K-2, the number of instructions issued for cycle K-1 and the number of instructions speculatively issued in cycle K-1 that have produced a cache hit, and subtracting from the sum the number of instructions enqueued for cycle K-1. The result indicates the number of invalid instructions in the queue cycle K. The number of invalid entries instructions, the number of issued instructions, and the number of enqueued instructions are preferably represented as flat vectors, so that adding is performed by shifting in one direction, while subtracting is performed by shifting in the opposite direction. The result is compared with either the number of instructions to be enqueued in the present cycle, which number is encoded, or with a predetermined value. A stall signal is generated if the indicative value is less than the encoded number or the predetermined value.

Description

    RELATED APPLICATION(S)
  • This application is a continuation of U.S. application Ser. No. 09/465,689, filed Dec. 17, 1999, which claims the benefit of U.S. Provisional Application No. 60/118,130, filed Feb. 1, 1999. The entire teachings of the above application(s) are incorporated herein by reference.
  • BACKGROUND OF THE INVENTION
  • An instruction queue is typically a random-access storage array which holds instructions between the time they are fetched from memory and when they are issued to an execution unit. The queue is typically structured as a set of rows, each of which holds one instruction.
  • In many modern microprocessors, instructions issue from the instruction queue out-of-order, with instruction prioritization managed with pointers to the oldest and newest instructions in the queue. The concept of out-of-order execution is also called “dynamic execution” or “dynamic scheduling”. The queue structure itself may also be called an “instruction buffer”, “re-order buffer”, or “scoreboard”.
  • In some CPUs, for example, the instruction queue is called a “Re-order Buffer.” There are two buffers, one for ALU instructions and one for memory operations, each containing twenty-eight entries. Instructions remain in a buffer from the time they are fetched until they are retired, and are not removed at issue time. Instructions are inserted into a queue in a round-robin fashion based on the “newest” instruction pointer.
  • Other instruction queue architectures, sometimes called re-order buffers, appear to hold twenty-four instructions through similar execute and retirement operations.
  • Other out-of-order issue machines with a 16-entry or larger re-order buffer track the status of each in-flight instruction, and twelve integer and eight floating-point “rename buffers” assign instructions to execution units. Each execution unit has a “reservation station,” that is, an instruction buffer dedicated to an execution unit from which data-ready instructions are issued.
  • SUMMARY OF THE INVENTION
  • In processors with out-of-order instruction queues, the instruction input pipeline must stall when the queue is full. Traditional queue-full mechanisms rely on a threshold indicator which asserts a pipeline stall signal when the number of instructions in the queue reaches a fixed level. This signal is typically based on pointer comparisons, and the fixed threshold must be reached before the queue is determined to be completely filled, thus wasting queue space.
  • The present invention does not use pointers to manage queue allocation and prioritization, and hence, the above scheme is not generally applicable. Instead, a pipelined detection scheme is used which first counts the number of free queue slots, and then modifieds this count based on queue events which free or allocate queue space each cycle. The resulting free-entry count is then used in one of two ways.
  • In a floating point queue, the queue-free-slot count is compared to the number of instructions entering that cycle. Pipeline stall is asserted if there are not enough free slots available for the entire entering instruction block.
  • In an integer queue, a simpler, faster scheme is used which stalls the pipeline if there are less than a predetermined number of free queue entries in any cycle, regardless of the number of instructions in the enqueue stage that cycle.
  • At least one computer system employing the present invention has a 20-row integer queue and a 15-row floating-point queue. Each cycle, up to four instructions can be issued from the integer queue, and up to four new instructions can enter the queue. Up to two instructions can be issued from and can enter the floating-point queue. Instructions are removed from the queues two cycles after they are issued, creating empty queue rows. New instructions can enter the queue only when there are a sufficient number of empty rows in which to place the instructions. If there are not a sufficient number of empty rows, the input pipeline is stalled.
  • Instructions in the queue are prioritized to ensure that all instructions are issued from the queue in a finite amount of time, thus preventing deadlock as well as meeting performance goals by issuing oldest instructions first.
  • In a preferred embodiment, older instructions in a queue are compacted toward the bottom of the queue each cycle, while their original order is maintained. An update logic circuit generates control signals to perform the compaction. Compaction creates room at the top of the queue where new instructions enter. Maintaining instructions in-order from the bottom to the top of the queue eliminates the need for pointers to track oldest/newest queue instructions and greatly simplifies the issue prioritization process, allowing the use of fast, simple arbitration circuits.
  • Because instructions are issued out-of-order, removal of instructions from the queue leaves empty, or invalid, rows scattered throughout the queue. The remaining, i.e., valid, instructions are physically compacted in the queue toward the bottom each cycle. This leaves empty queue rows toward the top of the queue, where they are filled with instructions entering in subsequent cycles.
  • This operation can be simplified by moving instructions at most a predetermined number of rows lower each cycle. For example, since no more than four instructions enter the integer instruction queue each cycle. maximum input bandwidth is guaranteed if the predetermined number is four.
  • Instructions are moved through the queue via multiplexors associated with each queue row. In the integer queue, each multiplexor has five data inputs. For queue row N, the inputs correspond to the contents of rows N through N+4. An instruction in row N+2 is moved to row N by asserting the “N+2” multiplexor select signal. An update logic circuit generates each row's multiplexor selects to control the compaction of the queue.
  • In a fast computer system, e.g., one having a clock frequency on the order of 600 MHz, simplifying the arbitration stage, i.e., the primary critical path in the issue logic, is essential to meeting performance goals. Adding an extra stage of logic to the issue signal critical path to prioritize instructions based on pointers would mean running at a much slower cycle time, reducing the performance of the entire machine.
  • In a preferred embodiment, update logic used to compact the queue provides a count of free queue rows in cycle K-2 to the full-queue detection circuit. The number of instructions issued in cycle K-1 is added to the free row count Next, the number of instructions enqueued in cycle K-1 is subtracted from the sum of the free row count and the issue count. Finally, the number of speculatively issued instructions issued in cycle K-1 which produce a cache hit is added to the above remainder.
  • The counting, addition and subtraction operations can be simplified by using flat-vectors to represent counts and shifting operations to increment and decrement the counts.
  • The result is then encoded and compared with the number of incoming instructions. Alternatively, to save space, the number of free rows in the queue is compared with a predetermined value, preferably the maximum number of incoming instructions allowed.
  • Accordingly, in a pipelined computer architecture in which instructions may be removed from the instruction queue out of sequence, a method for detecting instruction queue status at a cycle K comprises adding together the number of invalid instructions or free rows in the queue during cycle K-2, the number of instructions issued for cycle K-1 and the number of instructions speculatively issued in cycle K-1 that have produced a cache hit, and subtracting from the sum the number of instructions enqueued for cycle K-1.
  • The result of this calculation indicates the number of invalid instructions in the queue cycle K.
  • The number of invalid entries instructions, the number of issued instructions, and the number of enqueued instructions are each preferably represented as a flat vector. Adding can therefore be performed by shifting in one direction, while subtracting can be performed by shifting in the opposite direction.
  • If the result of the calculated value indicates that the queue is full, a stall signal is generated.
  • Alternatively, a stall signal can be generated if the indicative value is less than a predetermined value, where the predetermined value is equal to or otherwise related to the maximum number of instructions that can be enqueued in one cycle.
  • In yet another alternative, a stall signal can be generated if the number of instructions to be enqueued is greater than the indicative value.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The foregoing and other objects, features and advantages of the invention will be apparent from the following more particular description of preferred embodiments of the invention, as illustrated in the accompanying drawings in which like reference characters refer to the same parts throughout the different views. The drawings are not necessarily to scale, emphasis instead being placed upon illustrating the principles of the invention.
  • FIG. 1 is a block diagram illustrating the pipeline stages of a CPU to which the present invention is applied.
  • FIG. 2 is a block diagram showing the relationship between the issue logic, update logic, and execution units of the CPU.
  • FIG. 3 is a block diagram describing the organization of the issue logic.
  • FIG. 4 is a timing diagram illustrating the register request, arbitration, scoreboard update, and instruction execution.
  • FIG. 5 is a schematic diagram illustrating the instruction queue compaction process of the present invention
  • FIG. 6 is a schematic diagram illustrating one of the multiplexors which move instructions through the queue.
  • FIG. 7 is a schematic diagram illustrating the counters and valid bits associated with each queue row.
  • FIG. 8 is a schematic diagram illustrating a preferred method of generating the update signals from the counters.
  • FIG. 9 is a block diagram of a preferred embodiment of the Update Logic circuit for five of the twenty queue rows.
  • FIG. 10 is a schematic diagram of a simplified circuit of a local stage 1 adder circuit of FIG. 9.
  • FIG. 11 is a schematic diagram illustrating a preferred implementation of generating update signals for the valid indicators.
  • FIG. 12 is a schematic diagram illustrating a problem which the implementation shown in FIG. 11 resolves.
  • FIG. 13 is a block diagram illustrating the stall logic of a preferred embodiment of the present invention.
  • FIG. 14 is a simplified schematic illustrating the adder stages which make up the event counters of FIG. 13.
  • FIG. 15 is a simplified schematic of the add/subtract circuitry of FIG. 13.
  • FIG. 16A is a simplified schematic of the comparator of FIG. 13 used with the floating-point queue.
  • FIG. 16B is a simplified schematic of the comparator of FIG. 13 used with the integer queue.
  • FIGS. 17A and 17B are simplified schematic illustrating a pipeline enqueue stage for an early stall, as in the present invention, and for a late stall, respectively.
  • FIG. 18 is a schematic diagram illustrating the relative stages through the pipeline of various instructions.
  • DETAILED DESCRIPTION OF THE INVENTION
  • FIG. 1 is a block diagram illustrating the pipeline stages of a CPU 10 in which the present invention is implemented. Specifically, during fetch stages 0 and 1, four instructions 12 are fetched per machine cycle from the instruction cache 14.
  • Mapping pipeline stage 2 assigns the virtual registers identified in the fetched instruction to physical registers maintained in the CPU 10. In the preferred embodiment, four instructions are renamed per cycle, assigning up to eight source and four destination registers. Further, there are eighty physical integer registers and seventy-two physical floating point registers. These mappings are performed in a separate integer register mapper 22 and a floating point register mapper 24.
  • Queue pipeline stage 3 issues instructions out-of-order when data is ready, i.e., when the registers containing the data are available. In the preferred embodiment, there are twenty instruction rows in the integer queue 26 and fifteen instruction rows in the floating point queue 28. Four integer instructions issue per cycle, and up to two floating point instructions issue per cycle.
  • Instructions leave the queues after they issue and the empty rows are marked as invalid. Valid flags or indicators are maintained in valid indicator storage locations. A valid indicator storage location is associated with each queue row.
  • In register pipeline stage 4, dual integer register files 30, 32 pass the data required by the issued instructions to four integer execution units 3440. In a similar fashion, floating point register file 42 passes the required data for the floating point instructions to floating point divide/squareroot unit 44 and floating point multiply unit 46.
  • Two of the integer execution units 36, 40 have the capability to issue addresses to data cache 48, enabling two load/stores per cycle. The data cache 48 communicates off-chip via the bus interface 50.
  • FIG. 2 shows the general layout for integer execution. Four integer execution units 34-40 are arranged in two clusters, Cluster 0 and Cluster 1. Each cluster contains a complete copy of the register file: register file 0 30 and register file 1 32. The physical distance between the clusters requires that one cycle of latency exist between the conclusion of an operation in one cluster and the availability of the destination register in the other cluster. Register result data crosses between the clusters in intercluster register bypass buses 56.
  • The issue logic 100 schedules instructions to minimize the inter-cluster latency. The instructions are statistically assigned to request either the upper or lower pairs of execution units. This allows the instructions to have an opportunity to issue on the same cluster that produces the dependent register.
  • FIG. 3 shows the issue logic data path for the instruction queue. It contains twenty rows, one instruction occupying each row. The instructions are ordered from the oldest, INST0, at the bottom to the newest, INST19, in row 19, at the top. Up to four instructions enter the instruction queue per cycle, requiring compaction of the instructions remaining in the queue toward the bottom every cycle.
  • Register scoreboard logic is divided in halves 102, 104 book-ending the update 106, valid 108, arbiter 110, and request logic 112. Register scoreboard logic 102 holds the forty even registers and register scoreboard logic 104 holds the odd registers of the eighty integer physical registers, in the preferred embodiment.
  • For every instruction and each potential register required by the instructions, the register scoreboard logic holds two decoded fields (see fields a, b in register score board logic 104 at instruction INST0). The lower field is the decoded source register, and the upper field is the decoded destination register. Each cycle, the source field is compared to outstanding destination register numbers and a match signal is generated on one of two register request wires 114 running across the data path.
  • The request logic 112 stores execution unit assignment information. Each of the execution units 34-40 may execute different instructions or may or may not have access to the data cache 48. The request logic combines the execution assignment information with the two register request signals 114. The output is a four bit field 116 that indicates the execution units requested to the arbiter 110 by each row/instruction.
  • The arbiter 110 contains two pick-oldest-two arbiter circuits operating in parallel to choose up to four instructions each cycle for execution.
  • The valid logic 108 maintains a valid bit for each row and pulls one of the request lines when invalid. It calculates a new valid bit each cycle based on issue, invalidate, and reset information.
  • Finally, the update logic 106 accepts five new valid bits each cycle and generates five select signals 117 used to compact the instructions in the queues 102, 104.
  • FIG. 4 is a timing diagram showing the progress of instructions from request to execution. In the illustrated example, ADD enters the queue, requests an execution unit, and wins the arbitration by the end of machine cycle 3, i.e., request and arbitration occur within one machine cycle. The issue signal is an index into the array with the instruction data enabling the instruction read in cycle 4. Passage of the instruction to the execution unit enables the register file read in the end of cycle 4 and the instruction execution in cycle 5.
  • Next, the SUB instruction can request to issue in cycle 4 since in the present invention, issuance of single cycle instructions makes available the corresponding registers for the next machine cycle. In this case, destination register R3 of ADD will be reflected as available in the scoreboard logic 102, 104 for the subsequent SUB, which uses R3 as the source register.
  • The issued instructions participate in the update calculation, which will overwrite that row in cycle 4 for the ADD and cycle 5 for the SUB, since in those cycles the corresponding instructions have exited the queue. This provides queue compaction at the beginning of each cycle as indicated by shaded region 116. The update logic calculates multiplexor select signals from valid states of the instructions in the queue and moves enough instructions to the bottom of the queue to free up to four rows at the top for newly fetched instructions.
  • Queue Compaction
  • FIG. 5 illustrates the instruction queue compaction process 156. For example, before compaction, the instruction queue 150A holds several valid instructions, INST A-INST j. Since instructions are issued out-of-order, removal of instructions from the queue leaves empty, or invalid, rows, indicated by asterisks (*), scattered throughout the queue 150A.
  • Each cycle, the remaining valid instructions INST A-INST J are physically compacted in the queue, toward the bottom, i.e., row 0, resulting in the queue as shown at 150B. In a preferred embodiment, compaction is simplified by moving instructions at most four rows each cycle. Because no more than four instructions enter the queue each cycle, the first four empty rows 152 will always be at the top of the queue. Thus, up to four new instructions are placed at the top of the queue, at 154, each cycle.
  • FIG. 6 illustrates one of the multiplexors 130 which move instructions through the queue. Preferably, one multiplexor is associated with each queue row. Here, queue row N 138 is shown with its associated multiplexor 130.
  • In the illustrated embodiment, each multiplexor has five data inputs 136. For row N, for example, these inputs 136 correspond to the instructions stored in rows N through N+4. One of the input instructions is selected by the multiplexor 130 and passed to queue row N 138. Five select signals UPDATE<4-0>134, generated for each row by the update logic circuit 106 (FIG. 3), determine which of the five input instructions 136 will be moved to row N. For example, an instruction at row N+2 is moved two rows lower to row N by asserting the UPDATE<2>select signal to the multiplexor 130 for row N.
  • Note that each instruction stored in the queue forms an input to its own multiplexor as well as the multiplexors associated with the four rows immediately below. For example, the contents 140 of queue row N, is routed to multiplexors associated with rows N, N-1, N-2, N-3 and N4, as shown at 142.
  • The update logic circuit 106 (FIG. 3) generates each row's multiplexor select signals to control the compaction of the queue. For each queue row, the update logic circuit counts the number of invalid rows from the bottom of the queue up to and including the instant row. This count saturates at four in the illustrated embodiment since instructions are shifted at most by four rows per cycle.
  • FIG. 7 illustrates the counters and valid bits associated with each queue row, where each counter is a flat vector. That is, for each row, five count bits are generated, only one of which is set at any time. Here, each counter 161 of counter block 160 is a vector counter associated with the corresponding queue row. In any counter, the position of the set bit indicates the number of invalid rows in the queue from that row to the bottom of the queue. Valid indicator storage locations 162 hold valid indicators associated with each queue row are also shown, a 1 here indicating a valid instruction in the corresponding queue row.
  • For example, row 0's valid indicator is 1, indicating that row 0 in the queue holds a valid instruction. Since there are no invalid instructions below, the bit corresponding to a count of 0 is set, and row 0's counter is <00001>.
  • Row 1 is empty, so the corresponding valid indicator is 0. Thus, there is one empty instruction up to and including row 1, so the bit corresponding to a count of 1 is set, yielding a counter value of <00010>for row 1.
  • As can be seen from rows 6 and up, the counters saturate at a value of 4, i.e., <10000>. As indicated by the arrows 164, each valid instruction is moved toward the bottom of the queue by the number of rows indicated by the corresponding counter.
  • Preferably, for each row in the queue, for example, row N, the update logic circuit 106 forms five multiplexor select signals, UPDATE<4:0>from counters 161 associated with rows N through N+4, and drives the select signals across the entire queue datapath at the start of each new cycle.
  • FIG. 8 illustrates a preferred method of generating the update signals from the counters. For row 0, UPDATE<4:0>signals 134 are formed by combining the counter bits from rows 0 to 4 which lie in the diagonal 170, respectively. Each bit in the diagonal is logically ANDed with its row's valid bit to mask the count when the row contains an invalid instruction. Masked bits are indicated with asterisks (*). This has the effect of turning on only one UPDATE signal for each row when receiving a valid instruction, while shutting off all UPDATE signals for rows into which only invalid instructions would be shifted. This saves a large amount of power in the queue because mvand instructions are not moved within the queue. They are either overwritten with valid instructions or with the precharged value of the shift multiplexor 130.
  • Thus, for example, while the diagonal 170 corresponding to row 0 has the value <00111>, the masked UPDATE signals 134 which derive from the diagonal 170 ANDed with valid indicators for rows 0-4, or <11001>, are <00001>. This drives the multiplexor 130 to select the instruction stored in row 0. Thus the valid instruction in row 0 is not moved.
  • The update logic circuit 106 preferably extends beyond the queue to include four extra rows. These rows represent the four incoming instructions 172, which will enter the queue on the next cycle. Their valid bits 176 are counted and additional counters 174 are provided which affect the queue multiplexor select lines in the same manner as valid bits for instructions within the queue.
  • As FIG. 9 illustrates, the update logic circuit 106 has one cycle of latency and consists of two stages, each taking one phase to complete. FIG. 9 illustrates a 5-row slice 200 of the 20-row update datapath. The structure of the datapath is similar to a carry lookahead adder, with the global adders performing the lookahead function.
  • Stage 1 201 counts instruction invalid bits up to and including each row and saturates at four. Stage 1 adders are broken into groups of five rows, as shown, to reduce their delay. Local adders 207 in four of the five rows sum the invalid bits in the complementary valid/invalid input pairs 205 within the group up to and including the designated row. A global adder 203 sums all five invalid bits within each group, similar to a lookahead adder. Local and global adder sums 208 are then driven into stage 2 211 through latch 209 and gates 213.
  • Stage 2 211 combines local and global sums 208 from stage 1 201 with Stage 1 global sums 224 from the groups below the instant group to form multiplexor selects 134 for each instruction queue row as described previously. The global sums from the groups below 224 and from the instant group are passed to the group above at 225.
  • The multiplexor select wiring 219 generates the update signals 227 from the diagonals of the counters as described above. The update signals 227 pass through gates 221 when enabled by clock signal 223, forming Stage 2 outputs 134. The Stage 2 outputs 134 are driven across the entire instruction queue, and control precharged NMOS pass transistor multiplexors to shift instructions within the queue.
  • FIG. 10 illustrates an update circuit Stage 1 adder 207 of FIG. 9 in detail.
  • Clock 233 has two phases, phase A and phase B. Each instruction's new valid bit is calculated from its issue signal during the clock's low assertion time, phase B. In phase A, or the high assertion time of clock 233, the valid bit is shifted into its new row through a precharged NMOS pass multiplexor 231, and dominoes into the stage 1 adder 207 using the old update multiplexor outputs 134, via gates 254 and 256. Note that complementary versions 205A, 205B of each row's valid bit are needed for the pass/shift function. The example of FIG. 10 has each of three rows drive into the stage 1 adder cells.
  • The adder 207 is implemented as a 5-bit precharged NMOS shifter array for fast addition, as illustrated by the center cell 258. The adder 207 adds by shifting a token left one bit for each valid entry. The five bits represent an invalid row count that saturates at four. The illustrated local adder 207 sums valid bits for three rows.
  • The stage 1 sum 208 is latched at 209 and driven to stage 2 in phase B. The update logic stage 2 output is turned into multiplexor selects as previously described and is driven across the issue logic datapath during the next phase A, which closes the loop.
  • As shown in FIG. 8, each rows' count bits are logically ANDed with that row's valid bit to mask the count when the row contains an invalid instruction. This has the effect of turning on only one UPDATE signal for each row when receiving a valid instruction, while shutting off all UPDATE signals for rows into which only invalid instructions would be shifted. This saves a large amount of power in the queue because invalid instructions are not moved within the queue: they are either overwritten with valid instructions or with the precharged value of the shift multiplexor.
  • However, in a preferred implementation, the two complementary valid bits associated with each instruction within the queue must be shifted properly and not dropped, even when that instruction is invalid, in order to guarantee correct results from the issue logic. These valid bits cannot simply receive the precharge value from the shift multiplexor when the row is invalid because that would destroy the information in those bits, which is needed.
  • FIG. 11 thus illustrates an implementation for generating update signals for shifting the valid indicators during compaction. A modified diagonal 170A is formed for each row. A second multiplexor 130A is associated with each queue row, using the same UPDATE<3-0>signals as the first multiplexor 130 (FIG. 8), but using an alternate select bit UPDATE<5>in place of UPDATE<4>. This alternate select UPDATE<5>is generated for each row N using the most significant bit, or count<4>wire, from row N+3, unmasked by the row's valid indicator.
  • This signal UPDATE<5>indicates that a count of 3 was found up to row N+3, and will become a count of 4 at row N+4 if row N+4 is invalid. If row N+4 is valid, the count stays at 3 and this bit will be off and does not conflict with UPDATE<3>which also comes from row N+3. This unmasked bit allows one UPDATE multiplexor select to remain on, thus selecting correct control values for these two bits even for invalid instructions.
  • FIG. 12 illustrates why the unmasked UPDATE<5>bit is taken from row N+3 rather than row N+4. Assume that all rows up to and including row N hold valid instructions and that rows N+1 through N+4 do not hold valid instructions. If the unmasked UPDATE<5>signal were taken from row N+4, the count value would be <10001>300, possibly causing improper overwriting of the valid indicator for row N. On the other hand, when the UPDATE<5>signal is taken from row N+3, as in a preferred embodiment, the resulting count 302 is <00001>and there is no conflict.
  • Full Queue Detection
  • Overview
  • As previously discussed, each cycle, the update logic 106 compacts instructions in the queue toward the bottom. At small additional cost, the update logic circuit can provide a count of the total number of free entries in the queue in cycle K-2. This count requires 1 cycle to generate, and is not available until the beginning of cycle K-1.
  • In cycle K, all significant events which allocated space (decreasing the free count) or freed up space in the queue during cycle K-1 are calculated into the free count. This gives a total count of the free space available in the queue to instructions entering in cycle K. The equation is
    T K =A K−2 +B K−1 −C K−1 D K−1   (1)
    where
  • TK is the number of free queue entries in cycle K,
  • AK−2 is the number of free queue entries in cycle K-2 as reported by the update logic circuit,
  • BK−1 is the issue count for cycle K-1, i.e., the number of instructions issued in cycle K-1,
  • CK−1 is the number of instructions enqueued in cycle K-1, and
  • DK−1 is the number of instructions speculatively issued in cycle K-1 which have produced a cache hit.
  • Speculatively issued instructions are instructions which consume the results of previously-issued producer load instructions whose cache hit/miss is not known at the time of issuance. These consumers are issued “speculatively” assuming producer cache hit, and killed if the producer load instructions miss in the cache.
  • In the floating-point queue, the free-entry count TK is compared to the number of instructions entering in cycle K, asserting pipeline stall during cycle K if there are not enough free slots for the entire instruction block to enter, i.e., if TK is less than the number of instructions entering. For example, when there are three free rows and three incoming instructions, the pipeline does not stall. A count of three free rows with four incoming instructions does cause a pipeline stall.
  • In the integer queue, a simpler, faster comparison scheme is used. Here, the pipeline is stalled if there are less than four free queue entries in any cycle, i.e., TK<4, regardless of the number of instructions in the enqueue stage that cycle. This comparison scheme is basically the fixed threshold scheme implemented for an out-of-order instruction queue.
  • By extending the count in the top row of the update lock to eight, for the integer queue, the queue free count is usable in the queue-full stall circuit
  • A count of eight is sufficient because, in Eq. (1), a maximum of 4 is subtracted from the count, whose value must be at least 4 in order not to stall. Thus, a range of 0 to 7 is sufficient to represent the values for which a stall can occur. The update logic count circuit represents values 0-7 with explicit wires. Values of 8 or greater are represented with none of the values 0 to 7 asserted. Negative values cannot occur.
  • The free-row count is driven from the update logic into the queue-stall logic.
  • Queue Stall Logic
  • FIG. 13 is a block diagram illustrating the stall logic 401 of a preferred embodiment of the present invention.
  • The free count A K−2 403 comes from the update logic circuit 106 and is translated to proper voltages by pulldown circuit 405. Valid bits of instructions issued in cycle K-1 are used to add BK−1, the number of instructions issued in cycle K-1, to the free count in counter 409. The total free count plus issued instructions, calculated by counter 409, are clocked through latch 410 to pulldown circuit 413 of the adder/subtracter circuit 440.
  • CK−1, the number of instructions 415 enqueued in cycle K-1 is counted by counter 417. The enqueued instruction count from counter 417 is latched by latch 418 to the adder/subtracter circuit 440. Subtracter 419 subtracts the number of enqueued instructions in cycle K-1 from the total of the free count plus issued instructions.
  • DK−1, the number of speculatively issued instructions that produce a cache hit 421 in cycle K-1 is counted by counter 423. This count is then latched by latch 424 and added at adder 425 to the result of subtracter 419.
  • In the floating point queue, valid indicators 427 of instructions requesting to be enqueued at cycle K are clocked by latch 429 to encoder 431 which encodes a count of valid instructions to be enqueued to simplify the later comparison. The encoded count is latched through latch 432 and compared at comparator 433 with the result of the adder/subtracter circuit 440.
  • Note that in the integer queue, encoder 431 is not needed because the result of the adder/subtracter circuit 440 is compared with a fixed value, 4 in the preferred embodiment.
  • In either embodiment, the output of comparator 433 is latched by latch 435 which is clocked by clock signal 411. The output is a stall signal 437 which is gated by gate 439 and clocked by clock signal 411 to stall the input pipeline. The stall signal is also used to disable latch 429.
  • The counted events do not include all possible changes to the queue contents. This is because some events, such as kills, are relatively uncommon and have little effect on the queue when averaged over a long period of time. Note that these events can only be left out if they add free entries to the queue—i.e., tradeoff a small amount of performance for area and a cycle time advantage. Thus, a conservative count of free entries is created by leaving out small positive credits to the queue accounting. This allows the implementation of the stall logic in a small area while meeting cycle time goals by using queue entries as efficiently as possible.
  • FIG. 14 illustrates a typical counter from FIG. 13, comprising several adder stages.
  • Each event counter, e.g., counter 417, is implemented as a precharged NMOS carry chain, and is represented as a flat, unencoded vector to enable addition by a logical right shift. For example, incrementing is accomplished by shifting the current value right one position, while decrementing is accomplished by shifting the current value left one position.
  • The adder 417 shown in FIG. 14 counts, for example, instructions enqueued in cycle K-1. It comprises four adder stages 417A-417D each of which can shift a bit one position to the left or right, depending on the value of the valid high and valid low complementary bits 445, which are clocked into the adder stages via clock 411 through gates 447. The resulting flat vector count is latched through latch 418 and through gates 443 to the adder/subtracter circuit 440.
  • FIG. 15 is a schematic of the adder/subtracter circuit 440 of FIG. 13. The output 429 of this circuit is a total count of the free entries in the queue.
  • The input 413 is 8 bits wide internally to handle large intermediate counts. For example, an initial free entry count of 7, less 4 enqueued instructions from the previous cycle K-1, with no instructions issued in cycle K-1, leaves a total of three free queue entries. The input pipeline must stall if there are four instructions enqueuing in the current cycle K.
  • The pulldown section 413 provides proper bias to the count from counter 409 (FIG. 13). This count is then clocked via clock 411 to the subtracter stage 419, the output of which requires only five bits.
  • The output of subtracter stage 419 is clocked via clock 411 to adder stage 425. The adder stage 425 adds the number of speculatively issued instructions from counter 423. The output of the adder stage is clocked through via clock 411, and buffered by gates 427 to comparator 433 (FIG. 13).
  • The output 429 of the adder/subtracter circuit 440 comprises four wires representing the total free row count for cycle K, for values between 0 and 3. Negative values cannot occur. Positive values larger than 3 result in none of the wires being asserted, indicating to the comparator that a stall cannot occur since there are at least 4 free rows in the queue.
  • First Embodiment Queue Enqueue Bit Counter and Stall Comparator
  • FIG. 16A is a simplified schematic of the comparator of FIG. 13 used with the floating-point queue embodiment. The floating-queue enqueue bit counter is preferably an encoder which simplifies the comparison operation. Rather than being a simple count of the valid bits of enqueuing entries, the count is encoded in four bits <4:1>as follows, where enqueue count<4-1>is the output of the encoder 43 1.
    No. of enqueuing
    instructions enqueue_count<4-1>
    1 <0001>
    2 <0011>
    3 <0111>
    4 <1111>
  • This encoding allows generation of the stall signal by a simple comparison.
  • Comparator circuit 433A corresponds to the comparator 433 of FIG. 13 for the floating-point embodiment Free_entries<3-0>are the outputs 429 of the adder/subtracter circuit of FIG. 15.
    stall = (free_entries<3> & enqueue_count<4>) |
    (free_entries<2> & enqueue_count<3>) |
    (free_entries<1> & enqueue_count<2>) |
    (free_entries<0> & enqueue_count<1>)

    Second Embodiment Queue Stall Comparator
  • FIG. 16B is a simplified schematic of the comparator of FIG. 13 used with the integer queue. The integer queue has a simplified queue comparator 433B, corresponding to 433 of FIG. 13 for the integer embodiment, to save space. Performance studies have indicated that the performance degradation as a result of this simplification is acceptable.
  • The integer queue stall in any cycle when there are less than four free queue entries, independent of the number of enqueuing instructions in that cycle. Thus, the integer embodiment does not require an encoder. Inputs to the comparator 433B are the free_entries<3-0>count 429 and a clock signal 411. The generation of the stall signal is thus reduced to:
    stall=free_entries<3>|free_entries<2>|free_entries<1>|free_entries<0>
    Design Tradeoffs
  • FIG. 1 7A illustrates a pipeline enqueue stage for an early stall, as in the present invention. Stall signal 437 is gated through gate 471 by clock 411 to buffer 473, which stalls the enqueuing instructions 475 when the stall signal 437 is asserted. When stall is not asserted, the instructions 475 are passed to the instruction queue 150.
  • A system design target frequency of 600 MHz makes generation and usage of any stall signal an inherently critical path. Pipelining the stall generation over multiple cycles enables the generation of an accurate stall signal which uses the storage space efficiently by not causing a large number of false stalls.
  • There are a number of design tradeoffs between early availability of the stall signal and methods of stalling the front-end pipeline. If an instruction block is to fetched in cycle K, it must be held at the queue input in cycle K+1 for enqueue in following cycles.
  • As shown in FIG. 17B, if the stall signal is too late in cycle K to prevent opening the input pipeline latch in cycle K+1, an extra “stall silo” pipe stage 477 has to be added, along with a multiplexor 479, to hold the frozen instructions which did not get enqueued. This is a huge area penalty and is not practical to implement. Thus, this constrained the stall signal for instructions enqueuing in cycle K to arrive early in cycle K.
  • FIG. 18 is a schematic diagram illustrating the relative stages through the pipeline 461 for various instructions. Each instruction IO-I4 has been mapped at a different cycle K-4 through K, respectively. The object is to determine the stall signal for each, in particular, for illustration, cycle K. In cycle K-2, the update logic produces a free count 403 of the queue which is available at cycle K-1. At cycle K-1 instruction, I1 and other instructions are issued from the queue and that count 407 made available to the stall logic.
  • During this same cycle K-1 instruction I2 is enqueued. The number of enqueued instructions 415 is counted and made available in this cycle K-1. In addition, the number of speculatively issued instructions which have produced hits 421 are also counted and included in the computation. As a result the stall signal 437 is produced or not produced for instruction I3 in Cycle K
  • While this invention has been particularly shown and described with references to preferred embodiments thereof, it will be understood by those skilled in the art that various changes in form and details may be made therein without departing from the spirit and scope of the invention as defined by the appended claims.

Claims (22)

1. In a pipelined computer architecture, a method for detecting instruction queue status at a cycle K, wherein instructions are capable of being removed from the queue out of sequence, the method comprising:
determining a number of invalid instructions in the queue in cycle K-2;
determining a number of instructions issued from the queue in cycle K-1;
determining a number of instructions enqueued in the queue in cycle K-1; and
responsive to the number of invalid instructions, the number of instructions issued, and the number of enqueued instructions, determining a value indicative of a number of free rows in the queue at cycle K.
2-3. (Cancelled).
4. The method of claim 1, further comprising:
determining a count of instructions speculatively issued in cycle K-1, that have produced a cache hit, wherein determining the indicative value is further based on the count of speculatively issued instructions.
5. The method of claim 4, wherein the indicative value is equal to the sum of the number of invalid instructions, the number of issued instructions, and the count of speculatively issued instructions, less the number of enqueued instructions.
6. The method of claim 1, further comprising:
stalling an instruction pipeline responsive to the indicative value.
7. The method of claim 1, further comprising
stalling an instruction pipeline if the indicative value is less than a predetermined value.
8. The method of claim 7, wherein the predetermined value is related to a maximum number of instructions that can be enqueued in one cycle.
9. The method of claim 1, further comprising
stalling an instruction pipeline if the indicative value is less than a count of instructions to be enqueued.
10. The method of claim 9, further comprising encoding the count of instructions to be enqueued.
11. An apparatus, in a pipelined computer architecture, for detecting instruction queue status at a cycle K, wherein instructions are capable of being removed from the queue out of sequence, comprising:
means for determining a number of invalid instructions in the queue in cycle K-2;
means for determining a number of instructions issued from the queue in cycle K-1;
means for determining a number of instructions enqueued in the queue in cycle K-1; and
an adder/subtracter, responsive to the number of invalid instructions, the number of instructions issued, and the number of enqueued instructions, to determine a value indicative of the number of free rows in the queue at cycle K.
12-13. (Cancelled).
14. The apparatus of claim 11, further comprising:
an adder, responsive to a count of instructions speculatively issued in cycle K-1 that have produced a cache hit, to for use in further determining the indicative value.
15. The apparatus of claim 14, wherein the indicative value is equal to the sum of the number of invalid instructions, the number of issued instructions, and the count of speculatively issued instructions, less the number of enqueued instructions.
16. The apparatus of claim 11, further comprising:
an instruction pipeline stalling in response to the indicative value.
17. The apparatus of claim 11, further comprising an instruction pipeline stalling 16, if the indicative value is less than a predetermined value.
18. The apparatus of claim 17, wherein the predetermined value is related to a maximum number of instructions that can be enqueued in one cycle.
19. The apparatus of claim 11, further comprising an instruction pipeline stalling if the indicative value is less than the count of instructions to be enqueued.
20. The apparatus of claim 19, further comprising:
an encoder which encodes the count of instructions to be enqueued.
21. In a pipelined computer, a queue status detection circuit for detecting instruction queue status at a cycle K, comprising:
a counter circuit for determining a number of free entries in the queue in cycle K-2;
a counter circuit for determining a number of instructions issued cycle K-1;
a counter circuit for determining a number of instructions enqueued in the queue in cycle K-1; and
an adder/subtracter circuit, responsive to the number of free entries, the number of instructions issued, and the number of enqueued instructions, to determine a value indicative of the number of free entries in the queue at cycle K.
22-23. (Cancelled).
24. The queue status detection circuit of claim 21, further comprising:
an adder circuit, responsive to a count of instructions speculatively issued in cycle K-1 that have produced a cache hit, for use in further determining the indicative value.
25.-33. (Cancelled)
US10/945,710 1999-02-01 2004-09-21 Method and circuits for early detection of a full queue Abandoned US20050038979A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/945,710 US20050038979A1 (en) 1999-02-01 2004-09-21 Method and circuits for early detection of a full queue

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US11813099P 1999-02-01 1999-02-01
US09/465,689 US6542987B1 (en) 1999-02-01 1999-12-17 Method and circuits for early detection of a full queue
US10/356,943 US8090930B2 (en) 1999-02-01 2003-01-31 Method and circuits for early detection of a full queue
US10/945,710 US20050038979A1 (en) 1999-02-01 2004-09-21 Method and circuits for early detection of a full queue

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/356,943 Continuation US8090930B2 (en) 1999-02-01 2003-01-31 Method and circuits for early detection of a full queue

Publications (1)

Publication Number Publication Date
US20050038979A1 true US20050038979A1 (en) 2005-02-17

Family

ID=26815999

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/465,689 Expired - Fee Related US6542987B1 (en) 1999-02-01 1999-12-17 Method and circuits for early detection of a full queue
US10/356,943 Active 2024-12-03 US8090930B2 (en) 1999-02-01 2003-01-31 Method and circuits for early detection of a full queue
US10/945,710 Abandoned US20050038979A1 (en) 1999-02-01 2004-09-21 Method and circuits for early detection of a full queue

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US09/465,689 Expired - Fee Related US6542987B1 (en) 1999-02-01 1999-12-17 Method and circuits for early detection of a full queue
US10/356,943 Active 2024-12-03 US8090930B2 (en) 1999-02-01 2003-01-31 Method and circuits for early detection of a full queue

Country Status (1)

Country Link
US (3) US6542987B1 (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070074005A1 (en) * 2005-09-27 2007-03-29 Ibm Corporation Method and apparatus for issuing instructions from an issue queue in an information handling system
US20070198812A1 (en) * 2005-09-27 2007-08-23 Ibm Corporation Method and apparatus for issuing instructions from an issue queue including a main issue queue array and an auxiliary issue queue array in an information handling system
US20090106530A1 (en) * 2007-10-17 2009-04-23 Christian Lauterbach System, method, and computer program product for generating a ray tracing data structure utilizing a parallel processor architecture
US20140181416A1 (en) * 2012-12-21 2014-06-26 Arm Limited Resource management within a load store unit
US10802829B2 (en) 2017-11-30 2020-10-13 International Business Machines Corporation Scalable dependency matrix with wake-up columns for long latency instructions in an out-of-order processor
US10884753B2 (en) * 2017-11-30 2021-01-05 International Business Machines Corporation Issue queue with dynamic shifting between ports
US10901744B2 (en) 2017-11-30 2021-01-26 International Business Machines Corporation Buffered instruction dispatching to an issue queue
US10922087B2 (en) 2017-11-30 2021-02-16 International Business Machines Corporation Block based allocation and deallocation of issue queue entries
US10929140B2 (en) 2017-11-30 2021-02-23 International Business Machines Corporation Scalable dependency matrix with a single summary bit in an out-of-order processor
US10942747B2 (en) 2017-11-30 2021-03-09 International Business Machines Corporation Head and tail pointer manipulation in a first-in-first-out issue queue
US11204772B2 (en) 2017-11-30 2021-12-21 International Business Machines Corporation Coalescing global completion table entries in an out-of-order processor

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6738896B1 (en) 1999-02-01 2004-05-18 Hewlett-Packard Development Company, L.P. Method and apparatus for determining availability of a queue which allows random insertion
US6542987B1 (en) * 1999-02-01 2003-04-01 Hewlett-Packard Development Company L.P. Method and circuits for early detection of a full queue
US7406554B1 (en) * 2000-07-20 2008-07-29 Silicon Graphics, Inc. Queue circuit and method for memory arbitration employing same
US6754808B1 (en) * 2000-09-29 2004-06-22 Intel Corporation Valid bit generation and tracking in a pipelined processor
US7139898B1 (en) * 2000-11-03 2006-11-21 Mips Technologies, Inc. Fetch and dispatch disassociation apparatus for multistreaming processors
US7593976B1 (en) 2003-01-06 2009-09-22 Marvell Israel (M.I.S.L.) Ltd. Method and apparatus for finding the next free bit in a register
US7360064B1 (en) 2003-12-10 2008-04-15 Cisco Technology, Inc. Thread interleaving in a multithreaded embedded processor
US7441101B1 (en) 2003-12-10 2008-10-21 Cisco Technology, Inc. Thread-aware instruction fetching in a multithreaded embedded processor
US7206922B1 (en) 2003-12-30 2007-04-17 Cisco Systems, Inc. Instruction memory hierarchy for an embedded processor
US7152152B2 (en) * 2004-01-22 2006-12-19 International Business Machines Corporation Method of avoiding flush due to store queue full in a high frequency system with a stall mechanism and no reject mechanism
US20070180157A1 (en) * 2006-02-01 2007-08-02 International Business Machines Corporation Method for cache hit under miss collision handling
US9223701B2 (en) * 2013-04-12 2015-12-29 Arm Limited Data processing apparatus and method for performing load-exclusive and store-exclusive operations
US9141291B2 (en) * 2013-11-26 2015-09-22 Sandisk Technologies Inc. Adaptive context disbursement for improved performance in non-volatile memory systems
US10929139B2 (en) * 2018-09-27 2021-02-23 Qualcomm Incorporated Providing predictive instruction dispatch throttling to prevent resource overflows in out-of-order processor (OOP)-based devices
CN110673786B (en) * 2019-09-03 2020-11-10 浪潮电子信息产业股份有限公司 Data caching method and device
US11741024B2 (en) * 2019-11-26 2023-08-29 Intel Corporation Clock crossing FIFO status converged synchronizer
CN111538533B (en) * 2020-04-07 2023-08-08 江南大学 Class adder-based instruction request circuit and out-of-order instruction transmitting architecture
CN112085128B (en) * 2020-10-27 2022-06-07 苏州浪潮智能科技有限公司 Image identification method, device and medium based on pulse array
KR20230010768A (en) * 2020-11-06 2023-01-19 양쯔 메모리 테크놀로지스 씨오., 엘티디. Pseudo-asynchronous multi-plane independent reading

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4229790A (en) * 1978-10-16 1980-10-21 Denelcor, Inc. Concurrent task and instruction processor and method
US4847755A (en) * 1985-10-31 1989-07-11 Mcc Development, Ltd. Parallel processing method and apparatus for increasing processing throughout by parallel processing low level instructions having natural concurrencies
US5155843A (en) * 1990-06-29 1992-10-13 Digital Equipment Corporation Error transition mode for multi-processor system
US5619730A (en) * 1990-10-17 1997-04-08 Mitsubishi Denki Kabushiki Kaisha Pipelining device in a parallel processing apparatus and an instruction supplying method therefor
US5627983A (en) * 1989-12-15 1997-05-06 Hyundai Electronics America Processor architecture providing out-of-order execution
US5752255A (en) * 1992-10-01 1998-05-12 Digital Equipment Corporation Dynamic non-coherent cache memory resizing mechanism
US5822559A (en) * 1996-01-02 1998-10-13 Advanced Micro Devices, Inc. Apparatus and method for aligning variable byte-length instructions to a plurality of issue positions
US5870578A (en) * 1997-12-09 1999-02-09 Advanced Micro Devices, Inc. Workload balancing in a microprocessor for reduced instruction dispatch stalling
US5872943A (en) * 1996-07-26 1999-02-16 Advanced Micro Devices, Inc. Apparatus for aligning instructions using predecoded shift amounts
US5872946A (en) * 1997-06-11 1999-02-16 Advanced Micro Devices, Inc. Instruction alignment unit employing dual instruction queues for high frequency instruction dispatch
US6112019A (en) * 1995-06-12 2000-08-29 Georgia Tech Research Corp. Distributed instruction queue
US6115807A (en) * 1997-12-19 2000-09-05 Intel Corporation Static instruction decoder utilizing a circular queue to decode instructions and select instructions to be issued
US6542987B1 (en) * 1999-02-01 2003-04-01 Hewlett-Packard Development Company L.P. Method and circuits for early detection of a full queue

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4229790A (en) * 1978-10-16 1980-10-21 Denelcor, Inc. Concurrent task and instruction processor and method
US4847755A (en) * 1985-10-31 1989-07-11 Mcc Development, Ltd. Parallel processing method and apparatus for increasing processing throughout by parallel processing low level instructions having natural concurrencies
US5627983A (en) * 1989-12-15 1997-05-06 Hyundai Electronics America Processor architecture providing out-of-order execution
US5155843A (en) * 1990-06-29 1992-10-13 Digital Equipment Corporation Error transition mode for multi-processor system
US5619730A (en) * 1990-10-17 1997-04-08 Mitsubishi Denki Kabushiki Kaisha Pipelining device in a parallel processing apparatus and an instruction supplying method therefor
US5752255A (en) * 1992-10-01 1998-05-12 Digital Equipment Corporation Dynamic non-coherent cache memory resizing mechanism
US6112019A (en) * 1995-06-12 2000-08-29 Georgia Tech Research Corp. Distributed instruction queue
US5822559A (en) * 1996-01-02 1998-10-13 Advanced Micro Devices, Inc. Apparatus and method for aligning variable byte-length instructions to a plurality of issue positions
US5872943A (en) * 1996-07-26 1999-02-16 Advanced Micro Devices, Inc. Apparatus for aligning instructions using predecoded shift amounts
US5872946A (en) * 1997-06-11 1999-02-16 Advanced Micro Devices, Inc. Instruction alignment unit employing dual instruction queues for high frequency instruction dispatch
US5870578A (en) * 1997-12-09 1999-02-09 Advanced Micro Devices, Inc. Workload balancing in a microprocessor for reduced instruction dispatch stalling
US6115807A (en) * 1997-12-19 2000-09-05 Intel Corporation Static instruction decoder utilizing a circular queue to decode instructions and select instructions to be issued
US6542987B1 (en) * 1999-02-01 2003-04-01 Hewlett-Packard Development Company L.P. Method and circuits for early detection of a full queue
US20030120898A1 (en) * 1999-02-01 2003-06-26 Fischer Timothy Charles Method and circuits for early detection of a full queue

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070074005A1 (en) * 2005-09-27 2007-03-29 Ibm Corporation Method and apparatus for issuing instructions from an issue queue in an information handling system
US20070198812A1 (en) * 2005-09-27 2007-08-23 Ibm Corporation Method and apparatus for issuing instructions from an issue queue including a main issue queue array and an auxiliary issue queue array in an information handling system
US7350056B2 (en) * 2005-09-27 2008-03-25 International Business Machines Corporation Method and apparatus for issuing instructions from an issue queue in an information handling system
US20090106530A1 (en) * 2007-10-17 2009-04-23 Christian Lauterbach System, method, and computer program product for generating a ray tracing data structure utilizing a parallel processor architecture
US8072460B2 (en) * 2007-10-17 2011-12-06 Nvidia Corporation System, method, and computer program product for generating a ray tracing data structure utilizing a parallel processor architecture
US20140181416A1 (en) * 2012-12-21 2014-06-26 Arm Limited Resource management within a load store unit
US9047092B2 (en) * 2012-12-21 2015-06-02 Arm Limited Resource management within a load store unit
US10802829B2 (en) 2017-11-30 2020-10-13 International Business Machines Corporation Scalable dependency matrix with wake-up columns for long latency instructions in an out-of-order processor
US10884753B2 (en) * 2017-11-30 2021-01-05 International Business Machines Corporation Issue queue with dynamic shifting between ports
US10901744B2 (en) 2017-11-30 2021-01-26 International Business Machines Corporation Buffered instruction dispatching to an issue queue
US10922087B2 (en) 2017-11-30 2021-02-16 International Business Machines Corporation Block based allocation and deallocation of issue queue entries
US10929140B2 (en) 2017-11-30 2021-02-23 International Business Machines Corporation Scalable dependency matrix with a single summary bit in an out-of-order processor
US10942747B2 (en) 2017-11-30 2021-03-09 International Business Machines Corporation Head and tail pointer manipulation in a first-in-first-out issue queue
US11204772B2 (en) 2017-11-30 2021-12-21 International Business Machines Corporation Coalescing global completion table entries in an out-of-order processor

Also Published As

Publication number Publication date
US6542987B1 (en) 2003-04-01
US20030120898A1 (en) 2003-06-26
US8090930B2 (en) 2012-01-03

Similar Documents

Publication Publication Date Title
US6542987B1 (en) Method and circuits for early detection of a full queue
US5828874A (en) Past-history filtered branch prediction
US5619662A (en) Memory reference tagging
US9058180B2 (en) Unified high-frequency out-of-order pick queue with support for triggering early issue of speculative instructions
US5835745A (en) Hardware instruction scheduler for short execution unit latencies
JP3670039B2 (en) Super scalar microprocessor
US5519841A (en) Multi instruction register mapper
US6141747A (en) System for store to load forwarding of individual bytes from separate store buffer entries to form a single load word
Farrell et al. Issue logic for a 600-mhz out-of-order execution microprocessor
US6578137B2 (en) Branch and return on blocked load or store
US5764946A (en) Superscalar microprocessor employing a way prediction unit to predict the way of an instruction fetch address and to concurrently provide a branch prediction address corresponding to the fetch address
US8769246B2 (en) Mechanism for selecting instructions for execution in a multithreaded processor
US6122727A (en) Symmetrical instructions queue for high clock frequency scheduling
US6553482B1 (en) Universal dependency vector/queue entry
US5832297A (en) Superscalar microprocessor load/store unit employing a unified buffer and separate pointers for load and store operations
US7478225B1 (en) Apparatus and method to support pipelining of differing-latency instructions in a multithreaded processor
US6212622B1 (en) Mechanism for load block on store address generation
US20100332806A1 (en) Dependency matrix for the determination of load dependencies
US20100274993A1 (en) Logical map table for detecting dependency conditions
US20130024647A1 (en) Cache backed vector registers
US6052775A (en) Method for non-intrusive cache fills and handling of load misses
US6704856B1 (en) Method for compacting an instruction queue
US6167508A (en) Register scoreboard logic with register read availability signal to reduce instruction issue arbitration latency
EP1121635B1 (en) Mechanism for load block on store address generation and universal dependency vector
US5581719A (en) Multiple block line prediction

Legal Events

Date Code Title Description
AS Assignment

Owner name: HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P., TEXAS

Free format text: CHANGE OF NAME;ASSIGNOR:COMPAQ INFORMATION TECHNOLOGIES GROUP, L.P.;REEL/FRAME:016726/0983

Effective date: 20021001

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION