US20040229460A1 - Surface treatment of metal interconnect lines - Google Patents

Surface treatment of metal interconnect lines Download PDF

Info

Publication number
US20040229460A1
US20040229460A1 US10/439,358 US43935803A US2004229460A1 US 20040229460 A1 US20040229460 A1 US 20040229460A1 US 43935803 A US43935803 A US 43935803A US 2004229460 A1 US2004229460 A1 US 2004229460A1
Authority
US
United States
Prior art keywords
layer
metal
conductive regions
nickel
copper
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/439,358
Other versions
US6955984B2 (en
Inventor
Wen-Kai Wan
Yih-Hsiung Lin
Ming-Ta Lei
Baw-Ching Perng
Cheng-Chung Lin
Chia-Hui Lin
Ai-Sen Liu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LEI, MING-TA, LIN, CHENG-CHUNG, LIN, CHIA-HUI, LIN, YIH-HSIUNG, LIU, AI-SEN, PERNG, BAW-CHING, WAN, WEN-KAI
Priority to US10/439,358 priority Critical patent/US6955984B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to TW092130119A priority patent/TWI317766B/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. CORRECTIVE ASSIGNMENT TO CORRECT NAME OF THIRD INVENTOR, PREVIOUSLY RECORDED ON REEL/FRAME 014086/0473. Assignors: LEI, MING-DAI, LIN, CHENG-CHUNG, LIN, CHIA-HUI, LIN, YIH-HSIUNG, LIU, AI-SEN, PERNG, BAW-CHING, WAN, WEN-KAI
Priority to SG200306497A priority patent/SG122792A1/en
Priority to CNB2003101142618A priority patent/CN1321439C/en
Priority to CNU2004200590373U priority patent/CN2770096Y/en
Publication of US20040229460A1 publication Critical patent/US20040229460A1/en
Priority to US11/213,238 priority patent/US8053894B2/en
Publication of US6955984B2 publication Critical patent/US6955984B2/en
Application granted granted Critical
Adjusted expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76858After-treatment introducing at least one additional element into the layer by diffusing alloying elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances

Definitions

  • the present invention relates generally to the use of a capping layer over conductors formed by a Damascene etch scheme, and more particularly to the use of forming an alloy layer comprised of metal from the metal conductors deposited by the Damascene process and a second metal formed over the conductors or leads.
  • barrier layer between the copper used for conductors and leads and the dielectric material of a semiconductor device.
  • Typical barrier layers may be formed of Ta (tantalum), TaN (tantalum nitride), Ti (titanium), TiN (titanium nitride) and various combinations of these metals as well as other metal.
  • the barrier layer is typically formed on the bottom and sidewalls of the trenches and vias of the copper interconnects to prevent the copper from diffusing into the surrounding silicon dioxide as other dielectric material.
  • a layer of silicon nitride is then typically deposited as a cover layer over the complete structure including the conductor areas and the dielectric layer before another layer or level of dielectric structure is deposited.
  • silicon nitride adheres poorly to copper and may peel away thereby creating poor interface properties including a path for copper to diffuse into the subsequent or cover layer of dielectric material. The same path may also allow moisture and contaminants to diffuse from outside into the copper so as to form porous copper oxide.
  • the '950 patent also teaches a second embodiment that does not selectively deposit a second metal but does include a heat treatment although at a greater temperature than the present invention.
  • a paper by T. Saito, et al., in proceedings of the IITC in 2001 at pages 15-17 teaches a self aligned metal capping process for Copper damascene interconnect.
  • a Tungsten capping layer is selectively formed on the Cu interconnect using the preferential deposition phenomenon of W-CVD assisted by pre and post treatment. This technology is applied to 0.2 ⁇ m bipolar-CMOS LSI with multilevel Cu interconnects, and then yield, reliability and operation speed are evaluated.
  • the present invention discloses methods and apparatus for forming a semiconductor structure comprising a first layer comprised of conductive regions such as copper or other metal interconnect lines and non-conductive regions such as a silicon dioxide dielectric or other non-conductive materials.
  • a layer of a second metal that is different from the metal of the conductive regions is formed or deposited on the conductive region such as by a electrochemical deposition process including electroless plating.
  • the electroless plating may be carried out by placing the substrate with the conductive and non-conductive region into a electroless plating chemical bath comprised of a metal ion chelate (such as a Ni (nickel ion chelate), a reduction agent such as sodium hypophosphite or Hydrazine and, if necessary, one or more agents or additives such as the accelerator sodium adipate, etc.
  • a metal ion chelate such as a Ni (nickel ion chelate)
  • a reduction agent such as sodium hypophosphite or Hydrazine
  • agents or additives such as the accelerator sodium adipate, etc.
  • the substrate including the layer of a first metal formed as the conductive region and the second metal of the cover layer interact to form an alloy such as for example, a copper/nickel (Cu/Ni) alloy.
  • Cu/Ni copper/nickel
  • the surface of the second Cu/Ni layer is then cleaned by one or more various cleaning processes well known by those skilled in
  • FIG. 1 is a prior art illustration of a semiconductor structure comprising a substrate covered by conductive regions and non-conductive regions;
  • FIG. 2A is an enlarged view of conductive regions of FIG. 1;
  • FIG. 2B illustrates the view of FIG. 1 after a second metal has been formed over the conductive regions according to the teachings of this invention
  • FIG. 2C illustrates the structure of FIG. 2B after heating the semiconductive structure so as to cause the metal of the conductive regions and the second metal to react with each other to form an alloy
  • FIG. 2D illustrates an alternate embodiment of FIG. 2C wherein the thickness of the second metal layer was greater than the depth of the copper ion migration such that there is an alloy layer and a top layer of the second metal.
  • FIG. 1 there is shown a typical semiconductor structure including a substrate 10 having a first layer of non-conductive regions 12 and conductive or interconnect regions 14 .
  • substrate 10 as used herein may simply be a silicon wafer or alternately may represent one or more layers of various semiconductor devices including interconnecting metalization layers.
  • substrate is intended to be broadly interpreted.
  • the substrate 10 may be comprised of a dielectric layer similar to the non-conductive regions 12 of FIG. 1.
  • the use of the Damascene process and the use of copper as the interconnecting layers has created new problems while at the same time solving other problems.
  • the copper may diffuse into the surrounding non-conductive or substrate areas if steps are not taken to prevent such diffusion.
  • a barrier layer 16 which stops or hinders the diffusion of the copper ions from the copper interconnecting strip 14 into the surrounding non-conductive portions or regions 12 and the substrate 10 .
  • Suitable barrier layers are well known in the art and include, for example only, Ta (tantalum), TaN (tantalum nitride), Ti (titanium) and TiN (titanium nitride) and various combinations of these and other materials.
  • this barrier layer 16 when this barrier layer 16 is provided, diffusion of the copper into the surrounding materials is slowed if not substantially eliminated.
  • the silicon nitride does not adhere well to the copper interconnect line which will typically have been subjected to CMP.
  • the conductive layer such as a layer of copper may include rough areas with pockets such as pocket 18 and/or dish areas such as dish area 20 .
  • the layer of silicon nitride may peel away leaving no barrier between the copper and the subsequent dielectric layer.
  • the barrier of silicon nitride when the barrier of silicon nitride is absent, the copper ions will readily diffuse into the cover layer of a dielectric thereby often causing electrical shorts.
  • a second metal or layer of metal material is deposited over the metal interconnect or copper line 14 such as by electrochemical depositing a metal capping layer which will adhere only to the conductive regions or copper interconnect lines.
  • an electroless plating process such as ECD (Electroless Chemical Deposition) may be used which includes providing a solution of NiCH 2 , GH 2 O or NiSO 4 , GH 2 O.
  • an electrolyte solution or chemical bath may be used which includes a metal ion chelate such as for example a nickel ion chelate in the form of EDTA (elhylenediamine tetra-acetic acid) or a citric acid solution, a reduction agent such as for example Sodium Hypophosphite (Na(H 2 PO 2 ) H 2 O) or Hydrazine, and one or more additives. It may be desirable to use several additives for different purposes. For example, sodium adipate may be used as an accelerator, thiourea as a stabilizer, sodium carbonate or ammonia as a pH adjustor and ABS-Na as a brightener.
  • a metal ion chelate such as for example a nickel ion chelate in the form of EDTA (elhylenediamine tetra-acetic acid) or a citric acid solution
  • a reduction agent such as for example Sodium Hypophosphite (Na(
  • a second metal such as nickel
  • a second layer 22 of the second metal is formed over the copper interconnect lines 14 .
  • the combined semiconductor structure of the substrate, the layer of non-conductive region (or dielectric) and conductive regions (copper interconnect lines), and the second metal capping layer 22 formed of another metal different from copper, such as for example nickel, is then subjected to a heat treatment such as a sintering temperature of between 300° and 400° C. This heat treatment will cause an inter reaction between the two metals such as for example the copper and interconnect lines 14 and the deposited nickel 22 to form an alloy layer 22 a of the two metals or Cu/Ni.
  • the resulting alloy layer 22 a will have improved adhesion with respect to a subsequent dielectric layer and excellent adhering qualities with respect to the copper interconnect line 14 thereby eliminating the tendency of the silicon nitride to peel and further reducing the reliability problems.
  • Other metals suitable for use as the metal capping layer include Co (cobalt) and Pd (palladium).
  • the structure is then preferably cleaned by a standard post CMP cleaning process.
  • the second metal layer is thick enough, or the heat treatment is of too short a duration, the copper ion migration into the second metal layer, (such as nickel), may not reach the top portions of the layer so that the top portion is comprised substantially of nickel alone without copper ions.
  • the combined structure will comprise the copper interconnect lines 14 , an alloy layer 22 a and a second metal layer 22 such as shown in FIG. 2D.

Abstract

Methods and apparatus for forming a semiconductor structure comprising a first layer on top of a substrate wherein the first layer defines conductive regions such as copper interconnect lines and non-conductive regions such as dielectric materials. The conductive regions are covered by a second layer of a material different than the first layer such as for example nickel and then the structure is heat treated such that the interconnect lines and second metal, such as a copper interconnect line and a nickel second layer, interact with each other to form an alloy layer. The alloy layer has superior qualities for adhering to both the copper interconnect lines and a subsequently deposited dielectric material.

Description

    TECHNICAL FIELD
  • The present invention relates generally to the use of a capping layer over conductors formed by a Damascene etch scheme, and more particularly to the use of forming an alloy layer comprised of metal from the metal conductors deposited by the Damascene process and a second metal formed over the conductors or leads. [0001]
  • BACKGROUND
  • As is well known by those skilled in the art, a continuing goal in manufacturing and production of semiconductors is a reduction in size of components and circuits with the concurrent result of an increase in the number of circuits and/or circuit elements such as transistors, capacitors, etc., on a single semiconductor device. This relentless and successful reduction in size of the circuit elements has also required reduction in the size of the conductive lines connecting devices and circuits. However, as the conducting lines are designed to be smaller and smaller, the resistance of the interconnects increases. Further, as the number of dielectric layers increases, the capacitive coupling between lines on the same level and adjacent level increases. [0002]
  • In the past, aluminum was used as the metal interconnect lines and silicon oxide as the dielectric. However, newer manufacturing techniques now favor copper as the metal for interconnect lines and various low K materials (organic and inorganic) are favored as the dielectric material. Not surprisingly, these material changes have required changes in the processing methods. In particular, because of the difficulty of etching copper without also causing unacceptable damage to the dielectric material, the technique of forming the metal interconnect lines has experienced significant changes. Namely, whereas aluminum interconnects could be formed by depositing a layer of aluminum and then using photoresist, lithography, and etching to leave a desired pattern of aluminum lines, the formation of copper interconnect lines are typically formed by a process now commonly referred to as a Damascene process. The Damascene process is almost the reverse of etching, and simply stated a trench, canal or via is cut, etched or otherwise formed in the underlying dielectric and is then filled with metal (i.e., copper). [0003]
  • Unfortunately, although copper has the advantages discussed above, it readily diffuses into dielectric material used in the manufacture of semiconductor devices, and it diffuses especially easily into silicon dioxide. Diffusion of copper into the dielectric materials of a semiconductor deice can cause serious reliability problems including electrical shorts. Therefore, it is typical to form a barrier layer between the copper used for conductors and leads and the dielectric material of a semiconductor device. Typical barrier layers may be formed of Ta (tantalum), TaN (tantalum nitride), Ti (titanium), TiN (titanium nitride) and various combinations of these metals as well as other metal. The barrier layer is typically formed on the bottom and sidewalls of the trenches and vias of the copper interconnects to prevent the copper from diffusing into the surrounding silicon dioxide as other dielectric material. A layer of silicon nitride is then typically deposited as a cover layer over the complete structure including the conductor areas and the dielectric layer before another layer or level of dielectric structure is deposited. [0004]
  • Unfortunately, silicon nitride adheres poorly to copper and may peel away thereby creating poor interface properties including a path for copper to diffuse into the subsequent or cover layer of dielectric material. The same path may also allow moisture and contaminants to diffuse from outside into the copper so as to form porous copper oxide. [0005]
  • Various ones of the above-mentioned problems have been addressed by the prior art, but none of the known prior art provides a solution to all of the problems in the simple and elegant method provided by the present invention. For example, U.S. Pat. No. 5,380,546, entitled “Multilevel Metallization Process for Electronic Components” and issued to Krishnan, et al., teaches the use of tungsten as both a barrier layer and a capping layer, but does not teach the unique method of forming an alloy as taught by the present invention. U.S. Pat. No. 6,130,157, entitled “Method to Form an Encapsulation Layer Over Copper Interconnects” issued to Liu, et al., teaches the use of several metals as a barrier layer and “treats” a tungsten capping layer with a nitrogen plasma, but does not teach nickel as the capping layer or simply heating to form an alloy as taught by the method of the present invention. U.S. Pat. No. 6,261,950, entitled “Self-Aligned Metal Caps for Interlevel Metal Connections” issued to Tobben, et al., does not teach the use of a barrier layer and teaches a first embodiment that selectively deposits a capping layer, but does not teach forming an alloy. The '950 patent also teaches a second embodiment that does not selectively deposit a second metal but does include a heat treatment although at a greater temperature than the present invention. A paper by T. Saito, et al., in proceedings of the IITC in 2001 at pages 15-17 teaches a self aligned metal capping process for Copper damascene interconnect. A Tungsten capping layer is selectively formed on the Cu interconnect using the preferential deposition phenomenon of W-CVD assisted by pre and post treatment. This technology is applied to 0.2 μm bipolar-CMOS LSI with multilevel Cu interconnects, and then yield, reliability and operation speed are evaluated. [0006]
  • SUMMARY OF THE INVENTION
  • These and other problems are generally solved or circumvented, and technical advantages are generally achieved, by the present invention which discloses methods and apparatus for forming a semiconductor structure comprising a first layer comprised of conductive regions such as copper or other metal interconnect lines and non-conductive regions such as a silicon dioxide dielectric or other non-conductive materials. A layer of a second metal that is different from the metal of the conductive regions is formed or deposited on the conductive region such as by a electrochemical deposition process including electroless plating. The electroless plating may be carried out by placing the substrate with the conductive and non-conductive region into a electroless plating chemical bath comprised of a metal ion chelate (such as a Ni (nickel ion chelate), a reduction agent such as sodium hypophosphite or Hydrazine and, if necessary, one or more agents or additives such as the accelerator sodium adipate, etc. The substrate including the layer of a first metal formed as the conductive region and the second metal of the cover layer interact to form an alloy such as for example, a copper/nickel (Cu/Ni) alloy. The surface of the second Cu/Ni layer is then cleaned by one or more various cleaning processes well known by those skilled in the art. [0007]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • For a more complete understanding of the present invention, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawing, in which: [0008]
  • FIG. 1 is a prior art illustration of a semiconductor structure comprising a substrate covered by conductive regions and non-conductive regions; [0009]
  • FIG. 2A is an enlarged view of conductive regions of FIG. 1; [0010]
  • FIG. 2B illustrates the view of FIG. 1 after a second metal has been formed over the conductive regions according to the teachings of this invention; [0011]
  • FIG. 2C illustrates the structure of FIG. 2B after heating the semiconductive structure so as to cause the metal of the conductive regions and the second metal to react with each other to form an alloy; and [0012]
  • FIG. 2D illustrates an alternate embodiment of FIG. 2C wherein the thickness of the second metal layer was greater than the depth of the copper ion migration such that there is an alloy layer and a top layer of the second metal. [0013]
  • DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS
  • Referring now to FIG. 1, there is shown a typical semiconductor structure including a [0014] substrate 10 having a first layer of non-conductive regions 12 and conductive or interconnect regions 14. It should be appreciated that the term substrate 10 as used herein may simply be a silicon wafer or alternately may represent one or more layers of various semiconductor devices including interconnecting metalization layers. Thus, the term substrate is intended to be broadly interpreted. For example, typically the substrate 10 may be comprised of a dielectric layer similar to the non-conductive regions 12 of FIG. 1.
  • As is well known by those skilled in the art, the use of the Damascene process and the use of copper as the interconnecting layers has created new problems while at the same time solving other problems. For example, when the conducting or interconnecting lines are made of copper, the copper may diffuse into the surrounding non-conductive or substrate areas if steps are not taken to prevent such diffusion. Thus, as shown in FIG. 1 there is also included a [0015] barrier layer 16, which stops or hinders the diffusion of the copper ions from the copper interconnecting strip 14 into the surrounding non-conductive portions or regions 12 and the substrate 10. Suitable barrier layers are well known in the art and include, for example only, Ta (tantalum), TaN (tantalum nitride), Ti (titanium) and TiN (titanium nitride) and various combinations of these and other materials. Thus, when this barrier layer 16 is provided, diffusion of the copper into the surrounding materials is slowed if not substantially eliminated. Further, it is typical to include a cover layer over the non-conductive regions 12 and the conductive regions 14 of a material such as silicon nitride if still another layer of semiconductor devices is to be formed over the first layer 12 and 14. Unfortunately, as was discussed above, the silicon nitride does not adhere well to the copper interconnect line which will typically have been subjected to CMP. Further, the conductive layer such as a layer of copper may include rough areas with pockets such as pocket 18 and/or dish areas such as dish area 20. Thus, because of the poor adhesion qualities between the copper and the protective layer of silicon nitride, it is not uncommon for serious reliability problems to occur. For example, the layer of silicon nitride (not shown) may peel away leaving no barrier between the copper and the subsequent dielectric layer. Of course as will be appreciated by those skilled in the art, when the barrier of silicon nitride is absent, the copper ions will readily diffuse into the cover layer of a dielectric thereby often causing electrical shorts.
  • Referring now to FIG. 2A, there is illustrated an enlarged view of the conductive region or [0016] copper interconnect line 14 prior to the processes of the present invention. Therefore, according to the invention a second metal or layer of metal material is deposited over the metal interconnect or copper line 14 such as by electrochemical depositing a metal capping layer which will adhere only to the conductive regions or copper interconnect lines. To form the second layer of metal which adheres to the conductive regions or copper interconnect lines, an electroless plating process, such as ECD (Electroless Chemical Deposition) may be used which includes providing a solution of NiCH2, GH2O or NiSO4, GH2O. According to another embodiment, an electrolyte solution or chemical bath may be used which includes a metal ion chelate such as for example a nickel ion chelate in the form of EDTA (elhylenediamine tetra-acetic acid) or a citric acid solution, a reduction agent such as for example Sodium Hypophosphite (Na(H2PO2) H2O) or Hydrazine, and one or more additives. It may be desirable to use several additives for different purposes. For example, sodium adipate may be used as an accelerator, thiourea as a stabilizer, sodium carbonate or ammonia as a pH adjustor and ABS-Na as a brightener. Thus, by using the ECD process or an electrolyte solution for electroless depositing, a second metal, such as nickel, onto the surface of the copper interconnect lines 14, a second layer 22 of the second metal is formed over the copper interconnect lines 14. The combined semiconductor structure of the substrate, the layer of non-conductive region (or dielectric) and conductive regions (copper interconnect lines), and the second metal capping layer 22 formed of another metal different from copper, such as for example nickel, is then subjected to a heat treatment such as a sintering temperature of between 300° and 400° C. This heat treatment will cause an inter reaction between the two metals such as for example the copper and interconnect lines 14 and the deposited nickel 22 to form an alloy layer 22 a of the two metals or Cu/Ni. The resulting alloy layer 22 a will have improved adhesion with respect to a subsequent dielectric layer and excellent adhering qualities with respect to the copper interconnect line 14 thereby eliminating the tendency of the silicon nitride to peel and further reducing the reliability problems. Other metals suitable for use as the metal capping layer include Co (cobalt) and Pd (palladium). The structure is then preferably cleaned by a standard post CMP cleaning process.
  • If the second metal layer is thick enough, or the heat treatment is of too short a duration, the copper ion migration into the second metal layer, (such as nickel), may not reach the top portions of the layer so that the top portion is comprised substantially of nickel alone without copper ions. In this situation, the combined structure will comprise the [0017] copper interconnect lines 14, an alloy layer 22 a and a second metal layer 22 such as shown in FIG. 2D.
  • Although the present invention and its advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the invention as defined by the appended claims. For example, it will be readily understood by those skilled in the art that dimensions and layer thickness may be varied while remaining within the scope of the present invention. [0018]
  • Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present invention, processes, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present invention. Accordingly, the appended claims are intended to include within their scope such processes, methods, or steps. [0019]

Claims (35)

What is claimed is:
1. A method of forming a semiconductor structure comprising the steps of:
forming a first layer over a substrate, said first layer having non-conductive regions and conductive regions of a first metal;
electrochemically depositing (ECD) a second layer on said conductive regions of said first layer, said second layer being a second metal different than said first metal; and
heating said first layer and said second layer to form an alloy of said first metal and said second metal.
2. The method of claim 1 further comprising the step of cleaning the exposed surface of said second layer of said structure prior to said heating step.
3. The method of claim 1 further comprising the step of forming a barrier layer between said conductive regions and said non-conductive regions.
4. The method of claim 1 wherein one of the solutions NiCl2, GH2O and NiSO4, GH2O is used for said ECD process.
5. The method of claim 1 wherein said first metal is copper.
6. The method of claim 5 wherein said second metal is selected from the group consisting of Ni (nickel), Co (cobalt) and Pd (palladium).
7. The method of claim 1 wherein said second metal is nickel.
8. The method of claim 1 wherein said step of heating comprises the step of heating to a temperature of between about 300° C. and 400° C.
9. A method of forming a semiconductor structure comprising the steps of:
forming a first layer over a substrate, said first layer having non-conductive regions and conductive regions of a first metal;
electroless plating a second layer on said conductive regions of said first layer, said second layer being a second metal different than said first metal; and
heating said first layer and said second layer to form an alloy of said first metal and said second metal.
10. The method of claim 9 wherein said first metal is copper.
11. The method of claim 10 wherein said second metal is selected from the group consisting of Ni (nickel), Co (cobalt) and Pd (palladium).
12. The method of claim 9 wherein said second metal is nickel.
13. The method of claim 9 wherein said step of electroless plating said second layer on said conductive regions of said first layer comprises the steps of providing a chemical bath of a metal ion chelate and a reduction agent.
14. The method of claim 13 wherein said metal ion chelate comprises a nickel ion chelate.
15. The method of claim 13 wherein said reduction agent is selected from the group consisting of sodium hypophosphite (Na(H2PO2) H2O) and hydrazine.
16. The method of claim 13 further comprising at least one additive selected from the group consisting of an accelerator (sodium adipate), a stabilizer (thiourea), a pH adjuster (sodium carbonate and ammonia), and a brightener (ABS-Na).
17. The method of claim 9 further comprising the step of forming a barrier layer between said conductive regions and said non-conductive regions.
18. The method of claim 9 wherein said step of heating comprises the step of heating to a temperature of between about 300° C. and 400° C.
19. A method of forming a semiconductor structure comprising the steps of:
forming a first layer having copper conductive regions and non-conductive regions over a substrate;
forming a layer of nickel over said conductive regions;
heating said copper layer and said nickel layer to form an alloy layer of copper and nickel; and
cleaning the exposed surface of said second layer.
20. The method of claim 19 wherein said step of forming said nickel layer on said copper layer comprises the step of using an ECD process for electrochemically depositing said nickel layer over said copper layer.
21. The method of claim 20 wherein one of the solutions NiCl2 GH2O and NiSO4 GH2O is used for said ECD process.
22. The method of claim 19 wherein said step of forming said layer of nickel comprises the step of electroless plating nickel on said conductive regions.
23. The method of claim 22 wherein said step of an electroless plating process comprising the step of providing a chemical bath of a nickel ion chelate and a reduction agent.
24. The method of claim 23 wherein said reduction agent is selected from the group consisting of sodium hypophosphite (Na (H2PO2) H2O) and hydrazine.
25. The method of claim 23 further comprising at least one additive selected from the group consisting of an accelerator (sodium adipate), a stabilizer (thiourea), a pH adjuster (sodium carbonate and ammonia), and a brightener (ABS-Na).
26. A semiconductor structure comprising:
a substrate;
a first layer formed on said substrate, said first layer defining at least one conductive region formed of a first metal and at least one non-conductive region; and
a top layer over said at least one conductive region, said top layer comprising an alloy layer formed from a layer of a second metal covering said conductive region and ions of said first metal which have migrated from said conductive region.
27. The semiconductor structure of claim 26 wherein said top layer further comprises a surface position of said second metal beyond the reach of said migrating ions.
28. The semiconductor structures of claim 26 wherein said layer of said second metal is a layer of ECD (electrochemically deposited) metal.
29. The semiconductor structures of claim 26 wherein said layer of said second metal is a layer of electroless plated metal.
30. The semiconductor structures of claim 26 wherein said substrate comprises at least one layer of semiconductor devices.
31. The semiconductor structure of claim 28 wherein migration of ions of said first metal into said second metal of said top layer occurs when said structure is heated to a temperature of less than 400° C.
32. The semiconductor structure of claim 26 wherein said first metal is copper.
33. The semiconductor structure of claim 32 wherein said second metal is selected from the group consisting of Co (cobalt), Pd (palladium) and Ni (nickel).
34. The semiconductor structure of claim 26 wherein said second metal is selected from the group consisting of Co (cobalt), Pd (palladium) and Ni (nickel).
35. The semiconductor structure of claim 26 further comprising a barrier layer formed between said conductive regions and said non-conductive regions.
US10/439,358 2003-05-16 2003-05-16 Surface treatment of metal interconnect lines Expired - Lifetime US6955984B2 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US10/439,358 US6955984B2 (en) 2003-05-16 2003-05-16 Surface treatment of metal interconnect lines
TW092130119A TWI317766B (en) 2003-05-16 2003-10-29 Method of electroless plating for copper leads
SG200306497A SG122792A1 (en) 2003-05-16 2003-11-04 Surface treatment of metal interconnect lines
CNB2003101142618A CN1321439C (en) 2003-05-16 2003-11-06 Electroless plating method for copper wire
CNU2004200590373U CN2770096Y (en) 2003-05-16 2004-05-17 Semiconductor substrate structure
US11/213,238 US8053894B2 (en) 2003-05-16 2005-08-26 Surface treatment of metal interconnect lines

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/439,358 US6955984B2 (en) 2003-05-16 2003-05-16 Surface treatment of metal interconnect lines

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/213,238 Division US8053894B2 (en) 2003-05-16 2005-08-26 Surface treatment of metal interconnect lines

Publications (2)

Publication Number Publication Date
US20040229460A1 true US20040229460A1 (en) 2004-11-18
US6955984B2 US6955984B2 (en) 2005-10-18

Family

ID=33417781

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/439,358 Expired - Lifetime US6955984B2 (en) 2003-05-16 2003-05-16 Surface treatment of metal interconnect lines
US11/213,238 Expired - Fee Related US8053894B2 (en) 2003-05-16 2005-08-26 Surface treatment of metal interconnect lines

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/213,238 Expired - Fee Related US8053894B2 (en) 2003-05-16 2005-08-26 Surface treatment of metal interconnect lines

Country Status (4)

Country Link
US (2) US6955984B2 (en)
CN (2) CN1321439C (en)
SG (1) SG122792A1 (en)
TW (1) TWI317766B (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7816256B2 (en) * 2006-07-17 2010-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Process for improving the reliability of interconnect structures and resulting structure
US8823176B2 (en) 2008-10-08 2014-09-02 International Business Machines Corporation Discontinuous/non-uniform metal cap structure and process for interconnect integration
MY156085A (en) * 2009-09-08 2016-01-15 Sumitomo Bakelite Co Semiconductor device
US8492274B2 (en) 2011-11-07 2013-07-23 International Business Machines Corporation Metal alloy cap integration
US20130112462A1 (en) * 2011-11-07 2013-05-09 International Business Machines Corporation Metal Alloy Cap Integration
US10304700B2 (en) 2015-10-20 2019-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11801077B2 (en) 2022-03-14 2023-10-31 Orthotek, LLC. Bone fixation system

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4397812A (en) * 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US5261950A (en) * 1991-06-26 1993-11-16 Ngk Spark Plug Co., Ltd. Composition for metalizing ceramics
US5380546A (en) * 1993-06-09 1995-01-10 Microelectronics And Computer Technology Corporation Multilevel metallization process for electronic components
US5680092A (en) * 1993-11-11 1997-10-21 Matsushita Electric Industrial Co., Ltd. Chip resistor and method for producing the same
US6020021A (en) * 1998-08-28 2000-02-01 Mallory, Jr.; Glenn O. Method for depositing electroless nickel phosphorus alloys
US6130157A (en) * 1999-07-16 2000-10-10 Taiwan Semiconductor Manufacturing Company Method to form an encapsulation layer over copper interconnects
US6225210B1 (en) * 1998-12-09 2001-05-01 Advanced Micro Devices, Inc. High density capping layers with improved adhesion to copper interconnects
US6339025B1 (en) * 1999-04-03 2002-01-15 United Microelectronics Corp. Method of fabricating a copper capping layer
US6342733B1 (en) * 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6368948B1 (en) * 2000-07-26 2002-04-09 Advanced Micro Devices, Inc. Method of forming capped copper interconnects with reduced hillocks
US6383925B1 (en) * 2000-02-04 2002-05-07 Advanced Micro Devices, Inc. Method of improving adhesion of capping layers to cooper interconnects
US6406996B1 (en) * 2000-09-30 2002-06-18 Advanced Micro Devices, Inc. Sub-cap and method of manufacture therefor in integrated circuit capping layers
US6410426B1 (en) * 2001-07-09 2002-06-25 Texas Instruments Incorporated Damascene cap layer process for integrated circuit interconnects
US6432622B1 (en) * 1999-10-08 2002-08-13 Samsung Electronics Co., Ltd. Photoresist stripper composition and method for stripping photoresist using the same
US6500749B1 (en) * 2001-03-19 2002-12-31 Taiwan Semiconductor Manufacturing Company Method to improve copper via electromigration (EM) resistance
US6509267B1 (en) * 2001-06-20 2003-01-21 Advanced Micro Devices, Inc. Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer
US6605874B2 (en) * 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6117784A (en) * 1997-11-12 2000-09-12 International Business Machines Corporation Process for integrated circuit wiring
US6436816B1 (en) * 1998-07-31 2002-08-20 Industrial Technology Research Institute Method of electroless plating copper on nitride barrier
JP3217319B2 (en) * 1998-12-11 2001-10-09 松下電器産業株式会社 Method for manufacturing semiconductor device
US6261950B1 (en) * 1999-10-18 2001-07-17 Infineon Technologies Ag Self-aligned metal caps for interlevel metal connections
JP2001274245A (en) * 2000-03-24 2001-10-05 Nec Corp Semiconductor device and method of manufacturing the same
DE10113857A1 (en) 2001-03-21 2002-10-17 Fraunhofer Ges Forschung Selective, metal-based activation of substrates for wet-chemical, electroless plating, especially on circuit board for microelectronic device, uses vesicles to transport activator metal or precursor to selected area
JP2002093747A (en) * 2000-09-19 2002-03-29 Sony Corp Method for forming conductor structure and the conductor structure, and method of manufacturing semiconductor device and semiconductor device
US6977224B2 (en) * 2000-12-28 2005-12-20 Intel Corporation Method of electroless introduction of interconnect structures
US6432822B1 (en) 2001-05-02 2002-08-13 Advanced Micro Devices, Inc. Method of improving electromigration resistance of capped Cu
US20040197541A1 (en) 2001-08-02 2004-10-07 Joseph Zahka Selective electroless deposition and interconnects made therefrom
US20030148618A1 (en) * 2002-02-07 2003-08-07 Applied Materials, Inc. Selective metal passivated copper interconnect with zero etch stops
US6660633B1 (en) * 2002-02-26 2003-12-09 Advanced Micro Devices, Inc. Method of reducing electromigration in a copper line by electroplating an interim copper-zinc alloy thin film on a copper surface and a semiconductor device thereby formed
US6619538B1 (en) * 2002-05-02 2003-09-16 Texas Instruments Incorporated Nickel plating process having controlled hydrogen concentration
US20030227091A1 (en) * 2002-06-06 2003-12-11 Nishant Sinha Plating metal caps on conductive interconnect for wirebonding
US6770491B2 (en) * 2002-08-07 2004-08-03 Micron Technology, Inc. Magnetoresistive memory and method of manufacturing the same
US7008871B2 (en) * 2003-07-03 2006-03-07 International Business Machines Corporation Selective capping of copper wiring

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4397812A (en) * 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US5261950A (en) * 1991-06-26 1993-11-16 Ngk Spark Plug Co., Ltd. Composition for metalizing ceramics
US5380546A (en) * 1993-06-09 1995-01-10 Microelectronics And Computer Technology Corporation Multilevel metallization process for electronic components
US5680092A (en) * 1993-11-11 1997-10-21 Matsushita Electric Industrial Co., Ltd. Chip resistor and method for producing the same
US6020021A (en) * 1998-08-28 2000-02-01 Mallory, Jr.; Glenn O. Method for depositing electroless nickel phosphorus alloys
US6225210B1 (en) * 1998-12-09 2001-05-01 Advanced Micro Devices, Inc. High density capping layers with improved adhesion to copper interconnects
US6339025B1 (en) * 1999-04-03 2002-01-15 United Microelectronics Corp. Method of fabricating a copper capping layer
US6130157A (en) * 1999-07-16 2000-10-10 Taiwan Semiconductor Manufacturing Company Method to form an encapsulation layer over copper interconnects
US6342733B1 (en) * 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6432622B1 (en) * 1999-10-08 2002-08-13 Samsung Electronics Co., Ltd. Photoresist stripper composition and method for stripping photoresist using the same
US6383925B1 (en) * 2000-02-04 2002-05-07 Advanced Micro Devices, Inc. Method of improving adhesion of capping layers to cooper interconnects
US6368948B1 (en) * 2000-07-26 2002-04-09 Advanced Micro Devices, Inc. Method of forming capped copper interconnects with reduced hillocks
US6406996B1 (en) * 2000-09-30 2002-06-18 Advanced Micro Devices, Inc. Sub-cap and method of manufacture therefor in integrated circuit capping layers
US6500749B1 (en) * 2001-03-19 2002-12-31 Taiwan Semiconductor Manufacturing Company Method to improve copper via electromigration (EM) resistance
US6509267B1 (en) * 2001-06-20 2003-01-21 Advanced Micro Devices, Inc. Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer
US6410426B1 (en) * 2001-07-09 2002-06-25 Texas Instruments Incorporated Damascene cap layer process for integrated circuit interconnects
US6605874B2 (en) * 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect

Also Published As

Publication number Publication date
TWI317766B (en) 2009-12-01
US6955984B2 (en) 2005-10-18
CN1321439C (en) 2007-06-13
US20060001160A1 (en) 2006-01-05
CN2770096Y (en) 2006-04-05
TW200426244A (en) 2004-12-01
CN1551301A (en) 2004-12-01
SG122792A1 (en) 2006-06-29
US8053894B2 (en) 2011-11-08

Similar Documents

Publication Publication Date Title
US20220115505A1 (en) Copper-filled trench contact for transistor performance improvement
US6359328B1 (en) Methods for making interconnects and diffusion barriers in integrated circuits
TWI598996B (en) Methods for producing interconnects in semiconductor devices
US8698318B2 (en) Superfilled metal contact vias for semiconductor devices
EP2020027B1 (en) Structure and method for creating reliable via contacts for interconnect applications
EP1346408B1 (en) Method of electroless introduction of interconnect structures
US5969422A (en) Plated copper interconnect structure
US7694413B2 (en) Method of making a bottomless via
US6479902B1 (en) Semiconductor catalytic layer and atomic layer deposition thereof
US7087516B2 (en) Electromigration-reliability improvement of dual damascene interconnects
US6506668B1 (en) Utilization of annealing enhanced or repaired seed layer to improve copper interconnect reliability
US7879720B2 (en) Methods of forming electrical interconnects using electroless plating techniques that inhibit void formation
US8053894B2 (en) Surface treatment of metal interconnect lines
US20020050459A1 (en) Electronic device manufacturing method
US6642145B1 (en) Method of manufacturing an integrated circuit with a dielectric diffusion barrier layer formed between interconnects and interlayer dielectric layers
KR100966359B1 (en) Method of using ternary copper alloy to obtain a low resistance and large grain size interconnect
US6656834B1 (en) Method of selectively alloying interconnect regions by deposition process
US20060228934A1 (en) Conductive materials for low resistance interconnects and methods of forming the same
KR100701673B1 (en) METHOD FOR FORMING Cu WIRING OF SENICONDUCTOR DEVICE
KR100424714B1 (en) Method for fabricating copper interconnect in semiconductor device
JP2001319930A (en) Method of manufacturing semiconductor device
CN102124553A (en) Process for fabricating an integrated electronic circuit incorporating a process requiring a voltage threshold between a metal layer and a substrate
US6403474B1 (en) Controlled anneal conductors for integrated circuit interconnects
JP2003218201A (en) Semiconductor device and manufacturing method therefor
KR20040008017A (en) Method of forming a copper wiring in a semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:WAN, WEN-KAI;LIN, YIH-HSIUNG;LEI, MING-TA;AND OTHERS;REEL/FRAME:014086/0473

Effective date: 20030514

AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT NAME OF THIRD INVENTOR, PREVIOUSLY RECORDED ON REEL/FRAME 0140;ASSIGNORS:WAN, WEN-KAI;LIN, YIH-HSIUNG;LEI, MING-DAI;AND OTHERS;REEL/FRAME:014101/0736

Effective date: 20030514

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12