US20040112863A1 - Method of enhancing surface reactions by local resonant heating - Google Patents

Method of enhancing surface reactions by local resonant heating Download PDF

Info

Publication number
US20040112863A1
US20040112863A1 US10/320,852 US32085202A US2004112863A1 US 20040112863 A1 US20040112863 A1 US 20040112863A1 US 32085202 A US32085202 A US 32085202A US 2004112863 A1 US2004112863 A1 US 2004112863A1
Authority
US
United States
Prior art keywords
layer
substrate
plasma
resonant
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/320,852
Inventor
Bomy Chen
Rajarao Jammy
Siddhartha Panda
Richard Wise
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US10/320,852 priority Critical patent/US20040112863A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORP. reassignment INTERNATIONAL BUSINESS MACHINES CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: JAMMY, RAJARAO, PANDA, SIDDHARTHA, WISE, RICHARD S., CHEN, BOMY A.
Publication of US20040112863A1 publication Critical patent/US20040112863A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Definitions

  • the present invention relates to the field of plasma processing; more specifically, it relates to a method for plasma etching and plasma enhanced deposition and apparatus for plasma etching and plasma enhanced deposition.
  • a first aspect of the present invention is a method of processing a substrate comprising: reacting a layer formed on the substrate with a plasma to form a reaction product layer; and simultaneously exposing the reaction product layer to resonant radiation to volatilize the reaction product layer.
  • a second aspect of the present invention is a method of processing a substrate comprising: performing a plasma enhanced chemical vapor deposition to deposit a precursor layer on a substrate; and simultaneously heating the precursor layer by exposure of the precursor layer to resonant radiation to convert the precursor layer to a deposited layer.
  • a third aspect of the present invention is an apparatus for processing a substrate, the apparatus comprising: a chamber; a process gas distribution system adapted to distribute one or more process gases into the chamber; means for generating a plasma from the one or more process gases, the plasma capable of processing a layer on the substrate; a substrate support within the chamber adapted to hold the substrate to expose a top surface of the substrate to the plasma; a resonant radiation source adapted to expose the layer to resonant radiation; and an exhaust adapted to remove volatilized reaction products from the chamber.
  • FIG. 1 is a plot of vapor pressure of CuCl 2 versus temperature.
  • FIG. 2 is a schematic diagram illustrating a plasma etch process according to the present invention.
  • FIG. 3 is a schematic diagram illustrating a plasma-enhanced deposition according to the present invention.
  • FIG. 4 is a schematic diagram of a first plasma etch/deposition system according to the present invention.
  • FIG. 5 is a schematic diagram of a second plasma etch/deposition system tool according to the present invention.
  • FIG. 6A is a schematic diagram of a prismatic infrared radiation source
  • FIG. 6B is a schematic diagram of a grating based infrared radiation source.
  • FIG. 6C is a schematic diagram of a tunable laser infrared radiation source.
  • FIG. 1 is a plot of vapor pressure of CuCl 2 versus temperature.
  • gas phase reactant species free radicals and ions
  • the reactant species then strike on a surface of a substrate and chemically react with the surface.
  • the reaction rate is directly related to the volatility (partial pressure) of the product of the reaction (if the product is not removed then the surface becomes coated with reaction product, effectively blocking fresh reactants from reaching un-reacted material).
  • An example of such a process is the etching of copper in chlorine.
  • chlorine free radicals and chlorine ions are created (plasma activation), the chlorine free radicals and ions strike on a copper surface and form copper chloride (ion surface activation) which is a solid.
  • the copper chloride is converted to a gas (volatilization) due to heat generated from the reaction, radiant heat from the plasma or bulk heating of the substrate.
  • This set of reactions may be written:
  • FIG. 1 it can be seen that a temperature of about 750° C. is required to produce a partial vapor pressure of CUCl 2 of about 1 Torr. As the temperature decreases the partial pressure of CuCl 2 decreases. At less than 600° C., the partial pressure of CuCl 2 is so low (only a couple of hundredths of a Torr) that the surface is effectively passivated and no further reaction can occur. Therefore, there is a temperature P T , which is the optimum temperature for plasma etching copper in chlorine. However, there may be a maximum temperature W T , which the substrate can be allowed to reach. In FIG. 1 W T is indicated as being a temperature of about 300-400° C.
  • the vapor pressure of CuCl 2 is essentially zero and no volatilization of CuCl 2 will occur so the reaction rate will be essentially zero.
  • the wafer temperature cannot exceed 250° C.
  • CMOS complementary metal oxide silicon
  • the wafer temperature cannot exceed 300 to 350° C. due to thermal budgets required to protect diffusion portions of the transistors.
  • an additional process step (resonant heating) is added that locally heats the CuCl 2 formed, but not a significant portion of the surrounding copper film.
  • the reactions for this process may be written:
  • the resonant heating is accomplished by supplying electromagnetic energy (radiation) at a wavelength (or frequency) that will couple to and thus excite of one of the vibrational states of CuCl 2 .
  • electromagnetic energy radiation
  • h Planck's constant
  • v frequency. Therefore, resonant radiation is defined as radiation having a wavelength that will couple with a vibrational state of the material exposed to the resonant radiation. Resonant heating is discussed more fully infra.
  • reaction (4) the CuCl 2 (s) is resonant heated so that the heat ( ⁇ ) required in reaction (5) for volatilization of the excited CuCl 2 is only a fraction of the heat required ( ⁇ ) in reaction (3) for volatilization of the CuCl 2 .
  • Examples of other metals that may be plasma etched according to the present invention are platinum and iron.
  • the reactions for Pt may be written:
  • FIG. 2 is a schematic diagram illustrating a plasma etch process according to the present invention.
  • formed on a substrate 100 is an insulator layer 105 .
  • Formed on insulator 105 is a copper layer 110 .
  • Formed on etchable layer 110 is a masking layer 115 .
  • Trenches 120 are being etched in etchable layer 110 .
  • a reaction product layer 125 is continuously formed and removed at the bottom of each trench 120 so each trench becomes increasingly deeper.
  • Reactant species X as well as electromagnetic energy hv strike on masking layer 115 and etchable layer 110 .
  • the material of masking layer 115 is chosen to not react with reactant species X or vibrationally couple with electromagnetic energy hv.
  • reactant species X is chosen to react with etchable layer 110 to form reaction product layer 125 and the wavelength of electromagnetic energy hv is chosen to vibrationally couple with the reaction product layer and hence heat the reaction product layer to sufficiently high enough temperature to volatilize the reaction product layer into vaporized reaction product Z.
  • the amount and wavelengths of electro-magnetic energy hv is discussed infra.
  • Regions 130 in etchable layer 110 near the bottom 135 of each trench 120 define the extent of local radiant heating caused by the coupling of electromagnetic energy hv with reaction product layer 125 .
  • the temperature of regions 130 is less than the volatilization temperature of reaction product layer 125 . If the temperature of reaction product layer 125 is T1, the temperature of region 130 is T2 and the temperature of substrate 100 is T3, then the following relationship holds: T1>T2>T3.
  • masking layer 115 is plasma enhanced chemical vapor deposition (PECVD) oxide
  • etchable layer 110 is Cu
  • the reactant species X is Cl free radicals/ions.
  • IR infrared
  • FIG. 3 is a schematic diagram illustrating a plasma-enhanced deposition according to the present invention.
  • the principles of the present invention described supra in relation to plasma etching are applicable to PECVD processing as well.
  • the example of silicon oxide deposition will be used.
  • SiO 2 layer 145 being formed on a substrate 140 is SiO 2 layer 145 .
  • SiO 2 layer 145 Being formed on SiO 2 layer 145 is a precursor (SiH 3 ) 2 O layer 150 formed from (SiH 3 ) 2 O precipitate formed in the gas phase plasma.
  • the chemical name of (SiH 3 ) 2 O is silicyl oxide.
  • SiH 3 ) 2 O layer 150 is continuously be transformed into SiO 2 layer 145 as the deposition continues by resonant heating caused by electro-magnetic energy hv impinging on the newly formed (SiH 3 ) 2 O layer 150 and subsequent release of H 2 O and H 2 .
  • the reactions for SiO 2 deposition according to the present invention may be written:
  • precursor (SiH 3 ) 2 O layer 150 is resonantly heated to at least 600° C., under laying SiO 2 layer 145 does not absorb a significant amount of this resonant radiation. Since (SiH 3 ) 2 O layer 150 can be heated to very high temperatures the resultant PECVD SiO 2 has properties similar to low pressure high temperature chemical vapor deposition (LPCVD) SiO 2 . Other materials, for example silicon nitride, may be deposited according to the present invention.
  • FIG. 4 is a schematic diagram of a first plasma etch/deposition system according to the present invention.
  • plasma etch/deposition system 200 includes a chamber 205 , a wafer chuck 210 , solenoidal coils 215 , a transmissive window 220 in a top 225 of chamber 205 , an optional bandpass filter 230 and IR sources 235 .
  • a wafer 240 is located on a top surface 245 of wafer chuck 210 .
  • Chamber 205 is fitted with a reactant gas supply 250 and an exhaust 255 .
  • a radio frequency (RF) power supply 260 A is coupled between solenoidal coils 215 and ground in order to strike and maintain a plasma 265 and an RF bias power supply 260 B is coupled between wafer chuck 210 and ground in order to control forward bias (etch) power.
  • RF source 235 generates infrared radiation 270 , which passes through optional bandpass filter 230 and window 220 to strike a top surface 275 of wafer 240 wherein the infrared radiation couples with the reaction products of either the plasma etch or PECVD process being performed in chamber 205 as described in reference to FIGS. 2 and 3 and described supra.
  • IR source 235 may be one selected from Table II or another source, for example, a tunable IR laser.
  • Bandpass filter 230 is not required in the cases of monochromatic IR sources (i.e. tunable IR laser) but only when broadband sources (i.e. those listed in table II) are used.
  • Alternative radiation/wavelength selection sub-systems are illustrated in FIGS. 6A, 6B and 6 C and described infra. Table III lists some suitable window materials. TABLE III Window Material Wavelength Sapphire (Al 2 O 3 ) 0.17-5.5 ⁇ m Germanium 1.8-23 ⁇ m Silicon 1.2-15 ⁇ m Quartz 0.4-3 ⁇ m Silver Bromide 0.45-35 ⁇ m Rubidium Bromide 0.45-35 ⁇ m
  • window material is a function of the resonant IR wavelength selected and the plasma reaction selected. E.g. the window must pass the required frequency and not be attacked by the plasma process.
  • Process parameters for a typical non-volatile metal etch process i.e. Cu, Pt, Fe, etc.
  • Process parameters for a typical non-volatile metal etch process include (for 8 inch wafers and scalable for 12 inch wafers) a Cl 2 flow rate of 160 sccm, an Ar flow rate of 40 sccm, a BCL 3 flow rate of 13 sccm, chamber pressure of 36 mT, a wafer temperature of 375° C., RF power of 900-1200 watts and bias power of 450 watts.
  • Examples of commercial plasma systems that may be modified to practice the present invention (i.e. addition of window 220 , optional filter 230 , and IR source 235 ) include, but are not limited to, the AMAT DPS etch system and the AMAT HDP deposition system both manufactured by Applied Materials Corporation, Santa Clara, Calif.
  • FIG. 5 is a schematic diagram of a second plasma etch/deposition system according to the present invention.
  • plasma etch/deposition system includes a chamber 305 , a wafer chuck 310 , plate 315 , a transmissive window 320 in a sidewall 325 of chamber 305 , an optional bandpass filter 330 and IR sources 335 .
  • a wafer 340 is located on a top surface 345 of wafer chuck 310 .
  • Chamber 305 is fitted with a reactant gas supply 350 and an exhaust 355 .
  • An RF power supply 360 A is coupled between plate 315 and ground in order to strike and maintain a plasma 365 and an RF bias power supply 360 B is coupled between wafer chuck 310 and ground in order to control forward bias (etch) power.
  • IR source 335 generates infrared radiation 370 , which passes through optional bandpass filter 330 and window 320 to strike a top surface 375 of wafer 340 wherein the infrared radiation couples with the reaction products of either the plasma etch or PECVD process being performed in chamber 305 as described in reference to FIGS. 2 and 3 and described supra.
  • IR source 335 may be one selected from Table II or another source, for example, a tunable IR laser.
  • Bandpass filter 330 is not required in the cases of monochromatic IR sources (i.e. tunable IR laser) but only when broadband sources (i.e. those listed in table II) are used.
  • Alternative radiation/wavelength selection sub-systems are illustrated in FIGS. 6A, 6B and 6 C and described infra. Table III supra lists some suitable window materials.
  • Process parameters for a typical silane based oxide deposition process (i.e. Cu, Pt, Fe, etc.) that may be run in plasma etch/deposition system 300 include (for 8 inch wafers and scalable for 12 inch wafers) a SiH 4 flow rate of 300 sccm, a N 2 flow rate of 1500 sccm, a N 2 O flow rate of 9500 sccm, chamber pressure of 2400 mT, a wafer temperature of 400° C., a plate power of 1100 watts and wafer chuck power of 0 watts (no wafer chuck power).
  • Examples of commercial plasma systems that may be modified to practice the present invention (i.e. addition of window 320 , optional filter 330 , and IR source 335 ) include, but are not limited to, the LAM research 2300 etch system manufactured by Lam Research, Fremont, Calif., and the Novellus PECVD system manufactured by Novellus Corporation, San Jose, Calif.
  • FIG. 6A is a schematic diagram of a prismatic infrared radiation source.
  • an IR source 400 generates polychromatic IR radiation 405 , which is dispersed into its component wavelengths 410 by a prism 415 .
  • a resonant wavelength (actually range of wavelengths) 420 is selected by tunable wavelength selection window 425 .
  • IR source 400 may be selected from Table II supra. Suitable prism material and their wavelength ranges are listed in Table IV. TABLE IV Prism Material Wavelength SiO 2 0.25-2 ⁇ m LiF 0.2-5 ⁇ m CaF 0.2-9 ⁇ m BaF2 0.2-13 ⁇ m NaCl 2-16 ⁇ m KBr 10-25 ⁇ m CsI 15-50
  • FIG. 6B is a schematic diagram of a grating based infrared radiation source.
  • an IR source 430 generates polychromatic IR radiation 435 , which is dispersed into its component wavelengths 440 by a grating 445 .
  • a resonant wavelength 450 (actually range of wavelengths) is selected by tunable wavelength selection window 455 .
  • IR source 430 may be selected from Table II supra. Suitable prism material and their wavelength ranges are listed in Table V. TABLE IV Grating Density Grooves/mm Wavelength 300-600 0.8-2.5 ⁇ m 100-300 2.5-50 ⁇ m 30-100 50-1000 ⁇ m
  • FIG. 6C is a schematic diagram of a tunable laser infrared radiation source.
  • an tunable laser IR source 460 generates narrow beam monochromatic IR radiation 465 , which is dispersed into a wide beam monochromatic IR radiation 470 by a dispersing reflector 475 .

Abstract

Methods and an apparatus for processing a substrate. A first method comprising: reacting a layer formed on the substrate with a plasma to form a reaction product layer; and simultaneously exposing the reaction product layer to resonant radiation to volatilize the reaction product layer. A second method comprising: performing a plasma enhanced chemical vapor deposition to deposit a precursor layer on a substrate; and simultaneously heating the precursor layer by exposure of the precursor layer to resonant radiation to convert the precursor layer to a deposited layer.

Description

    FIELD OF THE INVENTION
  • The present invention relates to the field of plasma processing; more specifically, it relates to a method for plasma etching and plasma enhanced deposition and apparatus for plasma etching and plasma enhanced deposition. [0001]
  • BACKGROUND OF THE INVENTION
  • In plasma based processing, high-energy electrons are used to convert neutral molecules in the gas phase to charged ions and neutral free radicals. Typically, the gas phase temperature is less than 500° C., whereas the electron cloud has energy equivalent to a temperature in excess of 10,000° C. The ambipolar field resulting from the difference in mobility of the electrons and ions generates an anistropic flux of energetic ions (and neutrals via charge exchange collisions) to the surface of the substrate being processed. This flux, in combination with an isotropic flux of reactive neutral free radicals, either etches material from the surface or deposits material on the surface. [0002]
  • Simultaneous with the surface chemistry, there is a proportional heating of the surface and heating of the substrate itself, both from plasma radiation and bulk heat applied. If the wafer heating is to high, then damage to structures within the substrate occurs. If the wafer temperature or flux of energetic ions or reactive neutral free radicals is to low, then processing times increase as reaction rates decrease. Increasingly, when plasma processing is applied to advanced materials, no satisfactory compromise between wafer heating, which impacts yield and thus cost, and reaction rates, which impacts, productivity and thus cost, can be found. [0003]
  • SUMMARY OF THE INVENTION
  • A first aspect of the present invention is a method of processing a substrate comprising: reacting a layer formed on the substrate with a plasma to form a reaction product layer; and simultaneously exposing the reaction product layer to resonant radiation to volatilize the reaction product layer. [0004]
  • A second aspect of the present invention is a method of processing a substrate comprising: performing a plasma enhanced chemical vapor deposition to deposit a precursor layer on a substrate; and simultaneously heating the precursor layer by exposure of the precursor layer to resonant radiation to convert the precursor layer to a deposited layer. [0005]
  • A third aspect of the present invention is an apparatus for processing a substrate, the apparatus comprising: a chamber; a process gas distribution system adapted to distribute one or more process gases into the chamber; means for generating a plasma from the one or more process gases, the plasma capable of processing a layer on the substrate; a substrate support within the chamber adapted to hold the substrate to expose a top surface of the substrate to the plasma; a resonant radiation source adapted to expose the layer to resonant radiation; and an exhaust adapted to remove volatilized reaction products from the chamber. [0006]
  • BRIEF DESCRIPTION OF DRAWINGS
  • The features of the invention are set forth in the appended claims. The invention itself, however, will be best understood by reference to the following detailed description of an illustrative embodiment when read in conjunction with the accompanying drawings, wherein: [0007]
  • FIG. 1 is a plot of vapor pressure of CuCl[0008] 2 versus temperature.
  • FIG. 2 is a schematic diagram illustrating a plasma etch process according to the present invention. [0009]
  • FIG. 3 is a schematic diagram illustrating a plasma-enhanced deposition according to the present invention. [0010]
  • FIG. 4 is a schematic diagram of a first plasma etch/deposition system according to the present invention; [0011]
  • FIG. 5 is a schematic diagram of a second plasma etch/deposition system tool according to the present invention; [0012]
  • FIG. 6A is a schematic diagram of a prismatic infrared radiation source; [0013]
  • FIG. 6B is a schematic diagram of a grating based infrared radiation source; and [0014]
  • FIG. 6C is a schematic diagram of a tunable laser infrared radiation source.[0015]
  • DETAILED DESCRIPTION OF THE INVENTION
  • FIG. 1 is a plot of vapor pressure of CuCl[0016] 2 versus temperature. In a plasma etch process, gas phase reactant species (free radicals and ions) are formed in the plasma. The reactant species then strike on a surface of a substrate and chemically react with the surface. The reaction rate is directly related to the volatility (partial pressure) of the product of the reaction (if the product is not removed then the surface becomes coated with reaction product, effectively blocking fresh reactants from reaching un-reacted material). An example of such a process is the etching of copper in chlorine. In the plasma, chlorine free radicals and chlorine ions are created (plasma activation), the chlorine free radicals and ions strike on a copper surface and form copper chloride (ion surface activation) which is a solid. Then the copper chloride is converted to a gas (volatilization) due to heat generated from the reaction, radiant heat from the plasma or bulk heating of the substrate. This set of reactions may be written:
  • Plasma Activation: Cl2→2Cl++2e   (1)
  • Ion Surface Activation: Cu+Cl+Cl+→CuCl2(s)   (2)
  • Volatilization (>600° C.): CuCl2(s)+Δ→CuCl2↑  (3)
  • In FIG. 1, it can be seen that a temperature of about 750° C. is required to produce a partial vapor pressure of CUCl[0017] 2 of about 1 Torr. As the temperature decreases the partial pressure of CuCl2 decreases. At less than 600° C., the partial pressure of CuCl2 is so low (only a couple of hundredths of a Torr) that the surface is effectively passivated and no further reaction can occur. Therefore, there is a temperature PT, which is the optimum temperature for plasma etching copper in chlorine. However, there may be a maximum temperature WT, which the substrate can be allowed to reach. In FIG. 1 WT is indicated as being a temperature of about 300-400° C. At 300-400° C., the vapor pressure of CuCl2 is essentially zero and no volatilization of CuCl2 will occur so the reaction rate will be essentially zero. For example, in etching copper films on silicon wafers containing sensitive MRAM devices(magnetic random access memory devices) the wafer temperature cannot exceed 250° C. For CMOS (complimentary metal oxide silicon) devices, the wafer temperature cannot exceed 300 to 350° C. due to thermal budgets required to protect diffusion portions of the transistors.
  • In the present invention, an additional process step (resonant heating) is added that locally heats the CuCl[0018] 2 formed, but not a significant portion of the surrounding copper film. The reactions for this process may be written:
  • Plasma Activation: Cl2→2Cl++2e   (1)
  • Ion Surface Activation: Cu+Cl+Cl+→CuCl2(s)   (2)
  • Resonant Heating: CuCl2(s)+hv→[CuCl2(s)]E   (4)
  • Volatilization (>600° C.): [CUCl2(s)]E+δΔ→CuCl2↑  (5)
  • The resonant heating is accomplished by supplying electromagnetic energy (radiation) at a wavelength (or frequency) that will couple to and thus excite of one of the vibrational states of CuCl[0019] 2. In equation (4), “h” is Planck's constant and “v” is frequency. Therefore, resonant radiation is defined as radiation having a wavelength that will couple with a vibrational state of the material exposed to the resonant radiation. Resonant heating is discussed more fully infra. Thus, in reaction (4) the CuCl2 (s) is resonant heated so that the heat (δΔ) required in reaction (5) for volatilization of the excited CuCl2 is only a fraction of the heat required (Δ) in reaction (3) for volatilization of the CuCl2.
  • This allows for either a lower temperature plasma process to be used or aggressive cooling of the wafer to be performed. Sufficient electromagnetic energy may be supplied so that the resonant heating is all that is required and the CuCl[0020] 2 volatilizes as reaction (4) occurs.
  • Examples of other metals that may be plasma etched according to the present invention are platinum and iron. The reactions for Pt may be written: [0021]
  • Plasma Activation: Cl2→2Cl++2e   (6)
  • Ion Surface Activation: Pt+Cl+→PtCl (s)   (7)
  • Resonant Heating: PtCl (s)+hv→[PtCl (S)]E   (8)
  • Volatilization (>600° C.): [PtCl (S)]E+δΔ→PtCl (s)↑  (9)
  • The reactions for iron are similar, except the minimum volatilization temperature for iron chloride is greater than 900° C. Other metals and non-metallic films may also be etched according to the present invention [0022]
  • FIG. 2 is a schematic diagram illustrating a plasma etch process according to the present invention. In FIG. 2, formed on a [0023] substrate 100 is an insulator layer 105. Formed on insulator 105 is a copper layer 110. Formed on etchable layer 110 is a masking layer 115. Trenches 120 are being etched in etchable layer 110. A reaction product layer 125 is continuously formed and removed at the bottom of each trench 120 so each trench becomes increasingly deeper. Reactant species X as well as electromagnetic energy hv strike on masking layer 115 and etchable layer 110. The material of masking layer 115 is chosen to not react with reactant species X or vibrationally couple with electromagnetic energy hv. However, reactant species X is chosen to react with etchable layer 110 to form reaction product layer 125 and the wavelength of electromagnetic energy hv is chosen to vibrationally couple with the reaction product layer and hence heat the reaction product layer to sufficiently high enough temperature to volatilize the reaction product layer into vaporized reaction product Z. The amount and wavelengths of electro-magnetic energy hv is discussed infra.
  • [0024] Regions 130 in etchable layer 110 near the bottom 135 of each trench 120 define the extent of local radiant heating caused by the coupling of electromagnetic energy hv with reaction product layer 125. The temperature of regions 130 is less than the volatilization temperature of reaction product layer 125. If the temperature of reaction product layer 125 is T1, the temperature of region 130 is T2 and the temperature of substrate 100 is T3, then the following relationship holds: T1>T2>T3.
  • In one example, masking [0025] layer 115 is plasma enhanced chemical vapor deposition (PECVD) oxide, etchable layer 110 is Cu, Pt or Fe and the reactant species X is Cl free radicals/ions.
  • Turning to how much energy most be supplied by electromagnetic energy hv, a relatively straightforward approximation may be made. A 300 mm diameter wafer with 50% exposed etchable layer (Cu in a Cl[0026] 2 system in the present example) is assumed and the heat of vaporization of the reaction product, heat of formation of the reaction product and general plasma induced heating is assumed to be provided by the reactive plasma flux. The reactive area is 0.5π(150×10−1 m)2 and given an etch rate of 2E10−9 m/s, the volume rate of CuCl2 removal is 0.07 mm3/s. This translates into a mole removal rate of CuCl2 of (8.92 g/cm3)×(1 mole/98.9 g)×(0.07 mm3/s)=6.2×10−6 mole/s. Given that ΔH=CpΔT×mole, where ΔH is enthalpy, Cp=48.7 J/K mole is the heat capacity of copper and ΔT=500° K. (the assumed difference between CuCl2 volatilization temperature and the wafer temperature), then ΔH=0.15 Joules/s=150 mW. Given half the source energy is directed away from the wafer (as in the etch system illustrated in FIG. 4 and described infra) a 300 mW source for a 300 mm diameter wafer=0.42 mW/cm2 is required.
  • Turning to how the wavelength of electromagnetic energy hv is determined, and continuing the CUCl[0027] 2 example, it can be seen from Table I that 5 possible wavelengths, corresponding to five transition energies of CuCl2 could be used.
    TABLE I
    CuCl2 Vibrational Coupling
    Transition Energy Wavelength
    cm−1 μm Designation
    9567.5 1.04 Near IR
    6877 1.45 Near IR
    1910.9 5.2 Mid IR
    364.5 27.4 Mid IR
    98.6 101.4 Far IR
  • Turning to sources of infrared (IR) energy, and continuing the CuCl[0028] 2 example, it can be seen from Table II that at least four possible IR sources could be used that give the requisite 0.42 mW/cm2 of spectral radiance using a 5 nm bandpass filter. The bandpass filter is required to filter wavelengths that would couple with materials other than CuCl2.
    TABLE II
    Spectral radiance
    Source Radiating Wavelength (mW cm-2, with 5 nm
    Type material range band pass filter)
    Nerst Zirconia, yittria or  0.4-20 μm 1
    Glower thoria at
    1200-2000° K
    Globar SiC at    1-40 μm 1
    1300-1500° K
    Tungsten Tungsten 0.3-2.5 μm 100
    2000-3000° K
    Xeon Arc High Pressure   0.2-1 μm 1000
    (>10 Torr Xe)
  • All the sources in Table II belong to the class of sources known as broadband sources. [0029]
  • FIG. 3 is a schematic diagram illustrating a plasma-enhanced deposition according to the present invention. The principles of the present invention described supra in relation to plasma etching are applicable to PECVD processing as well. The example of silicon oxide deposition will be used. In FIG. 3, being formed on a [0030] substrate 140 is SiO2 layer 145. Being formed on SiO2 layer 145 is a precursor (SiH3)2 O layer 150 formed from (SiH3)2O precipitate formed in the gas phase plasma. The chemical name of (SiH3)2O is silicyl oxide. (SiH3)2 O layer 150 is continuously be transformed into SiO2 layer 145 as the deposition continues by resonant heating caused by electro-magnetic energy hv impinging on the newly formed (SiH3)2 O layer 150 and subsequent release of H2O and H2. The reactions for SiO2 deposition according to the present invention may be written:
  • Plasma Activation: SiH4+e→SiH3+H   (10A)
  • N2O+e→>NO+O   (10B)
  • Surface Precipitate: 2 SiH3+O→(SiH3)2O (s)   (11)
  • Precursor Resonant Heating: (SiH3)2O (s)+hv→(SiH3)2O (s)   (12)
  • Volatilization (>600° C.): (SiH3)2O (s)+5 O→2 SiO2+H2↑+2 H2O↑  (13)
  • While precursor (SiH[0031] 3)2 O layer 150 is resonantly heated to at least 600° C., under laying SiO2 layer 145 does not absorb a significant amount of this resonant radiation. Since (SiH3)2 O layer 150 can be heated to very high temperatures the resultant PECVD SiO2 has properties similar to low pressure high temperature chemical vapor deposition (LPCVD) SiO2. Other materials, for example silicon nitride, may be deposited according to the present invention.
  • FIG. 4 is a schematic diagram of a first plasma etch/deposition system according to the present invention. In FIG. 4, plasma etch/[0032] deposition system 200 includes a chamber 205, a wafer chuck 210, solenoidal coils 215, a transmissive window 220 in a top 225 of chamber 205, an optional bandpass filter 230 and IR sources 235. A wafer 240 is located on a top surface 245 of wafer chuck 210. Chamber 205 is fitted with a reactant gas supply 250 and an exhaust 255. A radio frequency (RF) power supply 260A is coupled between solenoidal coils 215 and ground in order to strike and maintain a plasma 265 and an RF bias power supply 260B is coupled between wafer chuck 210 and ground in order to control forward bias (etch) power. IR source 235 generates infrared radiation 270, which passes through optional bandpass filter 230 and window 220 to strike a top surface 275 of wafer 240 wherein the infrared radiation couples with the reaction products of either the plasma etch or PECVD process being performed in chamber 205 as described in reference to FIGS. 2 and 3 and described supra.
  • [0033] IR source 235 may be one selected from Table II or another source, for example, a tunable IR laser. Bandpass filter 230 is not required in the cases of monochromatic IR sources (i.e. tunable IR laser) but only when broadband sources (i.e. those listed in table II) are used. Alternative radiation/wavelength selection sub-systems are illustrated in FIGS. 6A, 6B and 6C and described infra. Table III lists some suitable window materials.
    TABLE III
    Window Material Wavelength
    Sapphire (Al2O3) 0.17-5.5 μm
    Germanium  1.8-23 μm
    Silicon  1.2-15 μm
    Quartz  0.4-3 μm
    Silver Bromide 0.45-35 μm
    Rubidium Bromide 0.45-35 μm
  • The choice of window material is a function of the resonant IR wavelength selected and the plasma reaction selected. E.g. the window must pass the required frequency and not be attacked by the plasma process. [0034]
  • Process parameters for a typical non-volatile metal etch process (i.e. Cu, Pt, Fe, etc.) that may be run in plasma etch/[0035] deposition system 200 include (for 8 inch wafers and scalable for 12 inch wafers) a Cl2 flow rate of 160 sccm, an Ar flow rate of 40 sccm, a BCL3 flow rate of 13 sccm, chamber pressure of 36 mT, a wafer temperature of 375° C., RF power of 900-1200 watts and bias power of 450 watts.
  • Examples of commercial plasma systems that may be modified to practice the present invention (i.e. addition of [0036] window 220, optional filter 230, and IR source 235) include, but are not limited to, the AMAT DPS etch system and the AMAT HDP deposition system both manufactured by Applied Materials Corporation, Santa Clara, Calif.
  • FIG. 5 is a schematic diagram of a second plasma etch/deposition system according to the present invention. In FIG. 5, plasma etch/deposition system includes a [0037] chamber 305, a wafer chuck 310, plate 315, a transmissive window 320 in a sidewall 325 of chamber 305, an optional bandpass filter 330 and IR sources 335. A wafer 340 is located on a top surface 345 of wafer chuck 310. Chamber 305 is fitted with a reactant gas supply 350 and an exhaust 355. An RF power supply 360A is coupled between plate 315 and ground in order to strike and maintain a plasma 365 and an RF bias power supply 360B is coupled between wafer chuck 310 and ground in order to control forward bias (etch) power. IR source 335 generates infrared radiation 370, which passes through optional bandpass filter 330 and window 320 to strike a top surface 375 of wafer 340 wherein the infrared radiation couples with the reaction products of either the plasma etch or PECVD process being performed in chamber 305 as described in reference to FIGS. 2 and 3 and described supra.
  • [0038] IR source 335 may be one selected from Table II or another source, for example, a tunable IR laser. Bandpass filter 330 is not required in the cases of monochromatic IR sources (i.e. tunable IR laser) but only when broadband sources (i.e. those listed in table II) are used. Alternative radiation/wavelength selection sub-systems are illustrated in FIGS. 6A, 6B and 6C and described infra. Table III supra lists some suitable window materials.
  • Process parameters for a typical silane based oxide deposition process (i.e. Cu, Pt, Fe, etc.) that may be run in plasma etch/[0039] deposition system 300 include (for 8 inch wafers and scalable for 12 inch wafers) a SiH4 flow rate of 300 sccm, a N2 flow rate of 1500 sccm, a N2O flow rate of 9500 sccm, chamber pressure of 2400 mT, a wafer temperature of 400° C., a plate power of 1100 watts and wafer chuck power of 0 watts (no wafer chuck power).
  • Examples of commercial plasma systems that may be modified to practice the present invention (i.e. addition of [0040] window 320, optional filter 330, and IR source 335) include, but are not limited to, the LAM research 2300 etch system manufactured by Lam Research, Fremont, Calif., and the Novellus PECVD system manufactured by Novellus Corporation, San Jose, Calif.
  • FIG. 6A is a schematic diagram of a prismatic infrared radiation source. In FIG. 6A, an [0041] IR source 400 generates polychromatic IR radiation 405, which is dispersed into its component wavelengths 410 by a prism 415. A resonant wavelength (actually range of wavelengths) 420 is selected by tunable wavelength selection window 425. IR source 400 may be selected from Table II supra. Suitable prism material and their wavelength ranges are listed in Table IV.
    TABLE IV
    Prism Material Wavelength
    SiO2 0.25-2 μm
    LiF 0.2-5 μm
    CaF 0.2-9 μm
    BaF2 0.2-13 μm
    NaCl 2-16 μm
    KBr 10-25 μm
    CsI 15-50
  • FIG. 6B is a schematic diagram of a grating based infrared radiation source. In FIG. 6B, an [0042] IR source 430 generates polychromatic IR radiation 435, which is dispersed into its component wavelengths 440 by a grating 445. A resonant wavelength 450 (actually range of wavelengths) is selected by tunable wavelength selection window 455. IR source 430 may be selected from Table II supra. Suitable prism material and their wavelength ranges are listed in Table V.
    TABLE IV
    Grating Density
    Grooves/mm Wavelength
    300-600 0.8-2.5 μm
    100-300 2.5-50 μm
     30-100 50-1000 μm
  • FIG. 6C is a schematic diagram of a tunable laser infrared radiation source. In FIG. 6B, an tunable [0043] laser IR source 460 generates narrow beam monochromatic IR radiation 465, which is dispersed into a wide beam monochromatic IR radiation 470 by a dispersing reflector 475.
  • The description of the embodiments of the present invention is given above for the understanding of the present invention. It will be understood that the invention is not limited to the particular embodiments described herein, but is capable of various modifications, rearrangements and substitutions as will now become apparent to those skilled in the art without departing from the scope of the invention. Therefore, it is intended that the following claims cover all such modifications and changes as fall within the true spirit and scope of the invention. [0044]

Claims (20)

What is claimed is:
1. A method of processing a substrate comprising:
reacting a layer formed on said substrate with a plasma to form a reaction product layer; and
simultaneously exposing said reaction product layer to resonant radiation to volatilize said reaction product layer.
2. The method of claim 1, further including:
maintaining said substrate at a first temperature, said first temperature lower than a second temperature required to volatilize said reaction product.
3. The method of claim 1, wherein said resonant radiation does not couple with said layer.
4. The method of claim 1, wherein said resonant energy is infrared radiation.
5. The method of claim 1, wherein said layer includes a first material selected from the group consisting of copper, platinum, and iron and said reaction layer includes a second material selected from the group consisting of copper chloride, platinum chloride, and iron chloride.
6. The method of claim 1, wherein said plasma includes chlorine species.
7. The method of claim 1, further including:
forming a masking layer on a top surface of said layer, said layer exposed through holes formed in said masking layer.
8. A method of processing a substrate comprising:
performing a plasma enhanced chemical vapor deposition to deposit a precursor layer on a substrate; and
simultaneously heating said precursor layer by exposure of said precursor layer to resonant radiation to convert said precursor layer to a deposited layer.
9. The method of claim 8, further including:
maintaining said substrate at a first temperature, said first temperature lower than a second temperature required to convert said precursor layer to said deposited layer.
10. The method of claim 8, wherein said resonant radiation does not couple with said precursor layer.
11. The method of claim 8, wherein said precursor layer includes silicyl oxide and said deposited layer includes silicon dioxide.
12. An apparatus for processing a substrate, the apparatus comprising:
a chamber;
a process gas distribution system adapted to distribute one or more process gases into said chamber;
means for generating a plasma from said one or more process gases, said plasma capable of processing a layer on said substrate;
a substrate support within said chamber adapted to hold said substrate to expose a top surface of said substrate to said plasma;
a resonant radiation source adapted to expose said layer to resonant radiation; and
an exhaust adapted to remove volatilized reaction products from said chamber.
13. The apparatus of claim 12, wherein said processing said layer either etches said layer or deposits said layer.
14. The apparatus of claim 12, further including a window formed in a top of said chamber or a sidewall of said chamber, said window substantially transparent to said resonant radiation.
15. The apparatus of claim 14, wherein said resonant radiation source is external to said chamber and aligned to expose said layer to said resonant radiation through said window.
16. The apparatus claim 14, wherein said window includes material selected from the group consisting of sapphire, germanium, silicon, quartz, silver bromide and rubidium bromide.
17. The apparatus of claim 15, further including means for selecting a range of wavelengths for said resonant radiation, said means for selecting position between said resonant radiation source and said window.
18. The apparatus of claim 17, wherein said means for selecting a range of wavelengths includes a prism and a tunable wavelength selection window or a grating and said tunable wavelength selection window.
19. The apparatus of claim 12, wherein said resonant radiation source is an infrared source selected from the group consisting of Nerst glowers, globars, tungsten filaments, xenon arcs, broadband infrared sources and tunable infrared lasers.
20. The method of claim 12, wherein said means for generating a plasma includes exposing said one or more process gases to radio frequency radiation.
US10/320,852 2002-12-16 2002-12-16 Method of enhancing surface reactions by local resonant heating Abandoned US20040112863A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/320,852 US20040112863A1 (en) 2002-12-16 2002-12-16 Method of enhancing surface reactions by local resonant heating

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/320,852 US20040112863A1 (en) 2002-12-16 2002-12-16 Method of enhancing surface reactions by local resonant heating

Publications (1)

Publication Number Publication Date
US20040112863A1 true US20040112863A1 (en) 2004-06-17

Family

ID=32506973

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/320,852 Abandoned US20040112863A1 (en) 2002-12-16 2002-12-16 Method of enhancing surface reactions by local resonant heating

Country Status (1)

Country Link
US (1) US20040112863A1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040091636A1 (en) * 2000-03-27 2004-05-13 Mitsubishi Heavy Industries, Ltd. Methods and apparatus for the formation of a metal film
US20060185588A1 (en) * 2004-12-22 2006-08-24 Tokyo Electron Limited Vapor deposition apparatus measuring film thickness by irradiating light
US20110292375A1 (en) * 2009-06-23 2011-12-01 Marx David S System for directly measuring the depth of a high aspect ratio etched feature on a wafer
US8202440B1 (en) 2002-08-27 2012-06-19 Kla-Tencor Corporation Methods and apparatus for electron beam assisted etching at low temperatures
WO2012162271A2 (en) * 2011-05-20 2012-11-29 University Of Utah Research Foundation Method and system for manufacture of a electronic devices based on localized deposition of precursor gases

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5198388A (en) * 1990-07-04 1993-03-30 Mitsubishi Denki Kabushiki Kaisha Method of forming interconnection patterns
US5202291A (en) * 1990-09-26 1993-04-13 Intel Corporation High CF4 flow-reactive ion etch for aluminum patterning
US5515985A (en) * 1993-06-24 1996-05-14 Nec Corporation Method of forming fine copper conductor pattern
US5527417A (en) * 1992-07-06 1996-06-18 Kabushiki Kaisha Toshiba Photo-assisted CVD apparatus
US5685949A (en) * 1995-01-13 1997-11-11 Seiko Epson Corporation Plasma treatment apparatus and method
US5834068A (en) * 1996-07-12 1998-11-10 Applied Materials, Inc. Wafer surface temperature control for deposition of thin films
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US6408048B2 (en) * 2000-03-14 2002-06-18 Therma-Wave, Inc. Apparatus for analyzing samples using combined thermal wave and X-ray reflectance measurements
US20030098292A1 (en) * 2001-10-31 2003-05-29 Nagraj Kulkarni Process for low temperature, dry etching, and dry planarization of copper

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5198388A (en) * 1990-07-04 1993-03-30 Mitsubishi Denki Kabushiki Kaisha Method of forming interconnection patterns
US5202291A (en) * 1990-09-26 1993-04-13 Intel Corporation High CF4 flow-reactive ion etch for aluminum patterning
US5527417A (en) * 1992-07-06 1996-06-18 Kabushiki Kaisha Toshiba Photo-assisted CVD apparatus
US5515985A (en) * 1993-06-24 1996-05-14 Nec Corporation Method of forming fine copper conductor pattern
US5685949A (en) * 1995-01-13 1997-11-11 Seiko Epson Corporation Plasma treatment apparatus and method
US5834068A (en) * 1996-07-12 1998-11-10 Applied Materials, Inc. Wafer surface temperature control for deposition of thin films
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US6408048B2 (en) * 2000-03-14 2002-06-18 Therma-Wave, Inc. Apparatus for analyzing samples using combined thermal wave and X-ray reflectance measurements
US20030098292A1 (en) * 2001-10-31 2003-05-29 Nagraj Kulkarni Process for low temperature, dry etching, and dry planarization of copper

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040091636A1 (en) * 2000-03-27 2004-05-13 Mitsubishi Heavy Industries, Ltd. Methods and apparatus for the formation of a metal film
US8202440B1 (en) 2002-08-27 2012-06-19 Kla-Tencor Corporation Methods and apparatus for electron beam assisted etching at low temperatures
US20060185588A1 (en) * 2004-12-22 2006-08-24 Tokyo Electron Limited Vapor deposition apparatus measuring film thickness by irradiating light
US20110292375A1 (en) * 2009-06-23 2011-12-01 Marx David S System for directly measuring the depth of a high aspect ratio etched feature on a wafer
US8649016B2 (en) * 2009-06-23 2014-02-11 Rudolph Technologies, Inc. System for directly measuring the depth of a high aspect ratio etched feature on a wafer
US9587932B2 (en) 2009-06-23 2017-03-07 Rudolph Technologies, Inc. System for directly measuring the depth of a high aspect ratio etched feature on a wafer
WO2012162271A2 (en) * 2011-05-20 2012-11-29 University Of Utah Research Foundation Method and system for manufacture of a electronic devices based on localized deposition of precursor gases
WO2012162271A3 (en) * 2011-05-20 2013-03-28 University Of Utah Research Foundation Method and system for manufacture of a electronic devices based on localized deposition of precursor gases

Similar Documents

Publication Publication Date Title
US6870123B2 (en) Microwave applicator, plasma processing apparatus having same, and plasma processing method
US6499425B1 (en) Quasi-remote plasma processing method and apparatus
EP0930376B1 (en) Method of processing substrate
US6884318B2 (en) Plasma processing system and surface processing method
US6497783B1 (en) Plasma processing apparatus provided with microwave applicator having annular waveguide and processing method
US7829471B2 (en) Cluster tool and method for process integration in manufacturing of a photomask
US5429070A (en) High density plasma deposition and etching apparatus
US7658969B2 (en) Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7273638B2 (en) High density plasma oxidation
US6652709B1 (en) Plasma processing apparatus having circular waveguide, and plasma processing method
KR102419980B1 (en) Methods for forming a metal silicide interconnection nanowire structure
US20160204027A1 (en) Direct deposition of nickel silicide nanowire
TW201707085A (en) Apparatus and system to treat substrate, and method of etching substrate
KR100519126B1 (en) A dielectric
KR20050006080A (en) Surface wave plasma treatment apparatus using multi-slot antenna
TW201519288A (en) Solid state introduction of dopants and additives for a plasma doping process
JP4594235B2 (en) Method for etching an ARC layer
US20020005159A1 (en) Method of producing thin semiconductor film and apparatus therefor
Arts et al. Foundations of atomic-level plasma processing in nanoelectronics
US20040112863A1 (en) Method of enhancing surface reactions by local resonant heating
JP5048611B2 (en) Semiconductor device manufacturing apparatus and semiconductor device manufacturing method
JP2006522480A (en) Method and apparatus for dry development of multilayer photoresist
TW593770B (en) Method for anisotropic etching of copper thin films with a beta-diketone, a beta-ketoimine, or a breakdown product thereof
KR20060124663A (en) Method and apparatus for removing photoresist from a substrate
JP2001308071A (en) Plasma processing apparatus using waveguide having e- plane branch and method of plasma processing

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORP., NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHEN, BOMY A.;JAMMY, RAJARAO;PANDA, SIDDHARTHA;AND OTHERS;REEL/FRAME:013596/0862;SIGNING DATES FROM 20021204 TO 20021213

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910