US20040025444A1 - Fenton's reagent composition for chemical-mechanical polishing, method of using same, and substrate treated with same - Google Patents

Fenton's reagent composition for chemical-mechanical polishing, method of using same, and substrate treated with same Download PDF

Info

Publication number
US20040025444A1
US20040025444A1 US10/393,542 US39354203A US2004025444A1 US 20040025444 A1 US20040025444 A1 US 20040025444A1 US 39354203 A US39354203 A US 39354203A US 2004025444 A1 US2004025444 A1 US 2004025444A1
Authority
US
United States
Prior art keywords
composition
abrasive
group
reagent
fenton
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/393,542
Inventor
Robert Small
Xiaowei Shang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
DA Nanomaterials LLC
Versum Materials US LLC
Original Assignee
EKC Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by EKC Technology Inc filed Critical EKC Technology Inc
Priority to US10/393,542 priority Critical patent/US20040025444A1/en
Assigned to EKC TECHNOLOGY, INC. reassignment EKC TECHNOLOGY, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SMALL, ROBERT J., CHANG, XIAOWEI C.
Publication of US20040025444A1 publication Critical patent/US20040025444A1/en
Assigned to DUPONT AIR PRODUCTS NANOMATEIRALS L.L.C. reassignment DUPONT AIR PRODUCTS NANOMATEIRALS L.L.C. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: EKC TECHNOLOGY, INC.
Assigned to VERSUM MATERIALS US, LLC reassignment VERSUM MATERIALS US, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AIR PRODUCTS AND CHEMICALS, INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24DTOOLS FOR GRINDING, BUFFING OR SHARPENING
    • B24D3/00Physical features of abrasive bodies, or sheets, e.g. abrasive surfaces of special nature; Abrasive bodies or sheets characterised by their constituents
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/02Silicon
    • C01B33/021Preparation
    • C01B33/023Preparation by reduction of silica or free silica-containing material
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C19/00Surface treatment of glass, not in the form of fibres or filaments, by mechanical means
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • C09K3/1445Composite particles, e.g. coated particles the coating consisting exclusively of metals
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • C23F3/04Heavy metals
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/127Structure or manufacture of heads, e.g. inductive
    • G11B5/31Structure or manufacture of heads, e.g. inductive using thin films
    • G11B5/3163Fabrication methods or processes specially adapted for a particular head structure, e.g. using base layers for electroplating, using functional layers for masking, using energy or particle beams for shaping the structure or modifying the properties of the basic layers
    • G11B5/3169Working or finishing the interfacing surface of heads, e.g. lapping of heads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/127Structure or manufacture of heads, e.g. inductive
    • G11B5/31Structure or manufacture of heads, e.g. inductive using thin films
    • G11B5/3163Fabrication methods or processes specially adapted for a particular head structure, e.g. using base layers for electroplating, using functional layers for masking, using energy or particle beams for shaping the structure or modifying the properties of the basic layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P20/00Technologies relating to chemical industry
    • Y02P20/50Improvements relating to the production of bulk chemicals
    • Y02P20/582Recycling of unreacted starting or intermediate materials

Definitions

  • the invention relates generally to a composition that is usefully employed in chemical-mechanical polishing processes, and an associated method of polishing a substrate. More particularly, the invention relates to a composition comprising an oxidizing agent, an abrasive, and a Fenton's reagent. The composition is useful in the polishing of various layers, such as metal layers, on substrates.
  • a semiconductor wafer such as a silicon or a gallium arsenide wafer, generally has a substrate surface on which one or more integrated circuits is formed.
  • the substrate surface is desirably as flat, or planar, as possible before the surface is processed to form the integrated circuits.
  • a variety of semiconductor processes are used to form the integrated circuits on the flat surface, during which the wafer takes on a defined topography. If this topography is too irregular or includes surface imperfections, fabrication processes, such as photolithography, are often compromised, and the resulting semiconductor device is often inoperable or highly subject to failure. Thus, it is often necessary to polish the wafer surface to render it as planar or uniform as possible and to remove surface imperfections.
  • CMP chemical-mechanical polishing or planarization
  • CMP generally involves applying a polishing composition or slurry to the polishing pad, establishing contact between the wafer surface and the polishing pad, and applying a down-force pressure on the wafer carrier while providing relative motion, typically rotational or orbital motion, between the wafer surface and the polishing pad.
  • this relative motion involves movement of both the carrier and the platen at the same or different speeds.
  • the polishing composition typically contains an abrasive material, such as silica and/or alumina particles, in an acidic, neutral, or basic solution.
  • a polishing composition useful in the CMP of tungsten material on a substrate may contain abrasive alumina (Al 2 O 3 ), also called aluminum oxide, an oxidizing agent such as hydrogen peroxide (H 2 O 2 ), and either potassium hydroxide (KOH) or ammonium hydroxide (NH 4 OH).
  • a CMP process employing such a polishing composition may provide a predictable rate of polishing, while largely preserving desirable insulation features on the wafer surface.
  • CMP is used in a variety of semiconductor processes to polish wafers having a variety of surface features, such as oxide and/or metal layers.
  • surface features such as oxide and/or metal layers.
  • the surface of a semiconductor wafer has insulation or oxide features, the grooves or stud vias of which are filled with a metal or metal alloy.
  • Typical filler metals or alloys include aluminum, copper, titanium, titanium nitride, tantalum, tantalum nitride, tungsten, or any combination of these metals or alloys.
  • a typical CMP process involves polishing the metal in a controlled manner to “stop on” the oxide beneath the metal, such that the metal is substantially coplanar with the oxide and remains in the grooves or stud vias of the oxide. After CMP, the substantially coplanar surface is ready for further processing.
  • CMP is currently the primary method used to polish or “planarize” wafers in back end of the line (BEOL) processes.
  • Another approach has involved increasing the amount of oxidizing agent used in the CMP slurry in an effort to increase chemical removal of targeted material. This approach is largely disfavored as the use of increased amounts of oxidizing agents detrimentally add to the handling issues and environmental issues associated with many oxidizing agents and thus increase costs. Attempts to catalyze the oxidizing agent to increase removal rates have also had limited success. Additional approaches have involved using a combination of CMP slurries, including for example, a zirconium slurry, a combination of abrasive particles in a CMP slurry, and/or using point-of-use mixing techniques. These approaches are generally undesirable, as they typically complicate CMP in terms of tooling and process control for example, consume more process time, and/or increase costs.
  • CMP composition comprising an oxidizing agent and an abrasive particle that is at least partially coated with a metal catalyst, as disclosed in co-pending U.S. application Ser. No. 10/074,757 of Small et al. Additional developments in CMP technology are desired.
  • the present invention provides a composition for chemical-mechanical polishing which comprises at least one oxidizing agent, at least one abrasive, and at least one Fenton's reagent.
  • the oxidizing agent generally comprises a per compound, such as, for example, periodic acid or a peroxide.
  • the abrasive generally comprises a metal oxide, such as, for example, silica, alumina, or a spinel, such as an iron-containing spinel.
  • the Fenton's reagent generally comprises a metal from Group 1(b) or Group 8 of the Periodic Table of Elements, such as, for example, iron, copper or silver, and is at least partially linked to the abrasive. It is believed that the Fenton's reagent interacts favorably with the oxidizing agent to generate free radicals that facilitate the chemical-mechanical polishing of metal features, such as, for example, tungsten or copper features, on silicon or semiconductor wafers.
  • the composition may contain a variety of additives, such as a typical abrasive (i.e., an abrasive free of a catalyst or Fenton's reagent), a surfactant, a stabilizing agent, a dispersing agent, a complexing agent, a polish-enhancing agent, and/or a pH-adjusting agent.
  • a typical abrasive i.e., an abrasive free of a catalyst or Fenton's reagent
  • a surfactant e.e., a stabilizing agent, a dispersing agent, a complexing agent, a polish-enhancing agent, and/or a pH-adjusting agent.
  • the pH level of the composition should be from about pH 2 to about pH 7, and preferably, from about pH 3 to about pH 4.
  • the composition of the present invention is effective in the CMP of a variety of metal or metal alloy materials on substrates such as silicon or semiconductor substrates. It is theorized that the Fenton's reagent that is linked to the abrasive and the oxidizing agent react to generate free radicals that are effective intermediates in the reaction between the oxidizing agent and the material targeted for removal from the substrate surface. Further, it is believed that the reagent-linked abrasive is particularly effective as it brings the reagent directly to the targeted material on the substrate surface, and thus facilitates or accelerates the removal reaction substantially at the site of the targeted material.
  • the composition provides very desirable material removal rates, for example, up to about 6,000 to about 15,000 Angstroms ( ⁇ ) per minute, such as about 8,000 ⁇ per minute, in a CMP process.
  • the composition is effective when used in conventional CMP processes, as well as CMP processes having relatively low carrier pressures.
  • Substrates polished using the composition show good uniformity values, as reflected by relatively low within wafer non-uniformity percentages. For example, in some of the examples provided herein, the within wafer non-uniformity percentages of the polished substrates were about 7% or less, or even about 4-6% or less.
  • FIG. 1A and FIG. 1B are SEM pictures of the center and the edge vias, respectively, of a production wafer after polishing using a composition of the present invention, as further described below in relation to Example 5.
  • FIG. 2 is a graphical plot of the tungsten removal rate and the within wafer non-uniformity percentage of 26 wafers consecutively polished using a composition of the present invention, as further described below in relation to Example 6.
  • FIG. 3A is a graphical plot of optimization studies of CMP processes using a composition of the present invention, as further described below in relation to Example 7.
  • FIG. 3B and FIG. 3C are graphical plots of characterization studies of CMP processes using a composition of the present invention, as further described below in relation to Example 7.
  • the composition of the present invention is usefully employed in the chemical-mechanical polishing (CMP) of a substrate.
  • CMP chemical-mechanical polishing
  • the composition or slurry may be used to polish at least one feature or layer on a substrate such as a silicon substrate, a gallium arsenide (GaAs) substrate, a thin film transistor-liquid crystal display (“TFT-LCD”) glass substrate, or any other substrate associated with integrated circuits, thin films, semiconductors, Micro Electro Mechanical Systems (MEMS) structures, hard disk platters and heads, and the like.
  • a substrate such as a silicon substrate, a gallium arsenide (GaAs) substrate, a thin film transistor-liquid crystal display (“TFT-LCD”) glass substrate, or any other substrate associated with integrated circuits, thin films, semiconductors, Micro Electro Mechanical Systems (MEMS) structures, hard disk platters and heads, and the like.
  • MEMS Micro Electro Mechanical Systems
  • composition of the present invention may be used in the CMP of a substrate having one or more layers of aluminum, copper, copper-aluminum alloy, tantalum, titanium, tungsten, or tantalum-, titanium-, or tungsten-containing alloys, such as tantalum nitride, titanium nitride, titanium tungsten, or any combination thereof, particularly, copper or tungsten, and most particularly, tungsten.
  • any mention of a component of the composition refers to at least one such component, for example, one such component or multiple such components. Further, any amount of a component of the composition is given as a weight percent (wt. %) relative to the composition. Additionally, any amount of a component is given as an approximate amount, for example, more or less than, or equal to, the precise numerical amount stated. This convention concerning approximate amounts applies to any numerical measure stated herein in connection with the composition, such as a numerical pH level stated for the composition or a numerical process parameter stated for a CMP process employing the composition. The foregoing conventions apply throughout this specification unless specified or clearly intended or implied otherwise.
  • the composition generally comprises at least one oxidizing agent, at least one abrasive, and at least one Fenton's reagent that is at least partially linked to the abrasive, as further described herein.
  • the abrasive component comprises a portion of abrasive that is linked with the Fenton's reagent (sometimes referred to herein as “linked abrasive”) and a portion of abrasive that is free of any Fenton's reagent (sometimes referred to herein as “normal abrasive”), although only the former need be present.
  • the abrasive may comprise a ratio of linked abrasive to normal abrasive of about 1 to about 9.
  • the oxidizing agent of the CMP composition assists in the chemical removal of targeted material on the substrate surface.
  • the oxidizing agent component is thus believed to enhance or increase the material removal rate of the composition.
  • the amount of oxidizing agent in the composition is sufficient to assist the chemical removal process, while being as low as possible to minimize handling, environmental, or similar or related issues, such as cost.
  • the various amounts of oxidizing agent provided in Table 1 are all effective and suitable, while the more preferred amount of from about 0.01 to about 6 weight percent relative to the composition, is particularly preferred in terms of minimizing the potential issues mentioned above.
  • the oxidizing agent is preferably an inorganic or organic per-compound.
  • a per-compound is generally defined as a compound containing an element in its highest state of oxidation, such as perchloric acid; a compound containing at least one peroxy group (—O—O—), such as peracetic acid and perchromic acid; or a compound having exhaustive substitution or addition, such as perchloroethylene.
  • Suitable per-compounds containing at least one peroxy group include, but are not limited to, a hydroperoxide, a hydrogen peroxide, such as hydrogen peroxide or urea hydrogen peroxide, a persulfate, such as a monopersulfate (SO 5 dbd ) or a dipersulfate (S 2 O 8 dbd ), peracetic acid, a percarbonate, an organic peroxide, such as benzoyl peroxide, di-t-butyl peroxide, any acid thereof, any salt thereof, any adduct thereof, and any combination of the foregoing.
  • Suitable per-compounds that do not contain a peroxy group include, but are not limited to, periodic acid, any periodiate salt, perbromic acid, any perbromate salt, perchloric acid, any perchlorate salt, perboric acid, any perborate salt, permanganate, any permanganate salt, and any combination of the foregoing.
  • the oxidizing agent is a per-compound or a compound possessing a reactive peroxy functional group, such as monopersulfate, dipersulfate, peracetic acid, urea hydrogen peroxide, hydrogen peroxide, any acid, salt, or adduct thereof, and any combination of the foregoing, or a per-compound not having a peroxy group, such as periodic acid, any acid, salt, or adduct thereof, and any combination of the foregoing.
  • the oxidizing agent is periodic acid.
  • oxidizing agents are also suitable components of the composition of the present invention.
  • ozone is a suitable oxidizing agent either alone or in combination with one or more other suitable oxidizing agents.
  • the oxidizing agent may be a metal salt, a metal complex or coordination compound, or any combination thereof.
  • An organic or inorganic hydroxylamine compound or salt is yet another possible oxidizing agent component for the composition.
  • a sampling of suitable oxidizing agents includes iron salts, aluminum salts, sodium salts, potassium salts, as well as ammonium salts, quaternary ammonium salts, phosphonium salts, peroxides, chlorates, perchlorates, permanganates, persulfates, and any combination thereof.
  • the various oxidizing agents described herein may be used either alone or in combination with one another, although any combination that might undesirably complicate the CMP process is preferably avoided.
  • the composition also comprises an abrasive and a Fenton's reagent.
  • the reagent is at least partially linked to the abrasive, as further described herein.
  • the abrasive is effective in the mechanical removal of targeted material on the substrate surface.
  • Suitable amounts of reagent-linked abrasive, such as the preferred range of from about 0.01 to about 10 weight percent relative to the composition, are listed in Table 1 above. Suitable amounts of normal abrasive, if any, are also listed in Table 1.
  • the abrasive is generally in the form of an abrasive particle, and typically many abrasive particles, of one material or a combination of different materials.
  • a suitable abrasive particle is more or less spherical and has an effective diameter of about 30 to about 170 nanometers (nm), although individual particle size may vary.
  • Abrasive in the form of aggregated or agglomerated particles are preferably processed further to form individual abrasive particles.
  • the abrasive particle may be a metal oxide particle, a resinous particle, or a plastic particle, and is preferably a plastic particle.
  • a suitable metal oxide abrasive includes, but is not limited to, alumina, ceria, germania, silica, a spinel, titania, an oxide of tungsten, zirconia, and any combination thereof, preferably, alumina, colloidal silica, or an iron spinel.
  • the metal oxide abrasive may be produced by any of a variety of techniques, including sol-gel, hydrothermal, hydrolytic, plasma, fuming and precipitation techniques, and any combination thereof.
  • the metal oxide abrasive is a colloidal abrasive, such as colloidal silica.
  • the above-mentioned metal oxide abrasives may be used either alone or in combination with one another, although any combination that might undesirably complicate the CMP process is preferably avoided.
  • a suitable plastic abrasive particle may be composed of a polyacrylic acid, a polymethylacrylic acid, a polyvinyl alcohol, or any combination thereof.
  • a suitable resinous abrasive particle may be composed of a polyacrylic acid, a polymethylacrylic acid, a polymelamine, or any combination thereof, or any particle of a ion exchange resin, such as a plastic ion exchange resin.
  • a catalytic reagent is at least partially linked to the abrasive.
  • the reagent enhances, or increases, the rate of the chemical reaction between the oxidizing agent of the composition and the targeted material, particularly metal material, on the substrate surface during a CMP process.
  • the reagent is believed to assist in the formation of activated oxidizing species or free radicals, such as activated peroxy groups, at reaction sites on the material targeted for removal.
  • the reagent is substantially insoluble in the composition such that it remains, for the most part, at least partially linked to the abrasive particle during the CMP process.
  • the reagent is at least partially linked to the abrasive material of the composition.
  • “linking” and its various linguistic or grammatical forms or counterparts generally refer to forming a chemical connection between the abrasive and the reagent, such as by forming at least a partial layer of reagent material on at least a portion of the abrasive, absorbing or adsorbing the reagent material on at least a portion of the abrasive, forming bonds between the reagent material and at least a portion of the abrasive, such as covalent bonds, ionic bonds, or a combination of covalent and ionic bonds, and the like, by any suitable means or method.
  • the amount of reagent-linked abrasive should generally not exceed 25 weight percent of the composition. Where reagent concentration is a concern, an increased amount of normal abrasive, absent a reagent linkage, may be used to dilute the reagent in the composition and facilitate control of the CMP process.
  • Catalytic reagents suitable for the CMP composition are now described. Certain metal salts are extremely versatile means of producing free radicals, particularly in aqueous systems, and inducing radical chain processes.
  • An example of a suitable radical-generating system for the oxidation of a variety of organic substrates includes a Fenton's reagent of ferrous ions and hydrogen peroxide, as described by Fenton. See Fenton, H. J. H., Oxidation of Tartaric Acid in presence of Iron , J. Chem. Soc., 65, 899-910 (1894).
  • the Fenton's reagent comprises a metal from Group 1(b) or Group 8 of the Periodic Table of the Elements that reacts with the oxidizing agent of the CMP composition to activate free radicals.
  • Suitable metals include iron, copper, silver, and any combination thereof. The suitability of silver appears to depend on the oxidizing agent employed. Merely by way of example, silver is a suitable metal-based Fenton's reagent when the oxidizing agent is a persulfate. Cobalt does not appear to be effective as a Fenton's reagent.
  • the metal comprises copper, iron, or a combination thereof, most preferably, iron because of its greater activity. It is believed that reagents having a standard oxidation potential of from about ⁇ 0.55 to about ⁇ 0.40 eV, such as copper ( ⁇ 0.52 eV) and iron ( ⁇ 0.44 eV), for example, have an optimal or preferred catalytic activity.
  • reaction Sequence 1 when ferrous iron is used as the Fenton's reagent and the oxidizing agent is hydrogen peroxide, the reaction sequence (Reaction Sequence 1) set forth below is believed to take place.
  • reaction Sequence 2 Reaction Sequence 2
  • the Fenton's reagent may be in a variety of forms, such as an oxide, a hydroxide, a nitrate, a halide, such as chloride, a perchlorate, or an acetate of the metal, a source of ions of the metal, and any combination thereof.
  • the oxide and hydroxide forms of the reagent these should be soluble under acid conditions, for example, at a pH of about 5 or less, such that soluble metal ions are present in the composition.
  • suitable metal oxides include iron oxide, copper oxide, and silver oxide.
  • the reagent may be a source of mulivalent metal, such as a source of divalent or trivalent iron, as exemplified in the two reaction sequences, Reaction Sequences 1 and 2, shown above.
  • the reagent is a metal acetate, such as copper acetate (“CuAc”) or preferably, iron acetate (“FeAc”). More preferably, the reagent is a metal nitrate or a metal sulfate, such as an iron nitrate or an iron sulfate.
  • composition of the present invention is particularly advantageous by virtue of the interaction between the reagent at least partially linked to the surface of the abrasive and the oxidizing agent. That is, it is believed that a reaction takes place between the abrasive-linked reagent and the oxidizing agent, such as a peroxide or periodic acid, at or near the surface of the abrasive. It is believed that this reaction generates free radicals or active reaction intermediates, such as hydroxyl free radicals (HO.) or perhydroxyl free radicals (HOO.), near the surface of the abrasive, which radicals interact favorably with the targeted material on the substrate when the reagent-linked abrasive contacts the substrate surface.
  • HO. hydroxyl free radicals
  • HOO. perhydroxyl free radicals
  • the pH of the composition is desirably on the order of from about pH 2 to about pH 7, and preferably, from about pH 2.5 to about pH 4.5, and most preferably, from about pH 3 to about pH 4. These pH levels, and particularly the preferred and most preferred levels, are believed to facilitate control of the CMP process.
  • a composition having a pH that is too low, such as below pH 2 may present problems in terms of the handling of the composition and the quality of the polishing itself.
  • a composition having a pH that is too high, such as above pH 7, may detrimentally contribute to reagent solubility problems, particularly when the reagent is a metal oxide or metal hydroxide.
  • the pH of the composition may be adjusted using an appropriate pH-adjusting agent, such as a suitable acid, base, amine, or any combination thereof.
  • the pH-adjusting agent may contain metal ions. Examples include metal hydroxides, such as NaOH, KOH and the like, containing sodium, potassium, and like metal ions, respectively.
  • the pH-adjusting agent used in the composition does not contain any undesirable metal ions, such that undesirable metal components are not introduced into the composition.
  • Suitable pH-adjusting agents include amines, ammonium hydroxide, nitric acid, phosphoric acid, sulfuric acid, organic acids, and any combination thereof.
  • the composition may also include one or more of various optional additives.
  • Suitable optional additives include surfactants, stabilizating agents, complexing agents, such as oxalic acid and/or lactic acid for example, dispersing agents, and the like. These optional additives are generally employed to facilitate or promote stabilization of the composition against settling, flocculation (including precipitation, aggregation or agglomeration of particles, and the like), decomposition, and the like.
  • these optional additives include sulfuric acid, phosphoric acid, nitric acid, ammonium salts, potassium salts, sodium salts, or other cationic salts of sulfates and phosphates, and any combination thereof.
  • any of these optional additives should be present in an amount sufficient to substantially stabilize the composition.
  • the necessary amount varies depending on the particular additive selected and the particular make-up of the CMP composition, such as the nature of the surface of the abrasive component. If too little of the additive is used, the additive will have little or no effect on the stability of the composition. On the other hand, if too much of the additive is used, the additive may contribute to the formation of undesirable foam and/or flocculent in the composition.
  • suitable amounts of these optional additives range from about 0.001 to about 2 weight percent relative to the composition, and preferably from about 0.001 to about 1 weight percent.
  • These optional additives may be added directly to the composition or applied to the surface of the abrasive component of the composition.
  • surfactant additives include dodecyl sulfate sodium salt, sodium lauryl sulfate, dodecyl sulfate ammonium salt, and any combination thereof.
  • Suitable commercially available surfactants include TRITON DF-16 manufactured by Union Carbide and SURFYNOL manufactured by Air Products and Chemicals.
  • Stabilization agents may be used to stabilize the reagent or catalyst in the presence of the oxidizing agent of the composition.
  • stabilizers may be needed to stabilize a metal ion reagent in the presence of an oxidizing agent, such as hydrogen peroxide.
  • an oxidizing agent such as hydrogen peroxide.
  • the oxidizing agent and the catalyst may react in a manner that rapidly degrades the oxidizing agent and thus compromises the CMP process.
  • the presence of a stabilization agent in the composition may compromise the efficacy of the catalyst.
  • careful consideration should be given as to whether or not to use a stabilizing agent in the composition, and as to the selection and amount of any stabilization agent added to the composition.
  • Suitable stabilizing agents include organic acids, such as adipic acid, carboxylic acid, citric acid, malonic acid, orthophthalic acid, and ethylenediaminetetraacetic acid, phosphoric acid, phosphonate compounds, nitriles, and other ligands, such as those that bind the reagent material and thus reduce reactions that degrade the oxidizing agent, and any combination of the foregoing agents.
  • organic acids such as adipic acid, carboxylic acid, citric acid, malonic acid, orthophthalic acid, and ethylenediaminetetraacetic acid
  • phosphoric acid phosphonate compounds
  • nitriles such as those that bind the reagent material and thus reduce reactions that degrade the oxidizing agent, and any combination of the foregoing agents.
  • an acid stabilizing agent refers to both the acid stabilizer and its conjugate base. That is, the various acid stabilizing agents may also be used in their conjugate form.
  • an adipic acid stabilizing agent encompasses adipic acid and/or its conjugate base
  • a carboxylic acid stabilizing agent encompasses carboxylic acid and/or its conjugate base, carboxylate, and so on for the above-mentioned acid stabilizing agents.
  • a suitable stabilizer used alone or in combination with one or more other stabilizers, decreases the rate at which an oxidizing agent such as hydrogen peroxide decomposes during a CMP process.
  • polish-enhancement agents may be added to the composition to enhance or improve the polishing rate of targeted material on the substrate surface, such as tantalum and titanium material often present in the form of barrier layers on the substrate surface.
  • An example of a polish-enhancement agent is hydroxylamine, which is particularly effective when the targeted material is tantalum.
  • Polishing-enhancement agents other than hydroxylamine, such as fluoride-based agents, are generally preferred for use with peroxide-containing compositions.
  • the optional polishing-enhancement agent if any, is generally present in an amount of from about 0.001 to about 2 weight percent, or preferably, from about 0.001 to about 1 weight percent, relative to the composition.
  • the CMP composition or slurry of the present invention may be prepared using conventional techniques. Typically, the water and abrasive components are combined, reagent-linked abrasive is then added, oxidizer is then added, and the pH is adjusted. The reagent-linked abrasive is typically prepared separately, and in situ, by absorbing or adsorbing the reagent on the surface of the abrasive at low pH, such as a pH of about 2, for example.
  • the solubility of the absorbed or adsorbed reagent may increase, such that some free reagent is present in the slurry.
  • some metal from an absorbed or adsorbed metal-based reagent such as iron from iron nitrate or iron sulfate, may become free in the slurry, while the remainder of the metal-based reagent may remain at least partially linked on the surface of the abrasive particles in the slurry.
  • the reagent-linked abrasive may be added to an existing CMP composition, such as a commercially available CMP composition that contains an oxidizing agent.
  • the reagent-linked abrasive may be added to a previously formulated peroxide composition to provide a CMP composition of this invention.
  • the composition is prepared by adjusting the amount of each composition component in real time, just prior to a re-mixing of the composition at the point of use.
  • the prepared composition is re-mixed at the point of use, whereupon it is poured onto the polishing pad.
  • the composition is poured onto the pad as it is moved or rotated.
  • additional slurry may be added or excess slurry may be removed, as desired or necessary.
  • composition according to the present invention examples are provided below. Concentrations are given in weight percentages relative to the composition, unless otherwise specified.
  • each of the various slurries was used in a conventional CMP process, using a 6EC polisher from Strasbaugh of San Luis Obispo, Calif., and an IC1000 K groove/Suba IV polishing pad from Rodel of Phoenix, Ariz.
  • Each process was performed on a silicon substrate at least partially layered with a tungsten film of about 8000 ⁇ in thickness.
  • the process parameters included a carrier pressure of about 6 pounds per square inch (psi), a back pressure of 0 psi, a carrier speed of about 90 revolutions per minute (rpm), a platen or table speed of about 90 rpm, and a slurry flow rate of about 175 milliliters per minute (ml/min).
  • the polishing pad was conditioned ex situ using a four-cycle conditioning process.
  • Example 1 slurries A-E, of varied ferric nitrate concentrations ([Fe(NO 3 ) 3 ], wt. %), were prepared and evaluated as to associated material removal rates (RR, Angstroms/minute or ⁇ /min), and within wafer non-uniformity percentages (WNU, %) achieved in the conventional CMP process described above.
  • Each of the slurry compositions was prepared by placing 595 grams of deionized water (DI water) into a two-liter plastic beaker that was equipped with a magnetic stirrer. The DI water was stirred and 200 grams of a 10 wt. % periodic acid solution were added. To this solution, 200 grams of a 30 wt.
  • DI water deionized water
  • colloidal silica abrasive (Levasil 50 CK-862 from H. C. Starck, Inc. of Newton, Mass.) were then added. The solution was then adjusted by adding 5 grams of a 30 wt. % ammonia solution to bring the pH to 3 to provide the base solution for each of the compositions.
  • the individual slurries A-E were prepared by adding 0, 0.22, 1.11, 2.22 and 11.1 grams of a 45 wt. % ferric nitrate solution, respectively, to a separate base solution described above, such that ferric nitrate was absorbed or adsorbed onto the colloidal silica in situ. An appropriate amount of a 30 wt. % ammonia solution was then added to each of the slurries to arrive at a slurry pH of 3.
  • the ferric nitrate concentrations for slurries A-E were 0, 0.01, 0.05, 0.10 and 0.50 wt. %, respectively, as set forth in Table 2 below.
  • the final concentrations of the remaining components of the slurries, all having a pH of 3, were 2 wt. % periodic acid, 3 wt. % colloidal silica, and 0.15 wt. % ammonia.
  • Each of the slurries A-E was used in the conventional CMP process described above and evaluated in terms of material removal rates and within wafer non-uniformity percentage.
  • the tungsten removal rates (W RR) and within wafer non-uniformity (WNU) percentages, as well as the removal rates for silicon dioxide film from tetra-ethyl-orthosilicate (TEOS RR), associated with slurries A-E are provided in Table 2 below.
  • ferric nitrate concentration need not be large to bring about an improvement in the tungsten removal rate.
  • ferric nitrate concentrations of from about 0.025 to about 0.50 wt. %, preferably, from about 0.05 to about 0.10 wt. %, and more preferably, at about 0.05 wt. %, are considered beneficial.
  • the tungsten removal rate appears to plateau somewhat at a ferric nitrate concentration of more or less 0.05 wt. %.
  • the TEOS removal rate does not appear to be particularly sensitive to the addition of ferric nitrate to the CMP slurry.
  • slurries C-E are considered very strong CMP performers, given the relatively high tungsten removal rates associated with these slurries.
  • Slurries C and D are preferred as the lower ferric nitrate concentrations associated with these slurries, and particularly the more preferred slurry C, relative to slurry D, suggest that there is less potential for free iron to be present in the CMP solution and thus in the CMP system following the post-CMP cleaning of the system.
  • Example 2 slurries A-E, of varied periodic acid concentrations ([PIA], wt. %), were prepared and evaluated as to associated material removal rates and within wafer non-uniformity percentages achieved in the conventional CMP process described above.
  • Individual slurries A-E were prepared by placing 797.8, 747.8, 697.8, 647.8, and 597.8 grams of deionized water (DI water), respectively, into separate two-liter plastic beakers that were equipped with magnetic stirrers. The DI water was stirred and 0 , 50 , 100, 150, and 200 grams of a 10 wt. % periodic acid solution, respectively, were added to the separate beakers. To each solution, 200 grams of a 30 wt. % colloidal silica abrasive (Levasil 50 CK-862 from from H. C. Starck, Inc. of Newton, Mass.) were then added.
  • DI water deionized water
  • the individual slurries A-E were prepared by adding 2.2 grams of a 45 wt. % ferric nitrate solution to the separate base solutions described above, such that ferric nitrate was absorbed or adsorbed onto the colloidal silica in situ. An appropriate amount of a 30 wt. % ammonia solution was then added to each of the slurries to arrive at a slurry pH of 3.
  • the periodic acid concentrations for slurries A-E were 0, 0.5, 1.0, 1.5 and 2.0 wt. %, respectively, as set forth in Table 3 below.
  • the final concentrations of the remaining components of the slurries, all having a pH of 3, were 0.1 wt. % ferric nitrate, 3 wt. % colloidal silica, and variable amounts of ammonia.
  • Each of the slurries A-E was used in the conventional CMP process described above and evaluated in terms of material removal rates and within wafer non-uniformity percentage.
  • the tungsten removal rates and within wafer non-uniformity percentages, as well as the TEOS removal rates, associated with slurries A-E are provided in Table 3 below.
  • TABLE 3 Slurries A-E of Example 2 [PIA] Tungsten TEOS Slurry (wt. %) RR ( ⁇ min) WNU %) RR ( ⁇ /min) A 0 584 8.77 NA B 0.5 2226 8.83 886 C 1.0 3192 4.32 886 D 1.5 3433 3.79 905 E 2.0 3627 3.79 869
  • the results show that the tungsten removal rate increases when periodic acid is used in the CMP slurry described above.
  • the periodic acid concentration need not be large to bring about an improvement in the tungsten removal rate.
  • periodic acid concentrations of from about 1 to about 3 wt. %, preferably, from about 1.5 to about 2.5 wt. %, and more preferably, from about 1.5 to about 2 wt. %, are considered beneficial.
  • the TEOS removal rate does not appear to be particularly sensitive to the addition of periodic acid to the CMP slurry.
  • the within wafer non-uniformity percentages are very good, on the order of about 4 WNU % or less. While the characterization of within wafer non-uniformity percentages varies from process to process, generally speaking, percentages of below about 10 WNU % are acceptable, and percentages of about 6 WNU % or less, or about 5 to about 4 WNU % or less, are desirable.
  • slurries D and E are considered very strong CMP performers, given the relatively high tungsten removal rates and the excellent within wafer non-uniformity percentages associated with these slurries.
  • CMP slurries of relatively low pH such as a pH of less than 2
  • CMP slurries of higher pH such as a pH of 6 or 7
  • the use of such prior art slurries has not always provided better, or significantly better, removal rates.
  • the more aggressive chemistries associated with such slurries can undesirably cause recessing of metal features, such as tungsten features or plugs, on the substrate.
  • some alumina slurries of raised pH may be too aggressive for relatively small metal features on a substrate.
  • Example 3 slurries A-C, of varied pH, were prepared and evaluated as to associated material removal rates and within wafer non-uniformity percentages achieved in the conventional CMP process described above.
  • Each of the slurry compositions was prepared by adding 2.2 grams of a 45 wt. % ferric nitrate solution to a separate base solution that was prepared as described above in Example 1, such that ferric nitrate was absorbed or adsorbed onto the colloidal silica in situ.
  • An appropriate amount of a 30 wt. % ammonia solution was then added to each of slurries A-C to arrive at slurry pHs of 1.8, 3.0, and 7.0, respectively, as set forth in Table 4 below.
  • the final concentrations of the components of the slurries were 2 wt. % periodic acid, 0.1 wt. % ferric nitrate, 3 wt. % colloidal silica, and variable amounts of ammonia.
  • Each of the slurries A-C was used in the conventional CMP process described above and evaluated in terms of material removal rates and within wafer non-uniformity percentage.
  • the tungsten removal rates and within wafer non-uniformity percentages, as well as the TEOS removal rates, associated with slurries A-C are provided in Table 4 below.
  • TABLE 4 Slurries A-C of Example 3 Tungsten TEOS Slurry PH RR ( ⁇ /min) WNU %) RR ( ⁇ /min) A 1.8 3435 3.27 879 B 3.0 3494 4.11 893 C 7.0 3418 4.37 547
  • the pH of the slurry can be selected based on other considerations. For example, a pH of 1.8 may be considered too acidic, or potentially corrosive, for certain applications. Further, a pH of 7.0 may be associated with plug recess issues. Thus, while slurries A and C demonstrate good performance, slurry B at a pH of 3 is preferred. Generally speaking, for the CMP slurries of this example, a pH of from about 2 to about 5 is acceptable, a pH of from about 2 to about 4 is preferred, and a pH of about 3 is more preferred.
  • Example 4 slurries A-E, of varied abrasive content, were prepared and evaluated as to associated material removal rates and within wafer non-uniformity percentages achieved in the conventional CMP process described above.
  • Slurry A was prepared in the same manner as that described above for slurry B of Example 3.
  • Slurry B was prepared in the same manner with the exception that the type of abrasive employed was a combination of fumed and colloidal silica, rather than simply colloidal abrasive.
  • slurry compositions C and D were prepared in the same manner, with the exceptions that the type of abrasive employed was varied and that the reagent was not chemically absorbed or absorbed, or at least partially absorbed or adsorbed, in situ, on the surface of the abrasive. That is, while slurry A was prepared using a colloidal silica abrasive and slurry B was prepared using a combination of fumed and colloidal silica abrasive, wherein at least some of the colloidal silica abrasive had reagent at least partially absorbed or adsorbed in situ on its surface, slurries C and D of this example were prepared using alumina, and fumed silica, respectively, instead. Neither alumina nor fumed silica abrasives absorb or adsorb any meaningful amount of the ferric nitrate reagent during the preparation of these slurries.
  • Slurry E was prepared largely in the manner described above, with the exceptions that prefabricated, or ex situ, iron-coated silica abrasive was used as the abrasive component, and because the abrasive was coated with iron, the separate ferric nitrate component used in slurries A-D was not employed.
  • the iron-coated silica was prepared by taking Mirasol 3070 (Precision Colloids, LLC of Cartersville, Ga.), a commercially available aqueous solution of abrasive silica particles, and coating it with a cationic iron catalyst, such that about 25% of the surfaces of the silica particles were coated, as described in the previously mentioned U.S. application Ser. No.
  • the final concentrations of the components of the slurries were 2 wt. % periodic acid, 0.1 wt. % ferric nitrate for slurries A-D, 3 wt. % abrasive of variable type, and variable amounts of ammonia.
  • Each of the slurries A-E was used in the conventional CMP process described above and evaluated in terms of material removal rates and within wafer non-uniformity percentage.
  • the tungsten removal rates and within wafer non-uniformity percentages, as well as the TEOS removal rates and the abrasive particle sizes (in nanometers or nm), associated with slurries A-E are provided in Table 5 below.
  • results show that periodic acid and ferric nitrate can be successfully used in the CMP slurries of the present invention, regardless of the type of abrasive used.
  • results show very good tungsten removal rates using such CMP slurries.
  • Slurry A comprising colloidal silica abrasive
  • Slurry B comprising fumed silica in addition to colloidal silica (in about a 1:1 ratio)
  • fumed silica component of slurry B is responsible for the increased removal rate relative to slurry A, as fumed silica is more abrasive than colloidal silica.
  • Slurry C having only an alumina abrasive, performed fairly well in terms of the tungsten removal rate, though not as well as the colloidal silica-containing slurries A and B discussed above.
  • Slurry D having only a fumed silica abrasive, did not appear to be that effective, as demonstrated by the lower tungsten removal rate and the higher within wafer non-uniformity percentage associated with that slurry. Normally, the polish rate increases with larger particle size. However, in this example, for Slurry C and Slurry D, which contained abrasive of larger particle size than that of Slurry A, the tungsten removal rate was lower than that for Slurry A.
  • iron such as iron in the form of ferric nitrate
  • colloidal silica is absorbed by, or adsorbed on, colloidal silica to a greater extent than by, or on, fumed silica or alumina.
  • the higher tungsten removal rate associated with Slurry A is based on the greater iron absorption by, or adsorption on, colloidal silica.
  • Slurry E having an iron-coated silica abrasive, showed a lower tungsten removal rate and a higher within wafer non-uniformity percentage relative to the colloidal silica-containing slurries A and B. It is believed that the iron associated with the ferric nitrate absorbed or adsorbed in situ on the colloidal silica in slurries A and B is in a more active state, and thus, a more effective state, than the iron that is coated ex situ on the silica in the prefabricated coated abrasive of slurry E.
  • a slurry having at least some colloidal silica for absorption of iron in a relatively active state such as slurry A or slurry B
  • a relatively active state such as slurry A or slurry B
  • Example 5 slurry A was prepared by combining a CMP abrasive composition, an oxidizer composition, and DI water, in a ratio of 1:2:2.
  • Slurry B was prepared in the same manner, with the exception that the ratio was 1:1.5:2.5.
  • the abrasive composition comprised 15 wt. % colloidal silica, 0.5 wt. % ferric nitrate, and 0.62 wt. % nitric acid, and had a pH of 2.
  • the oxidizer composition comprised 5 wt. % periodic acid, 1.5 wt. % acetic acid, and 2.375 wt. % tetramethylammoniumhydroxide (TMAH), and had a pH of 3.8.
  • TMAH tetramethylammoniumhydroxide
  • the pH of both slurry A and slurry B was 3.5.
  • the final concentrations of various components of slurry A were as follows: 2 wt. % periodic acid, 0.6 wt % acetic acid, 0.95 wt. % TMAH, and 3 wt. % colloidal silica.
  • the final concentrations of various components of slurry B were as follows: 1.5 wt. % periodic acid, 0.45 wt % acetic acid, 0.71 wt. % TMAH, and 3 wt. % colloidal silica.
  • FIGS. 1A and 1B consist of SEM pictures of the center and the edge vias, respectively, of the production wafer after polishing. The pictures show good finishing, with good consistency from the center to the edge of the wafer, minimal plug recesses, and no exposed “key holes.” A production wafer polished using slurry B showed even lower plug recesses.
  • slurries using periodic acid as an oxidizing agent and having a pH greater than 3 have plug recesses of 600 to 1000 ⁇ .
  • much smaller plug recesses of 287 ⁇ and 199 ⁇ were associated with Slurry A and Slurry B, respectively.
  • Example 6 Slurry A of Example 5 was used in a conventional CMP process, as described in relation to Example 5, with the exception that the process parameters included a table speed of 110 rpm, carrier speed of 100 rpm, a slurry flow rate of 150 ml/min, and in situ conditioning of the pad (or 6/0/110/100/150/in-situ, as abbreviated). The process was carried out on 26 wafers consecutively to determine stability.
  • FIG. 2 is a graphical plot of the tungsten removal rate (see the vertical axis on the left) and the within wafer non-uniformity percentage (see the vertical axis on the right) for wafers 1-26.
  • the tungsten removal rate and within wafer non-uniformity percentages varied slightly over the 26-wafer test, the former varying only about 6%.
  • Example 7 slurry A of Example 5 was used in process optimization and characterization studies. From process optimization studies, as depicted in the graphical plot of FIG. 3A, it was determined that the 6/0/110/100/150/in-situ process used in Example 6 was preferable to a process in which the only process parameter variation was the use of ex situ conditioning of the CMP pad (6/0/110/100/150/ex-situ), as the tungsten removal rate was higher (comparatively, about 4800 vs. about 4200 ⁇ /min) and the within wafer non-uniformity percentage was not significantly higher (comparatively, about 15 vs. about 13.5 WNU %).
  • Example 6 It was further determined that the 6/0/110/100/150/in-situ process used in Example 6 was preferable to a process in which the only process parameter variations were the use of a back pressure of 2 psi and the use of ex situ CMP conditioning (6/2/110/100/150/ex-situ), as the tungsten removal rate was higher (comparatively, about 4800 vs. about 3950 ⁇ /min) and the within wafer non-uniformity percentage was not significantly higher (comparatively, about 15 vs. about 12 WNU %).
  • process parameters such as the down-force pressure, the table or platen speed, and the slurry flow rate, can be tuned to obtain a desirable tungsten removal rate, a desirable within wafer non-uniformity percentage, and a desirable table temperature.
  • the table temperature is maintained in a range of from about 40° C. to about 45° C.
  • slurry A of Example 5 allows one to maintain an acceptable or relatively low table temperature because the catalytic effect of the ferric nitrate in the slurry works against excessive heat generation.
  • slurries of the present invention react according to free radical generating reaction schemes, as discussed previously, that are associated with lower activation energies than reaction schemes associated with other CMP slurries, such as normal redox reaction schemes.
  • Example 8 a test was performed to determine if the activity of the slurries of the present invention is for the most part attributable to ferric ions present in the system or to ferric ions that are at least partially linked to the abrasive component.
  • Step 1 of this test a tungsten blanket wafer from International Sematech of Austin, Tex. was polished using a non-catalytic slurry A, comprising 2 wt. % periodic acid and 5 wt. % colloidal silica, and a conventional CMP process, wherein the process parameters included a down force pressure of 6 psi, no back pressure, a carrier speed of 70 rpm, a table speed of 110 rpm, a slurry flow of 150 ml/min, and a clean IC1000 K groove/Suba IV polishing pad.
  • Step 2 the wafer was then polished in the same manner, but using a catalytic slurry B according to the present invention, comprising 2 wt.
  • Step 3 the twice-used polishing pad was then soaked in a 10 wt. % ferric nitrate solution for 2 hours. The wafer was then polished as in the manner described above, using the soaked pad and a non-catalytic slurry A described above.
  • Step 4 the thrice-used polishing pad was then soaked in a 10 wt. % ferric nitrate solution for 24 hours and air dried for 24 hours. The wafer was then polished as in the manner described above, using the dried polishing pad and a non-catalytic slurry A described above.
  • Table 6 Various details of the test and the resulting tungsten removal rates and within wafer non-uniformity percentages appear in Table 6 below.
  • Example 8 Ferric Nitrate Polishing Pad Tungston RR WNU Step Slurry in Slurry Condition ( ⁇ /min) % 1 A No Clean 2289 16.32 2 B Yes Used in Step 1 3426 7.89 3 A No Used in Steps 1 2269 15.29 and 2; Soaked in 10 wt. % Fe(NO 3 ) for 2 hours 4 A No Used in Steps 1-3; 2067 15.30 Soaked in 10 wt. % Fe(NO 3 ) 3 for 24 hours; Air Dried for 24 hours
  • the results show that the catalytic slurry B of the present invention, with its catalyst-linked abrasive, is effective in the removal of tungsten (removal rate of 3426 ⁇ /min) and provides a good within wafer non-uniformity percentage (7.89 WNU %).
  • the performance of the non-catalytic slurry A fell far short of the performance of the catalytic slurry B of the invention.
  • soaking the used pad, already conditioned with the catalytic slurry B from Step 2 with a fresh 10 wt. % Fe(NO 3 ) 3 solution for 2 hours, provided no appreciably different results than the poor results obtained with the non-catalytic slurry A.
  • the impressive performance of the catalytic slurry B of the present invention is due to the activity of the catalyst that is at least partially absorbed or adsorbed in situ on the surface of the abrasive particles in the CMP process. More particularly, it is believed that rather than simply serving as a source of active ions, such as ferric ions, that may participate in the polishing process, the catalyst is involved in a reaction that generates free radicals that assist or enhance the polishing process.
  • a good carrier pressure is about 9 psi or less, such as about 6 psi, and a good outcome at a pressure of about 6 psi is a removal rate of greater than about 3000 ⁇ /min, such as a removal rate approaching 5000 ⁇ /min.
  • a good carrier pressure is about 9 psi or less, such as about 4 psi, and a good outcome at a pressure of about 4 psi is a removal rate of greater than about 7500 ⁇ /min. Further, obtaining polished wafers with uniformity values of from about 3 to about 12 WNU % percent is considered a good result.
  • compositions of the present invention function best when the catalyst-linked abrasive component is relatively, if not substantially, stable. Catalyst stability is a desirable characteristic in the slurry compositions of the present invention, as it facilitates control of the CMP process.
  • the slurry compositions of the present invention may be used in CMP processes to obtain desirable material removal rates and within wafer non-uniformity values.
  • the composition may be used in the CMP of a substrate surface having a feature, layer or film thereon, such as a film of aluminum, copper, titanium, tungsten, an alloy thereof, or any combination thereof.
  • the composition may also be used in the CMP of a substrate surface having a feature comprised of ruthenium, an oxide of ruthenium, platinum, or any combination thereof.
  • the composition may be used in the CMP of such a substrate surface, where the film has an adjacent or an underlying feature, layer or film, such as a film of tantalum, tantalum nitride, titanium, titanium nitride, titanium tungsten, tungsten, and any combination thereof.
  • the present invention includes a method of polishing a substrate surface having at least one feature thereon that comprises a metal, such as metal or metal alloy feature.
  • the substrate undergoing polishing may be any suitable substrate, such as any of the substrates described herein.
  • a composition of the invention is provided and the feature on the substrate surface is polished.
  • the polishing is chemical-mechanical polishing, such as that associated with any conventional or known CMP process, any suitable later-developed CMP process, or any CMP process described herein.
  • the polishing process parameters may be any suitable parameters, such as any of the parameters described herein.
  • the carrier pressure applied to the substrate surface, or the feature thereon may be from about 1 to about 6 psi.
  • the polishing of the substrate surface continues until the targeted feature or layer is substantially coplanar with surrounding material, such as an oxide material, on the substrate.
  • the polishing of a metal-featured substrate may continue until any metal excess is sufficiently removed to provide a substantially uniform profile across the substrate surface.
  • suitable surface uniformity typically measured using known wafer profiling techniques
  • wafer non-uniformity percentages are reflected by within wafer non-uniformity percentages of less than about 12%, and preferably, from about 4% to about 6%, and more preferably, even less than 4%, the lower values typically reflecting better process control.
  • Appropriate within wafer non-uniformity values may vary depending on the characteristics of the CMP process and the substrates undergoing polishing.
  • the inventive method may be used to remove targeted material, such as metal or metal alloy, from the substrate surface at a rate of from about 100 to about 6,000 or about 8,000 or about 10,000 or to even about 15,000 ⁇ /min.
  • the present method may be used to provide a polished substrate surface of good uniformity, such as a substrate surface having from about zero to about 40 percent, preferably, from about zero to about 12 percent, or more preferably, from about zero to about 10 or even about 6 or about 4 percent, within wafer non-uniformity.
  • the present method may be used to provide a polished substrate surface wherein any micro-scratch on the surface that is associated with the polishing is less than about 20 ⁇ .
  • the present invention further encompasses a substrate produced by the inventive method, including any of the substrates described herein, and any of the substrates having any of the qualities, such as desirable uniformity values and surface characteristics, described herein.

Abstract

The present invention provides a composition for chemical-mechanical polishing which comprises an oxidizing agent, an abrasive, and a Fenton's reagent. The oxidizing agent comprises a per compound, such as periodic acid, a peroxide, or a persulfate. The abrasive comprises a metal oxide, such as colloidal silica, alumina, or spinel. The Fenton's reagent comprises a metal selected from a group consisting of metals in Group 1(b) and Group 8, such as iron, copper and silver. The composition is believed to be effective by virtue of the interaction between the oxidizing agent and the Fenton's reagent that is at least partially linked to the surface of the abrasive. The invention further provides a method that employs the composition in the polishing of a feature or layer, such as a metal film, on a substrate surface. The invention additionally provides a substrate produced this method.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application is a continuation-in-part of co-pending U.S. application Ser. No. 10/074,757 of Robert J. Small and Brandon S. Scott, entitled [0001] Catalytic Composition for Chemical-Mechanical Polishing, Method of Using Same, and Substrate Treated with Same, filed on Feb. 11, 2002, which is incorporated herein in its entirety by this reference.
  • FIELD OF THE INVENTION
  • The invention relates generally to a composition that is usefully employed in chemical-mechanical polishing processes, and an associated method of polishing a substrate. More particularly, the invention relates to a composition comprising an oxidizing agent, an abrasive, and a Fenton's reagent. The composition is useful in the polishing of various layers, such as metal layers, on substrates. [0002]
  • BACKGROUND OF THE INVENTION
  • A semiconductor wafer, such as a silicon or a gallium arsenide wafer, generally has a substrate surface on which one or more integrated circuits is formed. The substrate surface is desirably as flat, or planar, as possible before the surface is processed to form the integrated circuits. A variety of semiconductor processes are used to form the integrated circuits on the flat surface, during which the wafer takes on a defined topography. If this topography is too irregular or includes surface imperfections, fabrication processes, such as photolithography, are often compromised, and the resulting semiconductor device is often inoperable or highly subject to failure. Thus, it is often necessary to polish the wafer surface to render it as planar or uniform as possible and to remove surface imperfections. [0003]
  • Chemical-mechanical polishing or planarization (CMP) processes are well-known. See, for example, [0004] Chemical Mechanical Polishing in Silicon Processing, Semiconductors and Semimetals, Vol. 62, Edited by Li, S. et al., which is expressly incorporated herein by this reference. CMP processes are commonly used to polish or “planarize” the surfaces of wafers at various stages of fabrication to improve wafer yield, performance and reliability. In CMP, typically the wafer is held in place on a carrier using negative pressure, such as vacuum, or hydrostatic or pneumatic pressure. The carrier is typically situated over a polishing pad that is situated on a platen. CMP generally involves applying a polishing composition or slurry to the polishing pad, establishing contact between the wafer surface and the polishing pad, and applying a down-force pressure on the wafer carrier while providing relative motion, typically rotational or orbital motion, between the wafer surface and the polishing pad. Typically, this relative motion involves movement of both the carrier and the platen at the same or different speeds.
  • The polishing composition typically contains an abrasive material, such as silica and/or alumina particles, in an acidic, neutral, or basic solution. Merely by way of example, a polishing composition useful in the CMP of tungsten material on a substrate may contain abrasive alumina (Al[0005] 2O3), also called aluminum oxide, an oxidizing agent such as hydrogen peroxide (H2O2), and either potassium hydroxide (KOH) or ammonium hydroxide (NH4OH). A CMP process employing such a polishing composition may provide a predictable rate of polishing, while largely preserving desirable insulation features on the wafer surface.
  • CMP is used in a variety of semiconductor processes to polish wafers having a variety of surface features, such as oxide and/or metal layers. By way of example, often the surface of a semiconductor wafer has insulation or oxide features, the grooves or stud vias of which are filled with a metal or metal alloy. Typical filler metals or alloys include aluminum, copper, titanium, titanium nitride, tantalum, tantalum nitride, tungsten, or any combination of these metals or alloys. For such a semiconductor wafer, a typical CMP process involves polishing the metal in a controlled manner to “stop on” the oxide beneath the metal, such that the metal is substantially coplanar with the oxide and remains in the grooves or stud vias of the oxide. After CMP, the substantially coplanar surface is ready for further processing. CMP is currently the primary method used to polish or “planarize” wafers in back end of the line (BEOL) processes. [0006]
  • Semiconductor fabrication processes such as photolithography have evolved significantly, such that advanced devices having very fine oxide, metal, and other surface features, with sub-0.25 micron geometries (such as 0.18 micron or less), are now being made. Process tolerances are necessarily tighter for these advanced devices, calling for improvements in CMP technology to obtain desired material removal rates while minimizing wafer defects or damage. A variety of approaches have been taken in an effort to improve CMP processes. [0007]
  • One approach has involved increasing the down-force pressure on the wafer carrier in order to increase material removal rates. This approach is generally disfavored as the requisite down-force pressure is considered too high and too likely to cause wafer damage, such as scratching, delamination, or destruction of material layers on the wafer. When the wafer is fragile, as is generally the case with substrates layered with films, such as porous films, having a low dielectric constant, these damage issues are particularly acute and detrimental in terms of wafer yield and performance. [0008]
  • Another approach has involved increasing the amount of oxidizing agent used in the CMP slurry in an effort to increase chemical removal of targeted material. This approach is largely disfavored as the use of increased amounts of oxidizing agents detrimentally add to the handling issues and environmental issues associated with many oxidizing agents and thus increase costs. Attempts to catalyze the oxidizing agent to increase removal rates have also had limited success. Additional approaches have involved using a combination of CMP slurries, including for example, a zirconium slurry, a combination of abrasive particles in a CMP slurry, and/or using point-of-use mixing techniques. These approaches are generally undesirable, as they typically complicate CMP in terms of tooling and process control for example, consume more process time, and/or increase costs. [0009]
  • Further developments in the field of CMP technology are desired. One promising development involves a CMP composition comprising an oxidizing agent and an abrasive particle that is at least partially coated with a metal catalyst, as disclosed in co-pending U.S. application Ser. No. 10/074,757 of Small et al. Additional developments in CMP technology are desired. [0010]
  • SUMMARY OF THE INVENTION
  • The present invention provides a composition for chemical-mechanical polishing which comprises at least one oxidizing agent, at least one abrasive, and at least one Fenton's reagent. The oxidizing agent generally comprises a per compound, such as, for example, periodic acid or a peroxide. The abrasive generally comprises a metal oxide, such as, for example, silica, alumina, or a spinel, such as an iron-containing spinel. The Fenton's reagent generally comprises a metal from Group 1(b) or [0011] Group 8 of the Periodic Table of Elements, such as, for example, iron, copper or silver, and is at least partially linked to the abrasive. It is believed that the Fenton's reagent interacts favorably with the oxidizing agent to generate free radicals that facilitate the chemical-mechanical polishing of metal features, such as, for example, tungsten or copper features, on silicon or semiconductor wafers.
  • The composition may contain a variety of additives, such as a typical abrasive (i.e., an abrasive free of a catalyst or Fenton's reagent), a surfactant, a stabilizing agent, a dispersing agent, a complexing agent, a polish-enhancing agent, and/or a pH-adjusting agent. The pH level of the composition should be from about [0012] pH 2 to about pH 7, and preferably, from about pH 3 to about pH 4.
  • The composition of the present invention is effective in the CMP of a variety of metal or metal alloy materials on substrates such as silicon or semiconductor substrates. It is theorized that the Fenton's reagent that is linked to the abrasive and the oxidizing agent react to generate free radicals that are effective intermediates in the reaction between the oxidizing agent and the material targeted for removal from the substrate surface. Further, it is believed that the reagent-linked abrasive is particularly effective as it brings the reagent directly to the targeted material on the substrate surface, and thus facilitates or accelerates the removal reaction substantially at the site of the targeted material. [0013]
  • The composition provides very desirable material removal rates, for example, up to about 6,000 to about 15,000 Angstroms (Å) per minute, such as about 8,000 Å per minute, in a CMP process. The composition is effective when used in conventional CMP processes, as well as CMP processes having relatively low carrier pressures. Substrates polished using the composition show good uniformity values, as reflected by relatively low within wafer non-uniformity percentages. For example, in some of the examples provided herein, the within wafer non-uniformity percentages of the polished substrates were about 7% or less, or even about 4-6% or less. [0014]
  • Additional features, aspects and advantages of the present invention will become apparent from the description of preferred embodiments and the various examples set forth below.[0015]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A and FIG. 1B are SEM pictures of the center and the edge vias, respectively, of a production wafer after polishing using a composition of the present invention, as further described below in relation to Example 5. [0016]
  • FIG. 2 is a graphical plot of the tungsten removal rate and the within wafer non-uniformity percentage of 26 wafers consecutively polished using a composition of the present invention, as further described below in relation to Example 6. [0017]
  • FIG. 3A is a graphical plot of optimization studies of CMP processes using a composition of the present invention, as further described below in relation to Example 7. [0018]
  • FIG. 3B and FIG. 3C are graphical plots of characterization studies of CMP processes using a composition of the present invention, as further described below in relation to Example 7.[0019]
  • DESCRIPTION OF PREFERRED EMBODIMENTS
  • The composition of the present invention is usefully employed in the chemical-mechanical polishing (CMP) of a substrate. The composition or slurry may be used to polish at least one feature or layer on a substrate such as a silicon substrate, a gallium arsenide (GaAs) substrate, a thin film transistor-liquid crystal display (“TFT-LCD”) glass substrate, or any other substrate associated with integrated circuits, thin films, semiconductors, Micro Electro Mechanical Systems (MEMS) structures, hard disk platters and heads, and the like. By way of example, the composition of the present invention may be used in the CMP of a substrate having one or more layers of aluminum, copper, copper-aluminum alloy, tantalum, titanium, tungsten, or tantalum-, titanium-, or tungsten-containing alloys, such as tantalum nitride, titanium nitride, titanium tungsten, or any combination thereof, particularly, copper or tungsten, and most particularly, tungsten. [0020]
  • Generally, throughout this description, any mention of a component of the composition refers to at least one such component, for example, one such component or multiple such components. Further, any amount of a component of the composition is given as a weight percent (wt. %) relative to the composition. Additionally, any amount of a component is given as an approximate amount, for example, more or less than, or equal to, the precise numerical amount stated. This convention concerning approximate amounts applies to any numerical measure stated herein in connection with the composition, such as a numerical pH level stated for the composition or a numerical process parameter stated for a CMP process employing the composition. The foregoing conventions apply throughout this specification unless specified or clearly intended or implied otherwise. [0021]
  • The composition generally comprises at least one oxidizing agent, at least one abrasive, and at least one Fenton's reagent that is at least partially linked to the abrasive, as further described herein. Typically, the abrasive component comprises a portion of abrasive that is linked with the Fenton's reagent (sometimes referred to herein as “linked abrasive”) and a portion of abrasive that is free of any Fenton's reagent (sometimes referred to herein as “normal abrasive”), although only the former need be present. For example, the abrasive may comprise a ratio of linked abrasive to normal abrasive of about 1 to about 9. Each of the components of the composition and typical, preferred, and more preferred amounts thereof, in approximate weight percent (wt. %) relative to the composition, are provided below in Table 1. [0022]
    TABLE 1
    Chemical-Mechanical Polishing Composition
    Component Typical Amount Preferred Amount More Preferred Amount
    Oxidizing Agent 0.01 to 30 wt. % 0.01 to 10 wt. % 0.01 to 6 wt. % 
    Normal Abrasive 0.01 to 30 wt. % 0.01 to 20 wt. % 0.01 to 10 wt. %
    Linked Abrasive 0.01 to 25 wt. % 0.01 to 10 wt. % 0.01 to 5 wt. % 
  • The oxidizing agent of the CMP composition assists in the chemical removal of targeted material on the substrate surface. The oxidizing agent component is thus believed to enhance or increase the material removal rate of the composition. Preferably, the amount of oxidizing agent in the composition is sufficient to assist the chemical removal process, while being as low as possible to minimize handling, environmental, or similar or related issues, such as cost. The various amounts of oxidizing agent provided in Table [0023] 1 are all effective and suitable, while the more preferred amount of from about 0.01 to about 6 weight percent relative to the composition, is particularly preferred in terms of minimizing the potential issues mentioned above.
  • The oxidizing agent is preferably an inorganic or organic per-compound. A per-compound is generally defined as a compound containing an element in its highest state of oxidation, such as perchloric acid; a compound containing at least one peroxy group (—O—O—), such as peracetic acid and perchromic acid; or a compound having exhaustive substitution or addition, such as perchloroethylene. [0024] The Condensed Chemical Dictionary, Tenth Edition, Revised by Hawley, G. Suitable per-compounds containing at least one peroxy group include, but are not limited to, a hydroperoxide, a hydrogen peroxide, such as hydrogen peroxide or urea hydrogen peroxide, a persulfate, such as a monopersulfate (SO5 dbd) or a dipersulfate (S2O8 dbd), peracetic acid, a percarbonate, an organic peroxide, such as benzoyl peroxide, di-t-butyl peroxide, any acid thereof, any salt thereof, any adduct thereof, and any combination of the foregoing. Suitable per-compounds that do not contain a peroxy group include, but are not limited to, periodic acid, any periodiate salt, perbromic acid, any perbromate salt, perchloric acid, any perchlorate salt, perboric acid, any perborate salt, permanganate, any permanganate salt, and any combination of the foregoing. Preferably, the oxidizing agent is a per-compound or a compound possessing a reactive peroxy functional group, such as monopersulfate, dipersulfate, peracetic acid, urea hydrogen peroxide, hydrogen peroxide, any acid, salt, or adduct thereof, and any combination of the foregoing, or a per-compound not having a peroxy group, such as periodic acid, any acid, salt, or adduct thereof, and any combination of the foregoing. Most preferably, the oxidizing agent is periodic acid.
  • Other oxidizing agents are also suitable components of the composition of the present invention. For example, ozone is a suitable oxidizing agent either alone or in combination with one or more other suitable oxidizing agents. Further by way of example, the oxidizing agent may be a metal salt, a metal complex or coordination compound, or any combination thereof. An organic or inorganic hydroxylamine compound or salt is yet another possible oxidizing agent component for the composition. [0025]
  • A sampling of suitable oxidizing agents includes iron salts, aluminum salts, sodium salts, potassium salts, as well as ammonium salts, quaternary ammonium salts, phosphonium salts, peroxides, chlorates, perchlorates, permanganates, persulfates, and any combination thereof. In general, the various oxidizing agents described herein may be used either alone or in combination with one another, although any combination that might undesirably complicate the CMP process is preferably avoided. [0026]
  • In addition to the oxidizing agent component, the composition also comprises an abrasive and a Fenton's reagent. The reagent is at least partially linked to the abrasive, as further described herein. The abrasive is effective in the mechanical removal of targeted material on the substrate surface. Suitable amounts of reagent-linked abrasive, such as the preferred range of from about 0.01 to about 10 weight percent relative to the composition, are listed in Table 1 above. Suitable amounts of normal abrasive, if any, are also listed in Table 1. [0027]
  • The abrasive is generally in the form of an abrasive particle, and typically many abrasive particles, of one material or a combination of different materials. Generally, a suitable abrasive particle is more or less spherical and has an effective diameter of about 30 to about 170 nanometers (nm), although individual particle size may vary. Abrasive in the form of aggregated or agglomerated particles are preferably processed further to form individual abrasive particles. [0028]
  • The abrasive particle may be a metal oxide particle, a resinous particle, or a plastic particle, and is preferably a plastic particle. A suitable metal oxide abrasive includes, but is not limited to, alumina, ceria, germania, silica, a spinel, titania, an oxide of tungsten, zirconia, and any combination thereof, preferably, alumina, colloidal silica, or an iron spinel. The metal oxide abrasive may be produced by any of a variety of techniques, including sol-gel, hydrothermal, hydrolytic, plasma, fuming and precipitation techniques, and any combination thereof. Preferably, the metal oxide abrasive is a colloidal abrasive, such as colloidal silica. In general, the above-mentioned metal oxide abrasives may be used either alone or in combination with one another, although any combination that might undesirably complicate the CMP process is preferably avoided. [0029]
  • As mentioned above, other abrasives, such as plastic or resinous abrasives, are suitable components of the composition of the present invention. For example, a suitable plastic abrasive particle may be composed of a polyacrylic acid, a polymethylacrylic acid, a polyvinyl alcohol, or any combination thereof. Further by way of example, a suitable resinous abrasive particle may be composed of a polyacrylic acid, a polymethylacrylic acid, a polymelamine, or any combination thereof, or any particle of a ion exchange resin, such as a plastic ion exchange resin. [0030]
  • According to the present invention, a catalytic reagent is at least partially linked to the abrasive. The reagent enhances, or increases, the rate of the chemical reaction between the oxidizing agent of the composition and the targeted material, particularly metal material, on the substrate surface during a CMP process. The reagent is believed to assist in the formation of activated oxidizing species or free radicals, such as activated peroxy groups, at reaction sites on the material targeted for removal. Preferably, the reagent is substantially insoluble in the composition such that it remains, for the most part, at least partially linked to the abrasive particle during the CMP process. [0031]
  • As mentioned above, the reagent is at least partially linked to the abrasive material of the composition. As used herein, “linking” and its various linguistic or grammatical forms or counterparts generally refer to forming a chemical connection between the abrasive and the reagent, such as by forming at least a partial layer of reagent material on at least a portion of the abrasive, absorbing or adsorbing the reagent material on at least a portion of the abrasive, forming bonds between the reagent material and at least a portion of the abrasive, such as covalent bonds, ionic bonds, or a combination of covalent and ionic bonds, and the like, by any suitable means or method. [0032]
  • Care should be taken as to the amount of reagent-linked abrasive used, as using too much of the reagent may compromise control of the CMP process. The amount of reagent-linked abrasive should generally not exceed 25 weight percent of the composition. Where reagent concentration is a concern, an increased amount of normal abrasive, absent a reagent linkage, may be used to dilute the reagent in the composition and facilitate control of the CMP process. [0033]
  • Catalytic reagents suitable for the CMP composition are now described. Certain metal salts are extremely versatile means of producing free radicals, particularly in aqueous systems, and inducing radical chain processes. An example of a suitable radical-generating system for the oxidation of a variety of organic substrates includes a Fenton's reagent of ferrous ions and hydrogen peroxide, as described by Fenton. See Fenton, H. J. H., [0034] Oxidation of Tartaric Acid in presence of Iron, J. Chem. Soc., 65, 899-910 (1894). Also known are a variety of similar systems, as well as radical chain process-inducing systems involving inorganic reagents, such as a system for the metal ion-catalyzed autoxidation of sulfite ions elucidated by Haber and Wilstäter (F. Haber and Wilstätter, Ber., 64, 2844 (1931)), and Bäckström (H. L. J. Bäckström, Z. physik, Chem., B25, 122 (1934)). See Walling, C., Free Radicals in Solution (John Wiley & Sons, New York, 1957), pp. 564-579, which is incorporated herein in its entirety by this reference. Systems such as these are often referred to in terms of reduction activation or redox catalysis. As used herein, these redox-related terms apply to all redox systems that produce free radicals and/or induce radical chain processes by one-electron redox reactions. Similarly, as used herein, the term “Fenton's reagent” applies to all metal-based reagents, not just ferrous ions in combination with hydrogen peroxide as described above, that participate in free radical reactions.
  • The Fenton's reagent comprises a metal from Group 1(b) or [0035] Group 8 of the Periodic Table of the Elements that reacts with the oxidizing agent of the CMP composition to activate free radicals. See, for example, Handbook of Chemistry and Physics, 64th Edition, Periodic Table of the Elements, Inside Front Cover, which is fully incorporated herein by this reference. Suitable metals include iron, copper, silver, and any combination thereof. The suitability of silver appears to depend on the oxidizing agent employed. Merely by way of example, silver is a suitable metal-based Fenton's reagent when the oxidizing agent is a persulfate. Cobalt does not appear to be effective as a Fenton's reagent. Preferably, the metal comprises copper, iron, or a combination thereof, most preferably, iron because of its greater activity. It is believed that reagents having a standard oxidation potential of from about −0.55 to about −0.40 eV, such as copper (−0.52 eV) and iron (−0.44 eV), for example, have an optimal or preferred catalytic activity.
  • Merely by way of example, when ferrous iron is used as the Fenton's reagent and the oxidizing agent is hydrogen peroxide, the reaction sequence (Reaction Sequence 1) set forth below is believed to take place. [0036]
  • [0037] Reaction Sequence 1
  • Fe[0038] 2++H2O2->Fe3++OH+.OH
  • .OH+Fe[0039] 2+->OH+Fe3+
  • .OH+H[0040] 2O2->H2O+.OOH
  • .OOH+H[0041] 2O->O2+H2O+.OH
  • See, for example, the above-referenced publication of Walling. Further by way of example, when ferric iron is used as the Fenton's reagent and the oxidizing agent is periodic acid, the reaction sequence (Reaction Sequence 2) set forth below is believed to take place. [0042]
  • [0043] Reaction Sequence 2
  • H[0044] 4IO6 2−+Fe3+->H3IO5 2−+.OH+Fe2+H 3IO5 2−->H 2O+.OH+Fe3+
  • See, for example, Symons, M. C. R., [0045] Evidence for Formation of Free-radical Intermediates in Some Reactions Involving Periodate, J. Chem. Soc., 1955, pp. 2794-2796, which is incorporated herein in its entirety by this reference. These exemplary reaction sequences demonstrate the activation of free radicals that are useful in the CMP compositions of the present invention.
  • The Fenton's reagent may be in a variety of forms, such as an oxide, a hydroxide, a nitrate, a halide, such as chloride, a perchlorate, or an acetate of the metal, a source of ions of the metal, and any combination thereof. As to the oxide and hydroxide forms of the reagent, these should be soluble under acid conditions, for example, at a pH of about 5 or less, such that soluble metal ions are present in the composition. By way of example, suitable metal oxides include iron oxide, copper oxide, and silver oxide. Further by way of example, the reagent may be a source of mulivalent metal, such as a source of divalent or trivalent iron, as exemplified in the two reaction sequences, [0046] Reaction Sequences 1 and 2, shown above. Preferably, the reagent is a metal acetate, such as copper acetate (“CuAc”) or preferably, iron acetate (“FeAc”). More preferably, the reagent is a metal nitrate or a metal sulfate, such as an iron nitrate or an iron sulfate.
  • It is believed that the composition of the present invention is particularly advantageous by virtue of the interaction between the reagent at least partially linked to the surface of the abrasive and the oxidizing agent. That is, it is believed that a reaction takes place between the abrasive-linked reagent and the oxidizing agent, such as a peroxide or periodic acid, at or near the surface of the abrasive. It is believed that this reaction generates free radicals or active reaction intermediates, such as hydroxyl free radicals (HO.) or perhydroxyl free radicals (HOO.), near the surface of the abrasive, which radicals interact favorably with the targeted material on the substrate when the reagent-linked abrasive contacts the substrate surface. Descriptions of redox systems involving reagents that generate free radicals in the presence of oxidizing agents are provided in the Walling and Symons publications set forth above, and in Bacon, R., [0047] The Initiation of Polymerisation Processes by Redox Catalysts, Quart. Revs., Vol. IX (1955), pp.287-310, which is incorporated herein in its entirety by this reference. Such reagents are candidates for linkage with the abrasive used in the composition.
  • The pH of the composition is desirably on the order of from about [0048] pH 2 to about pH 7, and preferably, from about pH 2.5 to about pH 4.5, and most preferably, from about pH 3 to about pH 4. These pH levels, and particularly the preferred and most preferred levels, are believed to facilitate control of the CMP process. A composition having a pH that is too low, such as below pH 2, may present problems in terms of the handling of the composition and the quality of the polishing itself. A composition having a pH that is too high, such as above pH 7, may detrimentally contribute to reagent solubility problems, particularly when the reagent is a metal oxide or metal hydroxide.
  • The pH of the composition may be adjusted using an appropriate pH-adjusting agent, such as a suitable acid, base, amine, or any combination thereof. The pH-adjusting agent may contain metal ions. Examples include metal hydroxides, such as NaOH, KOH and the like, containing sodium, potassium, and like metal ions, respectively. Preferably, the pH-adjusting agent used in the composition does not contain any undesirable metal ions, such that undesirable metal components are not introduced into the composition. Suitable pH-adjusting agents include amines, ammonium hydroxide, nitric acid, phosphoric acid, sulfuric acid, organic acids, and any combination thereof. [0049]
  • The composition may also include one or more of various optional additives. Suitable optional additives include surfactants, stabilizating agents, complexing agents, such as oxalic acid and/or lactic acid for example, dispersing agents, and the like. These optional additives are generally employed to facilitate or promote stabilization of the composition against settling, flocculation (including precipitation, aggregation or agglomeration of particles, and the like), decomposition, and the like. Examples of these optional additives include sulfuric acid, phosphoric acid, nitric acid, ammonium salts, potassium salts, sodium salts, or other cationic salts of sulfates and phosphates, and any combination thereof. [0050]
  • In general, any of these optional additives should be present in an amount sufficient to substantially stabilize the composition. The necessary amount varies depending on the particular additive selected and the particular make-up of the CMP composition, such as the nature of the surface of the abrasive component. If too little of the additive is used, the additive will have little or no effect on the stability of the composition. On the other hand, if too much of the additive is used, the additive may contribute to the formation of undesirable foam and/or flocculent in the composition. Generally, suitable amounts of these optional additives range from about 0.001 to about 2 weight percent relative to the composition, and preferably from about 0.001 to about 1 weight percent. These optional additives may be added directly to the composition or applied to the surface of the abrasive component of the composition. [0051]
  • While there are many suitable surfactant additives for the composition, preferred surfactant additives include dodecyl sulfate sodium salt, sodium lauryl sulfate, dodecyl sulfate ammonium salt, and any combination thereof. Suitable commercially available surfactants include TRITON DF-16 manufactured by Union Carbide and SURFYNOL manufactured by Air Products and Chemicals. [0052]
  • Stabilization agents may be used to stabilize the reagent or catalyst in the presence of the oxidizing agent of the composition. For example, stabilizers may be needed to stabilize a metal ion reagent in the presence of an oxidizing agent, such as hydrogen peroxide. If a stabilization agent is not used, the oxidizing agent and the catalyst may react in a manner that rapidly degrades the oxidizing agent and thus compromises the CMP process. On the other hand, the presence of a stabilization agent in the composition may compromise the efficacy of the catalyst. Thus, for optimal CMP performance, careful consideration should be given as to whether or not to use a stabilizing agent in the composition, and as to the selection and amount of any stabilization agent added to the composition. [0053]
  • Suitable stabilizing agents include organic acids, such as adipic acid, carboxylic acid, citric acid, malonic acid, orthophthalic acid, and ethylenediaminetetraacetic acid, phosphoric acid, phosphonate compounds, nitriles, and other ligands, such as those that bind the reagent material and thus reduce reactions that degrade the oxidizing agent, and any combination of the foregoing agents. As used herein, an acid stabilizing agent refers to both the acid stabilizer and its conjugate base. That is, the various acid stabilizing agents may also be used in their conjugate form. By way of example, herein, an adipic acid stabilizing agent encompasses adipic acid and/or its conjugate base, a carboxylic acid stabilizing agent encompasses carboxylic acid and/or its conjugate base, carboxylate, and so on for the above-mentioned acid stabilizing agents. A suitable stabilizer, used alone or in combination with one or more other stabilizers, decreases the rate at which an oxidizing agent such as hydrogen peroxide decomposes during a CMP process. [0054]
  • Optionally, certain additives or polish-enhancement agents may be added to the composition to enhance or improve the polishing rate of targeted material on the substrate surface, such as tantalum and titanium material often present in the form of barrier layers on the substrate surface. An example of a polish-enhancement agent is hydroxylamine, which is particularly effective when the targeted material is tantalum. Polishing-enhancement agents other than hydroxylamine, such as fluoride-based agents, are generally preferred for use with peroxide-containing compositions. The optional polishing-enhancement agent, if any, is generally present in an amount of from about 0.001 to about 2 weight percent, or preferably, from about 0.001 to about 1 weight percent, relative to the composition. [0055]
  • The CMP composition or slurry of the present invention may be prepared using conventional techniques. Typically, the water and abrasive components are combined, reagent-linked abrasive is then added, oxidizer is then added, and the pH is adjusted. The reagent-linked abrasive is typically prepared separately, and in situ, by absorbing or adsorbing the reagent on the surface of the abrasive at low pH, such as a pH of about 2, for example. When the pH is adjusted upwards, such as to a pH of about 3.5, upon the addition of further components of the slurry, such as ammonia, the solubility of the absorbed or adsorbed reagent may increase, such that some free reagent is present in the slurry. In this way, for example, some metal from an absorbed or adsorbed metal-based reagent, such as iron from iron nitrate or iron sulfate, may become free in the slurry, while the remainder of the metal-based reagent may remain at least partially linked on the surface of the abrasive particles in the slurry. [0056]
  • Alternatively, according to one aspect of the present invention, the reagent-linked abrasive may be added to an existing CMP composition, such as a commercially available CMP composition that contains an oxidizing agent. For example, the reagent-linked abrasive may be added to a previously formulated peroxide composition to provide a CMP composition of this invention. [0057]
  • In some CMP processes, particularly some of the advanced polishing processes, the composition is prepared by adjusting the amount of each composition component in real time, just prior to a re-mixing of the composition at the point of use. For most CMP processes, the prepared composition is re-mixed at the point of use, whereupon it is poured onto the polishing pad. Typically, the composition is poured onto the pad as it is moved or rotated. As the CMP process proceeds, additional slurry may be added or excess slurry may be removed, as desired or necessary. [0058]
  • Examples of the composition according to the present invention are provided below. Concentrations are given in weight percentages relative to the composition, unless otherwise specified. [0059]
  • In Examples 1-4, each of the various slurries was used in a conventional CMP process, using a 6EC polisher from Strasbaugh of San Luis Obispo, Calif., and an IC1000 K groove/Suba IV polishing pad from Rodel of Phoenix, Ariz. Each process was performed on a silicon substrate at least partially layered with a tungsten film of about 8000 Å in thickness. The process parameters included a carrier pressure of about 6 pounds per square inch (psi), a back pressure of 0 psi, a carrier speed of about 90 revolutions per minute (rpm), a platen or table speed of about 90 rpm, and a slurry flow rate of about 175 milliliters per minute (ml/min). Following the CMP polishing process, the polishing pad was conditioned ex situ using a four-cycle conditioning process. [0060]
  • EXAMPLE 1
  • In Example 1, slurries A-E, of varied ferric nitrate concentrations ([Fe(NO[0061] 3)3], wt. %), were prepared and evaluated as to associated material removal rates (RR, Angstroms/minute or Å/min), and within wafer non-uniformity percentages (WNU, %) achieved in the conventional CMP process described above. Each of the slurry compositions was prepared by placing 595 grams of deionized water (DI water) into a two-liter plastic beaker that was equipped with a magnetic stirrer. The DI water was stirred and 200 grams of a 10 wt. % periodic acid solution were added. To this solution, 200 grams of a 30 wt. % colloidal silica abrasive (Levasil 50 CK-862 from H. C. Starck, Inc. of Newton, Mass.) were then added. The solution was then adjusted by adding 5 grams of a 30 wt. % ammonia solution to bring the pH to 3 to provide the base solution for each of the compositions.
  • The individual slurries A-E were prepared by adding 0, 0.22, 1.11, 2.22 and 11.1 grams of a 45 wt. % ferric nitrate solution, respectively, to a separate base solution described above, such that ferric nitrate was absorbed or adsorbed onto the colloidal silica in situ. An appropriate amount of a 30 wt. % ammonia solution was then added to each of the slurries to arrive at a slurry pH of 3. The ferric nitrate concentrations for slurries A-E were 0, 0.01, 0.05, 0.10 and 0.50 wt. %, respectively, as set forth in Table 2 below. The final concentrations of the remaining components of the slurries, all having a pH of 3, were 2 wt. % periodic acid, 3 wt. % colloidal silica, and 0.15 wt. % ammonia. [0062]
  • Each of the slurries A-E was used in the conventional CMP process described above and evaluated in terms of material removal rates and within wafer non-uniformity percentage. The tungsten removal rates (W RR) and within wafer non-uniformity (WNU) percentages, as well as the removal rates for silicon dioxide film from tetra-ethyl-orthosilicate (TEOS RR), associated with slurries A-E are provided in Table 2 below. [0063]
    TABLE 2
    Slurries A-E of Example 1
    [Fe(NO3)3] Tungsten TEOS
    Slurry (wt. %) RR (Å/min) WNU %) RR (Å/min)
    A 0 2947 4.98 908
    B 0.01 3135 4.71 909
    C 0.05 3637 6.14 890
    D 0.10 3627 6.63 869
    E 0.50 3686 5.93 855
  • Generally, the results show that the tungsten removal rate increases when ferric nitrate is used in the CMP slurry described above. The ferric nitrate concentration need not be large to bring about an improvement in the tungsten removal rate. For example, ferric nitrate concentrations of from about 0.025 to about 0.50 wt. %, preferably, from about 0.05 to about 0.10 wt. %, and more preferably, at about 0.05 wt. %, are considered beneficial. The tungsten removal rate appears to plateau somewhat at a ferric nitrate concentration of more or less 0.05 wt. %. The TEOS removal rate does not appear to be particularly sensitive to the addition of ferric nitrate to the CMP slurry. [0064]
  • In this example, slurries C-E are considered very strong CMP performers, given the relatively high tungsten removal rates associated with these slurries. Slurries C and D are preferred as the lower ferric nitrate concentrations associated with these slurries, and particularly the more preferred slurry C, relative to slurry D, suggest that there is less potential for free iron to be present in the CMP solution and thus in the CMP system following the post-CMP cleaning of the system. [0065]
  • EXAMPLE 2
  • In Example 2, slurries A-E, of varied periodic acid concentrations ([PIA], wt. %), were prepared and evaluated as to associated material removal rates and within wafer non-uniformity percentages achieved in the conventional CMP process described above. Individual slurries A-E were prepared by placing 797.8, 747.8, 697.8, 647.8, and 597.8 grams of deionized water (DI water), respectively, into separate two-liter plastic beakers that were equipped with magnetic stirrers. The DI water was stirred and [0066] 0, 50, 100, 150, and 200 grams of a 10 wt. % periodic acid solution, respectively, were added to the separate beakers. To each solution, 200 grams of a 30 wt. % colloidal silica abrasive (Levasil 50 CK-862 from from H. C. Starck, Inc. of Newton, Mass.) were then added.
  • The individual slurries A-E were prepared by adding 2.2 grams of a 45 wt. % ferric nitrate solution to the separate base solutions described above, such that ferric nitrate was absorbed or adsorbed onto the colloidal silica in situ. An appropriate amount of a 30 wt. % ammonia solution was then added to each of the slurries to arrive at a slurry pH of 3. The periodic acid concentrations for slurries A-E were 0, 0.5, 1.0, 1.5 and 2.0 wt. %, respectively, as set forth in Table 3 below. The final concentrations of the remaining components of the slurries, all having a pH of 3, were 0.1 wt. % ferric nitrate, 3 wt. % colloidal silica, and variable amounts of ammonia. [0067]
  • Each of the slurries A-E was used in the conventional CMP process described above and evaluated in terms of material removal rates and within wafer non-uniformity percentage. The tungsten removal rates and within wafer non-uniformity percentages, as well as the TEOS removal rates, associated with slurries A-E are provided in Table 3 below. [0068]
    TABLE 3
    Slurries A-E of Example 2
    [PIA] Tungsten TEOS
    Slurry (wt. %) RR (Å min) WNU %) RR (Å/min)
    A 0 584 8.77 NA
    B 0.5 2226 8.83 886
    C 1.0 3192 4.32 886
    D 1.5 3433 3.79 905
    E 2.0 3627 3.79 869
  • Generally, the results show that the tungsten removal rate increases when periodic acid is used in the CMP slurry described above. The periodic acid concentration need not be large to bring about an improvement in the tungsten removal rate. For example, periodic acid concentrations of from about 1 to about 3 wt. %, preferably, from about 1.5 to about 2.5 wt. %, and more preferably, from about 1.5 to about 2 wt. %, are considered beneficial. The TEOS removal rate does not appear to be particularly sensitive to the addition of periodic acid to the CMP slurry. [0069]
  • At periodic acid concentrations of 1 wt. % or more, the within wafer non-uniformity percentages are very good, on the order of about 4 WNU % or less. While the characterization of within wafer non-uniformity percentages varies from process to process, generally speaking, percentages of below about 10 WNU % are acceptable, and percentages of about 6 WNU % or less, or about 5 to about 4 WNU % or less, are desirable. [0070]
  • In this example, slurries D and E are considered very strong CMP performers, given the relatively high tungsten removal rates and the excellent within wafer non-uniformity percentages associated with these slurries. [0071]
  • EXAMPLE 3
  • As CMP slurries of relatively low pH, such as a pH of less than 2, may provide limited removal rates, approaches involving the use of CMP slurries of higher pH, such as a pH of 6 or 7, have been tried. However, the use of such prior art slurries has not always provided better, or significantly better, removal rates. Further, the more aggressive chemistries associated with such slurries can undesirably cause recessing of metal features, such as tungsten features or plugs, on the substrate. By way of example, some alumina slurries of raised pH may be too aggressive for relatively small metal features on a substrate. [0072]
  • In Example 3, slurries A-C, of varied pH, were prepared and evaluated as to associated material removal rates and within wafer non-uniformity percentages achieved in the conventional CMP process described above. Each of the slurry compositions was prepared by adding 2.2 grams of a 45 wt. % ferric nitrate solution to a separate base solution that was prepared as described above in Example 1, such that ferric nitrate was absorbed or adsorbed onto the colloidal silica in situ. An appropriate amount of a 30 wt. % ammonia solution was then added to each of slurries A-C to arrive at slurry pHs of 1.8, 3.0, and 7.0, respectively, as set forth in Table 4 below. The final concentrations of the components of the slurries were 2 wt. % periodic acid, 0.1 wt. % ferric nitrate, 3 wt. % colloidal silica, and variable amounts of ammonia. [0073]
  • Each of the slurries A-C was used in the conventional CMP process described above and evaluated in terms of material removal rates and within wafer non-uniformity percentage. The tungsten removal rates and within wafer non-uniformity percentages, as well as the TEOS removal rates, associated with slurries A-C are provided in Table 4 below. [0074]
    TABLE 4
    Slurries A-C of Example 3
    Tungsten TEOS
    Slurry PH RR (Å/min) WNU %) RR (Å/min)
    A 1.8 3435 3.27 879
    B 3.0 3494 4.11 893
    C 7.0 3418 4.37 547
  • Generally, the results show that pH has no significant effect on the tungsten removal rate. These results are interesting, as one would expect the tungsten removal rate to be affected by pH in a normal redox reaction. Further, one would expect the iron species to be in the form of iron hydroxides or oxides at a pH of 7, and thus nearly inactive in a normal redox reaction. It is believed that the CMP slurries of the present invention are thus not involved in a normal redox reaction. Rather, it is believed that these CMP slurries are involved in a free radical reaction, such as those represented by the reaction sequences, [0075] Reaction Sequences 1 and 2, described previously herein.
  • As the pH does not appear to have a meaningful effect on the tungsten removal rate, the pH of the slurry can be selected based on other considerations. For example, a pH of 1.8 may be considered too acidic, or potentially corrosive, for certain applications. Further, a pH of 7.0 may be associated with plug recess issues. Thus, while slurries A and C demonstrate good performance, slurry B at a pH of 3 is preferred. Generally speaking, for the CMP slurries of this example, a pH of from about 2 to about 5 is acceptable, a pH of from about 2 to about 4 is preferred, and a pH of about 3 is more preferred. [0076]
  • EXAMPLE 4
  • In Example 4, slurries A-E, of varied abrasive content, were prepared and evaluated as to associated material removal rates and within wafer non-uniformity percentages achieved in the conventional CMP process described above. Slurry A was prepared in the same manner as that described above for slurry B of Example 3. Slurry B was prepared in the same manner with the exception that the type of abrasive employed was a combination of fumed and colloidal silica, rather than simply colloidal abrasive. Each of the slurry compositions C and D was prepared in the same manner, with the exceptions that the type of abrasive employed was varied and that the reagent was not chemically absorbed or absorbed, or at least partially absorbed or adsorbed, in situ, on the surface of the abrasive. That is, while slurry A was prepared using a colloidal silica abrasive and slurry B was prepared using a combination of fumed and colloidal silica abrasive, wherein at least some of the colloidal silica abrasive had reagent at least partially absorbed or adsorbed in situ on its surface, slurries C and D of this example were prepared using alumina, and fumed silica, respectively, instead. Neither alumina nor fumed silica abrasives absorb or adsorb any meaningful amount of the ferric nitrate reagent during the preparation of these slurries. [0077]
  • Slurry E was prepared largely in the manner described above, with the exceptions that prefabricated, or ex situ, iron-coated silica abrasive was used as the abrasive component, and because the abrasive was coated with iron, the separate ferric nitrate component used in slurries A-D was not employed. For slurry E, the iron-coated silica was prepared by taking Mirasol 3070 (Precision Colloids, LLC of Cartersville, Ga.), a commercially available aqueous solution of abrasive silica particles, and coating it with a cationic iron catalyst, such that about 25% of the surfaces of the silica particles were coated, as described in the previously mentioned U.S. application Ser. No. 10/074,757 of Robert Small et al. The final concentrations of the components of the slurries were 2 wt. % periodic acid, 0.1 wt. % ferric nitrate for slurries A-D, 3 wt. % abrasive of variable type, and variable amounts of ammonia. [0078]
  • Each of the slurries A-E was used in the conventional CMP process described above and evaluated in terms of material removal rates and within wafer non-uniformity percentage. The tungsten removal rates and within wafer non-uniformity percentages, as well as the TEOS removal rates and the abrasive particle sizes (in nanometers or nm), associated with slurries A-E are provided in Table 5 below. [0079]
    TABLE 5
    Slurries A-E of Example 4
    Tungsten
    WNU TEOS Particle size
    Slurry Abrasive RR (Å/min) (%) RR (Å/min) (nm)
    A Colloidal 3946 5.4 465 70
    Silica
    B Fumed and 4843 5.6 528 150 and 70
    Colloidal
    Silica
    C Alumina 3862 3.2 301 200
    D Fumed Silica 3797 11.0 149 150
    E Iron-Coated 3822 6.1 484 70
    Silica
  • Generally, the results show that periodic acid and ferric nitrate can be successfully used in the CMP slurries of the present invention, regardless of the type of abrasive used. The results show very good tungsten removal rates using such CMP slurries. [0080]
  • Slurry A, comprising colloidal silica abrasive, performed well in terms of the tungsten removal rate and the within wafer non-uniformity percentage. It is believed that the ferric nitrate absorbs or adsorbs well on the colloidal silica abrasive to provide these desirable CMP results. Slurry B, comprising fumed silica in addition to colloidal silica (in about a 1:1 ratio), performed very well in terms of the tungsten removal rate and well in terms of the within wafer non-uniformity percentage. It is believed that the fumed silica component of slurry B is responsible for the increased removal rate relative to slurry A, as fumed silica is more abrasive than colloidal silica. [0081]
  • Slurry C, having only an alumina abrasive, performed fairly well in terms of the tungsten removal rate, though not as well as the colloidal silica-containing slurries A and B discussed above. Slurry D, having only a fumed silica abrasive, did not appear to be that effective, as demonstrated by the lower tungsten removal rate and the higher within wafer non-uniformity percentage associated with that slurry. Normally, the polish rate increases with larger particle size. However, in this example, for Slurry C and Slurry D, which contained abrasive of larger particle size than that of Slurry A, the tungsten removal rate was lower than that for Slurry A. As discussed above, it is believed that iron, such as iron in the form of ferric nitrate, is absorbed by, or adsorbed on, colloidal silica to a greater extent than by, or on, fumed silica or alumina. It is believed that the higher tungsten removal rate associated with Slurry A is based on the greater iron absorption by, or adsorption on, colloidal silica. [0082]
  • Slurry E, having an iron-coated silica abrasive, showed a lower tungsten removal rate and a higher within wafer non-uniformity percentage relative to the colloidal silica-containing slurries A and B. It is believed that the iron associated with the ferric nitrate absorbed or adsorbed in situ on the colloidal silica in slurries A and B is in a more active state, and thus, a more effective state, than the iron that is coated ex situ on the silica in the prefabricated coated abrasive of slurry E. [0083]
  • In the present invention, a slurry having at least some colloidal silica for absorption of iron in a relatively active state, such as slurry A or slurry B, is preferred. A slurry having a combination of fumed silica and colloidal silica, such as slurry B, is preferred over a slurry having only a colloidal silica abrasive, such as slurry A, as colloidal silica tends to “glaze” the polishing pad, making it harder to control the CMP process. [0084]
  • EXAMPLE 5
  • In Example 5, slurry A was prepared by combining a CMP abrasive composition, an oxidizer composition, and DI water, in a ratio of 1:2:2. Slurry B was prepared in the same manner, with the exception that the ratio was 1:1.5:2.5. The abrasive composition comprised 15 wt. % colloidal silica, 0.5 wt. % ferric nitrate, and 0.62 wt. % nitric acid, and had a pH of 2. The oxidizer composition comprised 5 wt. % periodic acid, 1.5 wt. % acetic acid, and 2.375 wt. % tetramethylammoniumhydroxide (TMAH), and had a pH of 3.8. The pH of both slurry A and slurry B was 3.5. The final concentrations of various components of slurry A were as follows: 2 wt. % periodic acid, 0.6 wt % acetic acid, 0.95 wt. % TMAH, and 3 wt. % colloidal silica. The final concentrations of various components of slurry B were as follows: 1.5 wt. % periodic acid, 0.45 wt % acetic acid, 0.71 wt. % TMAH, and 3 wt. % colloidal silica. [0085]
  • Each of the slurries was used in a conventional CMP process, as described in relation to Examples 1-4, with the exception that the CMP polisher was an IPEC AVANTI 472 polisher, commercially available from Novellus Systems, Inc. of San Jose, Calif. A production wafer was polished using slurry A. FIGS. 1A and 1B consist of SEM pictures of the center and the edge vias, respectively, of the production wafer after polishing. The pictures show good finishing, with good consistency from the center to the edge of the wafer, minimal plug recesses, and no exposed “key holes.” A production wafer polished using slurry B showed even lower plug recesses. Typically, slurries using periodic acid as an oxidizing agent and having a pH greater than 3 have plug recesses of 600 to 1000 Å. In this Example, much smaller plug recesses of 287 Å and 199 Å were associated with Slurry A and Slurry B, respectively. [0086]
  • EXAMPLE 6
  • In Example 6, Slurry A of Example 5 was used in a conventional CMP process, as described in relation to Example 5, with the exception that the process parameters included a table speed of 110 rpm, carrier speed of 100 rpm, a slurry flow rate of 150 ml/min, and in situ conditioning of the pad (or 6/0/110/100/150/in-situ, as abbreviated). The process was carried out on 26 wafers consecutively to determine stability. [0087]
  • FIG. 2 is a graphical plot of the tungsten removal rate (see the vertical axis on the left) and the within wafer non-uniformity percentage (see the vertical axis on the right) for wafers 1-26. The tungsten removal rate and within wafer non-uniformity percentages varied slightly over the 26-wafer test, the former varying only about 6%. [0088]
  • EXAMPLE 7
  • In Example 7, slurry A of Example 5 was used in process optimization and characterization studies. From process optimization studies, as depicted in the graphical plot of FIG. 3A, it was determined that the 6/0/110/100/150/in-situ process used in Example 6 was preferable to a process in which the only process parameter variation was the use of ex situ conditioning of the CMP pad (6/0/110/100/150/ex-situ), as the tungsten removal rate was higher (comparatively, about 4800 vs. about 4200 Å/min) and the within wafer non-uniformity percentage was not significantly higher (comparatively, about 15 vs. about 13.5 WNU %). It was further determined that the 6/0/110/100/150/in-situ process used in Example 6 was preferable to a process in which the only process parameter variations were the use of a back pressure of 2 psi and the use of ex situ CMP conditioning (6/2/110/100/150/ex-situ), as the tungsten removal rate was higher (comparatively, about 4800 vs. about 3950 Å/min) and the within wafer non-uniformity percentage was not significantly higher (comparatively, about 15 vs. about 12 WNU %). [0089]
  • From process characterization studies, it was determined that when using slurry A of Example 5, the process could be controlled or tuned quite easily to obtain a desired tungsten removal rate and overall process. That is, as shown in FIG. 3B and FIG. 3C, process parameters such as the down-force pressure, the table or platen speed, and the slurry flow rate, can be tuned to obtain a desirable tungsten removal rate, a desirable within wafer non-uniformity percentage, and a desirable table temperature. Preferably, the table temperature is maintained in a range of from about 40° C. to about 45° C. It is believed that slurry A of Example 5 allows one to maintain an acceptable or relatively low table temperature because the catalytic effect of the ferric nitrate in the slurry works against excessive heat generation. In this regard, it is believed that slurries of the present invention, such as slurry A of Example 5, react according to free radical generating reaction schemes, as discussed previously, that are associated with lower activation energies than reaction schemes associated with other CMP slurries, such as normal redox reaction schemes. [0090]
  • EXAMPLE 8
  • In Example 8, a test was performed to determine if the activity of the slurries of the present invention is for the most part attributable to ferric ions present in the system or to ferric ions that are at least partially linked to the abrasive component. [0091]
  • In [0092] Step 1 of this test, a tungsten blanket wafer from International Sematech of Austin, Tex. was polished using a non-catalytic slurry A, comprising 2 wt. % periodic acid and 5 wt. % colloidal silica, and a conventional CMP process, wherein the process parameters included a down force pressure of 6 psi, no back pressure, a carrier speed of 70 rpm, a table speed of 110 rpm, a slurry flow of 150 ml/min, and a clean IC1000 K groove/Suba IV polishing pad. In Step 2, the wafer was then polished in the same manner, but using a catalytic slurry B according to the present invention, comprising 2 wt. % periodic acid, 5 wt. % colloidal silica, and 0.1 wt. % ferric nitrate at least partially absorbed or adsorbed on the colloidal silica, and using the polishing pad that had been previously used with slurry A, as just described.
  • In [0093] Step 3, the twice-used polishing pad was then soaked in a 10 wt. % ferric nitrate solution for 2 hours. The wafer was then polished as in the manner described above, using the soaked pad and a non-catalytic slurry A described above. In Step 4, the thrice-used polishing pad was then soaked in a 10 wt. % ferric nitrate solution for 24 hours and air dried for 24 hours. The wafer was then polished as in the manner described above, using the dried polishing pad and a non-catalytic slurry A described above. Various details of the test and the resulting tungsten removal rates and within wafer non-uniformity percentages appear in Table 6 below.
    TABLE 6
    Details and Results of Example 8
    Ferric
    Nitrate Polishing Pad Tungston RR WNU
    Step Slurry in Slurry Condition (Å/min) %
    1 A No Clean 2289 16.32
    2 B Yes Used in Step 1 3426 7.89
    3 A No Used in Steps 1 2269 15.29
    and 2; Soaked in
    10 wt. % Fe(NO3)
    for 2 hours
    4 A No Used in Steps 1-3; 2067 15.30
    Soaked in 10 wt.
    % Fe(NO3)3 for 24
    hours; Air Dried
    for 24 hours
  • Generally, the results show that the catalytic slurry B of the present invention, with its catalyst-linked abrasive, is effective in the removal of tungsten (removal rate of 3426 Å/min) and provides a good within wafer non-uniformity percentage (7.89 WNU %). The performance of the non-catalytic slurry A fell far short of the performance of the catalytic slurry B of the invention. Further, soaking the used pad, already conditioned with the catalytic slurry B from [0094] Step 2, with a fresh 10 wt. % Fe(NO3)3 solution for 2 hours, provided no appreciably different results than the poor results obtained with the non-catalytic slurry A. Thus, it appears that supplying a fresh source of ferric ions to the polishing pad does not enhance polishing performance. Still further, soaking the used pad with a fresh 10 wt. % Fe(NO3)3 solution for a longer period of 24 hours, provided even worse results than the poor results obtained with the shorter soaking. Thus, it appears that attempting to load the pad up with a fresh source of ferric ions is not beneficial.
  • It is believed that the impressive performance of the catalytic slurry B of the present invention is due to the activity of the catalyst that is at least partially absorbed or adsorbed in situ on the surface of the abrasive particles in the CMP process. More particularly, it is believed that rather than simply serving as a source of active ions, such as ferric ions, that may participate in the polishing process, the catalyst is involved in a reaction that generates free radicals that assist or enhance the polishing process. [0095]
  • In CMP processes, and particularly modern or advanced CMP processes, it is desirable to obtain acceptable or optimal, such as increased, material removal rates while using acceptable or optimal, such as not unduly high, carrier pressures. In the CMP of tungsten-layered wafers, a good carrier pressure is about 9 psi or less, such as about 6 psi, and a good outcome at a pressure of about 6 psi is a removal rate of greater than about 3000 Å/min, such as a removal rate approaching 5000 Å/min. In the CMP of copper-layered wafers, a good carrier pressure is about 9 psi or less, such as about 4 psi, and a good outcome at a pressure of about 4 psi is a removal rate of greater than about 7500 Å/min. Further, obtaining polished wafers with uniformity values of from about 3 to about 12 WNU % percent is considered a good result. [0096]
  • While the foregoing examples of process parameters, outcomes and results are often desirable, other suitable parameters, outcomes and results are contemplated herein. It should be noted that while there is a general preference for compositions that provide high removal rates, other factors, such as good uniformity values (for example, low WNU %), efficient use of oxidizer, and good storage and handling characteristics, are also important considerations in the evaluation of a composition of the present invention. For example, slurry compositions of the present invention function best when the catalyst-linked abrasive component is relatively, if not substantially, stable. Catalyst stability is a desirable characteristic in the slurry compositions of the present invention, as it facilitates control of the CMP process. [0097]
  • As demonstrated herein, the slurry compositions of the present invention may be used in CMP processes to obtain desirable material removal rates and within wafer non-uniformity values. Merely by way of example, the composition may be used in the CMP of a substrate surface having a feature, layer or film thereon, such as a film of aluminum, copper, titanium, tungsten, an alloy thereof, or any combination thereof. The composition may also be used in the CMP of a substrate surface having a feature comprised of ruthenium, an oxide of ruthenium, platinum, or any combination thereof. Further by way of example, the composition may be used in the CMP of such a substrate surface, where the film has an adjacent or an underlying feature, layer or film, such as a film of tantalum, tantalum nitride, titanium, titanium nitride, titanium tungsten, tungsten, and any combination thereof. [0098]
  • Accordingly, the present invention includes a method of polishing a substrate surface having at least one feature thereon that comprises a metal, such as metal or metal alloy feature. The substrate undergoing polishing may be any suitable substrate, such as any of the substrates described herein. According to the method of the invention, a composition of the invention is provided and the feature on the substrate surface is polished. The polishing is chemical-mechanical polishing, such as that associated with any conventional or known CMP process, any suitable later-developed CMP process, or any CMP process described herein. The polishing process parameters may be any suitable parameters, such as any of the parameters described herein. For example, the carrier pressure applied to the substrate surface, or the feature thereon, may be from about 1 to about 6 psi. [0099]
  • Generally, the polishing of the substrate surface continues until the targeted feature or layer is substantially coplanar with surrounding material, such as an oxide material, on the substrate. For example, the polishing of a metal-featured substrate may continue until any metal excess is sufficiently removed to provide a substantially uniform profile across the substrate surface. By way of example, suitable surface uniformity (typically measured using known wafer profiling techniques) is reflected by within wafer non-uniformity percentages of less than about 12%, and preferably, from about 4% to about 6%, and more preferably, even less than 4%, the lower values typically reflecting better process control. Appropriate within wafer non-uniformity values may vary depending on the characteristics of the CMP process and the substrates undergoing polishing. [0100]
  • The inventive method may be used to remove targeted material, such as metal or metal alloy, from the substrate surface at a rate of from about 100 to about 6,000 or about 8,000 or about 10,000 or to even about 15,000 Å/min. The present method may be used to provide a polished substrate surface of good uniformity, such as a substrate surface having from about zero to about 40 percent, preferably, from about zero to about 12 percent, or more preferably, from about zero to about 10 or even about 6 or about 4 percent, within wafer non-uniformity. Further, the present method may be used to provide a polished substrate surface wherein any micro-scratch on the surface that is associated with the polishing is less than about 20 Å. The present invention further encompasses a substrate produced by the inventive method, including any of the substrates described herein, and any of the substrates having any of the qualities, such as desirable uniformity values and surface characteristics, described herein. [0101]
  • Various aspects and features of the present invention have been explained or described in relation to beliefs or theories, although it will be understood that the invention is not bound to any particular belief or theory. Further, although the various aspects and features of the present invention have been described with respect to preferred embodiments and specific examples herein, it will be understood that the invention is entitled to protection within the full scope of the appended claims. [0102]

Claims (63)

1. A composition for chemical-mechanical polishing, comprising:
at least one oxidizing agent;
at least one abrasive; and
at least one Fenton's reagent capable of reacting with the oxidizing agent to activate free radicals.
2. The composition of claim 1, wherein the oxidizing agent comprises a per compound.
3. The composition of claim 1, wherein the oxidizing agent is selected from a group consisting of periodic acid, a peroxide, and any combination thereof.
4. The composition of claim 1, wherein the oxidizing agent is selected from a group consisting of a hydroperoxide, a hydrogen peroxide, and any combination thereof.
5. The composition of claim 1, wherein the oxidizing agent is in an amount of from about 0.01 to about 30 weight percent relative to the composition.
6. The composition of claim 1, wherein the oxidizing agent is in an amount of from about 0.01 to about 10 weight percent relative to the composition.
7. The composition of claim 1, wherein the oxidizing agent is in an amount of from about 0.01 to about 6 weight percent relative to the composition.
8. The composition of claim 1, wherein the at least one abrasive comprises a metal oxide.
9. The composition of claim 1, wherein the at least one abrasive comprises a material selected from a group consisting of alumina, ceria, germania, silica, spinel, titania, an oxide of tungsten, zirconia, and any combination thereof.
10. The composition of claim 1, wherein the at least one abrasive comprises a metal oxide produced by a process selected from a group consisting of a sol-gel process, a hydrothermal process, a hydrolytic process, a plasma process, a fuming process, a precipitation process, and any combination thereof.
11. The composition of claim 1, wherein the at least one abrasive comprises a resinous abrasive.
12. The composition of claim 1, wherein the at least one abrasive comprises a material selected from a group consisting of a polyacrylic acid, a polymethylacrylic acid, a polymelamine, a particle of an ion exchange resin, and any combination thereof.
13. The composition of claim 1, wherein the at least one abrasive comprises a plastic abrasive.
14. The composition of claim 1, wherein the at least one abrasive comprises a material selected from a group consisting of a polyacrylic acid, a polymethylacrylic acid, a polyvinyl alcohol, and any combination thereof.
15. The composition of claim 1, wherein the Fenton's reagent comprises a metal selected from a group consisting of metals in Group 1 (b) and Group 8.
16. The composition of claim 1, wherein the Fenton's reagent comprises a metal selected from a group consisting of iron, copper, silver, and any combination thereof.
17. The composition of claim 1, wherein the Fenton's reagent comprises a metal selected from a group consisting of iron, copper, and any combination thereof.
18. The composition of claim 1, wherein the Fenton's reagent comprises a material selected from a group consisting of a metal oxide, a metal acetate, a source of ionic metal, and any combination thereof.
19. The composition of claim 1, wherein the Fenton's reagent is substantially insoluble in the composition.
20. The composition of claim 1, wherein the Fenton's reagent is at least partially linked to the abrasive.
21. The composition of claim 1, wherein the at least one abrasive and the Fenton's reagent together are in an amount of from about 0.01 to about 25 weight percent relative to the composition.
22. The composition of claim 1, wherein the at least one abrasive and the Fenton's reagent together are in an amount of from about 0.01 to about 10 weight percent relative to the composition.
23. The composition of claim 1, wherein the at least one abrasive and the Fenton's reagent together are in an amount of from about 0.01 to about 5 weight percent relative to the composition.
24. The composition of claim 20, further comprising at least one other abrasive that is free of a Fenton's reagent.
25. The composition of claim 24, wherein the other abrasive is in an amount of from about 0.01 to about 30 weight percent relative to the composition.
26. The composition of claim 24, wherein the other abrasive is in an amount of from about 0.01 to about 20 weight percent relative to the composition.
27. The composition of claim 24, wherein the other abrasive is in an amount of from about 0.01 to about 10 weight percent relative to the composition.
28. The composition of claim 1, further comprising an additive selected from a group consisting of a polish-enhancement agent, a complexing agent, a stabilization agent, a surfactant, a dispersion agent, a pH-adjusting agent, and any combination thereof.
29. The composition of claim 28, wherein the additive is present in an amount of from about 0.001 to about 2 weight percent relative to the composition.
30. The composition of claim 1, wherein a pH level of the composition is from about 2 to about 7.
31. The composition of claim 1, wherein a pH level of the composition is from about 2.5 to about 4.5.
32. The composition of claim 1, wherein the oxidizing agent is present in a prepared composition that lacks a Fenton's reagent.
33. The composition of claim 1, the composition sufficient for chemical-mechanical polishing of a substrate surface having a feature thereon comprising a first material selected from a group consisting of aluminum, copper, titanium, tungsten, any alloy thereof, and any combination thereof.
34. The composition of claim 33, the composition sufficient for chemical-mechanical polishing of the substrate surface comprising a second material adjacent the feature, the second material selected from a group consisting of tantalum, tantalum nitride, titanium, titanium nitride, titanium tungsten, tungsten, and any combination thereof.
35. A composition for chemical-mechanical polishing, comprising:
at least one oxidizing agent comprising periodic acid;
at least one abrasive; and
at least one Fenton's reagent capable of reacting with the oxidizing agent to activate free radicals.
36. The composition of claim 35, wherein the oxidizing agent is in an amount of from about 0.01 to about 30 weight percent relative to the composition.
37. The composition of claim 35, wherein the at least one abrasive comprises a material selected from a group consisting of alumina, ceria, germania, silica, spinel, titania, an oxide of tungsten, zirconia, and any combination thereof.
38. The composition of claim 35, wherein the at least one abrasive comprises silica selected from a group consisting of colloidal silica or a combination of colloidal silica and fumed silica.
39. The composition of claim 35, wherein the Fenton's reagent comprises a metal selected from a group consisting of metals in Group 1 (b) and Group 8.
40. The composition of claim 35, wherein the Fenton's reagent comprises a metal selected from a group consisting of iron, copper, silver, and any combination thereof.
41. The composition of claim 35, wherein the Fenton's reagent comprises a metal selected from a group consisting of iron, copper, and any combination thereof.
42. The composition of claim 35, wherein the Fenton's reagent comprises a material selected from a group consisting of a metal oxide, a metal acetate, a source of ionic metal, and any combination thereof.
43. The composition of claim 35, wherein the Fenton's reagent is at least partially linked to the abrasive.
44. The composition of claim 35, wherein the at least one abrasive and the Fenton's reagent together are in an amount of from about 0.01 to about 25 weight percent relative to the composition.
45. The composition of claim 35, wherein a pH level of the composition is from about 2 to about 7.
46. A method of polishing a substrate surface having at least one feature thereon comprising a metal, comprising:
providing the composition of any one of claims 1 and 35; and
chemical-mechanical polishing the feature with the composition.
47. The method of claim 46, wherein said providing comprises combining the at least one abrasive and the at least one Fenton's reagent, with a prepared composition comprising the oxidizing agent.
48. The method of claim 46, wherein the metal is selected from a group consisting of aluminum, copper, titanium, tungsten, any alloy thereof, ruthenium, an oxide of ruthenium, platinum, and any combination thereof.
49. The method of claim 46, wherein the feature is adjacent a material selected from a group consisting of tantalum, tantalum nitride, titanium, titanium nitride, titanium tungsten, tungsten, and any combination thereof.
50. The method of claim 46, wherein the chemical-mechanical polishing comprises applying a pressure of from about 1 to about 6 pounds per square inch to the feature.
51. The method of claim 46, said method sufficient to remove the metal at a rate of from about 100 to about 15,000 Å per minute.
52. The method of claim 46, said method sufficient to remove the metal at a rate of from about 100 to about 10,000 Å per minute.
53. The method of claim 46, said method sufficient to provide the substrate surface at from about zero to about 40 percent within wafer non-uniformity.
54. The method of claim 46, said method sufficient to provide the substrate surface at from about zero to about 12 percent within wafer non-uniformity.
55. The method of claim 46, said method sufficient to provide the substrate surface at from about zero to about 7 percent within wafer non-uniformity.
56. The method of claim 46, said method sufficient to provide the substrate surface wherein any micro-scratch thereon produced during the chemical-mechanical polishing is less than about 20 Å.
57. A substrate having a surface comprising at least one feature thereon comprising a metal, said substrate produced by the method of claim 46.
58. The substrate of claim 46, wherein the metal is selected from a group consisting of aluminum, copper, titanium, tungsten, any alloy thereof, ruthenium, an oxide of ruthenium, platinum, and any combination thereof.
59. The substrate of claim 46, wherein the feature is adjacent a material selected from a group consisting of tantalum, tantalum nitride, titanium, titanium nitride, titanium tungsten, tungsten, and any combination thereof.
60. The substrate of claim 46, the substrate surface having from about zero to about 40 percent within wafer non-uniformity.
61. The substrate of claim 46, the substrate surface having from about zero to about 12 percent within wafer non-uniformity.
62. The substrate of claim 46, the substrate surface having from about zero to about 7 percent within wafer non-uniformity.
63. The substrate of claim 46, wherein any micro-scratch on the substrate surface produced during the chemical-mechanical polishing is less than about 20 Å.
US10/393,542 2002-02-11 2003-03-19 Fenton's reagent composition for chemical-mechanical polishing, method of using same, and substrate treated with same Abandoned US20040025444A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/393,542 US20040025444A1 (en) 2002-02-11 2003-03-19 Fenton's reagent composition for chemical-mechanical polishing, method of using same, and substrate treated with same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/074,757 US20030162398A1 (en) 2002-02-11 2002-02-11 Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
US10/393,542 US20040025444A1 (en) 2002-02-11 2003-03-19 Fenton's reagent composition for chemical-mechanical polishing, method of using same, and substrate treated with same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/074,757 Continuation-In-Part US20030162398A1 (en) 2002-02-11 2002-02-11 Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same

Publications (1)

Publication Number Publication Date
US20040025444A1 true US20040025444A1 (en) 2004-02-12

Family

ID=27732385

Family Applications (6)

Application Number Title Priority Date Filing Date
US10/074,757 Abandoned US20030162398A1 (en) 2002-02-11 2002-02-11 Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
US10/361,822 Expired - Lifetime US7029508B2 (en) 2002-02-11 2003-02-11 Catalyst attached to solid and used to promote free radical formation in CMP formulations
US10/393,542 Abandoned US20040025444A1 (en) 2002-02-11 2003-03-19 Fenton's reagent composition for chemical-mechanical polishing, method of using same, and substrate treated with same
US10/619,708 Expired - Lifetime US7014669B2 (en) 2002-02-11 2003-07-14 Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
US11/405,485 Expired - Fee Related US7427305B2 (en) 2002-02-11 2006-04-18 Free radical-forming activator attached to solid and used to enhance CMP formulations
US12/232,712 Abandoned US20090029553A1 (en) 2002-02-11 2008-09-23 Free radical-forming activator attached to solid and used to enhance CMP formulations

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US10/074,757 Abandoned US20030162398A1 (en) 2002-02-11 2002-02-11 Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
US10/361,822 Expired - Lifetime US7029508B2 (en) 2002-02-11 2003-02-11 Catalyst attached to solid and used to promote free radical formation in CMP formulations

Family Applications After (3)

Application Number Title Priority Date Filing Date
US10/619,708 Expired - Lifetime US7014669B2 (en) 2002-02-11 2003-07-14 Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
US11/405,485 Expired - Fee Related US7427305B2 (en) 2002-02-11 2006-04-18 Free radical-forming activator attached to solid and used to enhance CMP formulations
US12/232,712 Abandoned US20090029553A1 (en) 2002-02-11 2008-09-23 Free radical-forming activator attached to solid and used to enhance CMP formulations

Country Status (10)

Country Link
US (6) US20030162398A1 (en)
EP (2) EP1485440B1 (en)
JP (2) JP4824909B2 (en)
KR (1) KR100736325B1 (en)
CN (1) CN1646650B (en)
AT (1) ATE409212T1 (en)
AU (1) AU2003219741A1 (en)
DE (2) DE60323733D1 (en)
TW (1) TWI278499B (en)
WO (1) WO2003068882A1 (en)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040140288A1 (en) * 1996-07-25 2004-07-22 Bakul Patel Wet etch of titanium-tungsten film
EP1485440A1 (en) * 2002-02-11 2004-12-15 Ekc Technology, Inc. Free radical-forming activator attached to solid and used to enhance cmp formulations
US20050005525A1 (en) * 2003-07-09 2005-01-13 Yuzhuo Li Non-polymeric organic particles for chemical mechanical planarization
US20050255693A1 (en) * 2002-12-10 2005-11-17 Jun Liu Passivative chemical mechanical polishing composition for copper film planarization
US20060157671A1 (en) * 2004-11-26 2006-07-20 Ace Hightech Co., Ltd. Slurry for use in metal-chemical mechanical polishing and preparation method thereof
WO2006116770A2 (en) * 2005-04-28 2006-11-02 Advanced Technology Materials, Inc. Method of passivating chemical mechanical polishing compositions for copper film planarization processes
KR100648264B1 (en) 2004-08-17 2006-11-23 삼성전자주식회사 Slurry for ruthenium cmp, cmp method for ruthenium using the slurry and method for forming ruthenium electrode using the ruthenium cmp
US20080020680A1 (en) * 2006-07-24 2008-01-24 Cabot Microelectronics Corporation Rate-enhanced CMP compositions for dielectric films
US20080050598A1 (en) * 2005-01-21 2008-02-28 Bateman Stuart A Activation method using modifying agent
US20090255903A1 (en) * 2002-01-25 2009-10-15 Small Robert J Compositions for chemical-mechanical planarization of noble-metal-featured substrates, associated methods, and substrates produced by such methods
US20100087065A1 (en) * 2007-01-31 2010-04-08 Advanced Technology Materials, Inc. Stabilization of polymer-silica dispersions for chemical mechanical polishing slurry applications
US20100159698A1 (en) * 2008-12-23 2010-06-24 Dupoint Air Products Nanomaterials Llc Combination, Method, and Composition for Chemical Mechanical Planarization of A Tungsten-Containing Substrate
US20100307068A1 (en) * 2007-12-22 2010-12-09 Evonik Degussa Gmbh Dispersion comprising cerium oxide and colloidal silicon dioxide
US20110143221A1 (en) * 2009-12-10 2011-06-16 Daimler Ag Method of Chemical Treatment of Fuel Cell Plate Surface to Modify Wettability of Flow Field Channels
US8557343B2 (en) 2004-03-19 2013-10-15 The Boeing Company Activation method
CN104131292A (en) * 2014-07-01 2014-11-05 蚌埠市高华电子有限公司 Conveniently-cleaned anti-corrosion composite polishing fluid and preparation method thereof
US9039914B2 (en) 2012-05-23 2015-05-26 Cabot Microelectronics Corporation Polishing composition for nickel-phosphorous-coated memory disks
WO2021129124A1 (en) * 2019-12-23 2021-07-01 广东工业大学 Electro-fenton and cluster magnetorheological composite grinding and polishing device and method

Families Citing this family (135)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040134873A1 (en) * 1996-07-25 2004-07-15 Li Yao Abrasive-free chemical mechanical polishing composition and polishing process containing same
US7077880B2 (en) * 2004-01-16 2006-07-18 Dupont Air Products Nanomaterials Llc Surface modified colloidal abrasives, including stable bimetallic surface coated silica sols for chemical mechanical planarization
US20060032836A1 (en) * 2001-11-16 2006-02-16 Ferro Corporation Methods of controlling the properties of abrasive particles for use in chemical-mechanical polishing slurries
WO2003044123A1 (en) * 2001-11-16 2003-05-30 Ferro Corporation Particles for use in cmp slurries and method for producing them
US7513920B2 (en) * 2002-02-11 2009-04-07 Dupont Air Products Nanomaterials Llc Free radical-forming activator attached to solid and used to enhance CMP formulations
KR100560223B1 (en) * 2002-06-05 2006-03-10 삼성코닝 주식회사 Metal oxide powder for high precision polishing and preparation thereof
JP2004128069A (en) 2002-09-30 2004-04-22 Fujimi Inc Grinder composition and grinding method using it
WO2004072332A1 (en) * 2003-02-12 2004-08-26 Ebara Corporation Polishing fluid, method of polishing, and polishing apparatus
JP4075691B2 (en) * 2003-05-27 2008-04-16 セイコーエプソン株式会社 Method for manufacturing electro-optical device and method for manufacturing substrate device
IL157681A0 (en) * 2003-09-01 2004-03-28 J G Systems Inc Improved abrasives for chemical-mechanical polishing applications
JP4336550B2 (en) * 2003-09-09 2009-09-30 花王株式会社 Polishing liquid kit for magnetic disk
US7344988B2 (en) * 2003-10-27 2008-03-18 Dupont Air Products Nanomaterials Llc Alumina abrasive for chemical mechanical polishing
US7419911B2 (en) * 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
US7288021B2 (en) * 2004-01-07 2007-10-30 Cabot Microelectronics Corporation Chemical-mechanical polishing of metals in an oxidized form
JP2005236275A (en) * 2004-01-23 2005-09-02 Jsr Corp Water disperse form for chemical mechanical polishing and chemical mechanical polishing method
KR20050079313A (en) * 2004-02-05 2005-08-10 매그나칩 반도체 유한회사 Slurry for polishing a copper and method for polishing a copper layer using the same
JP2005268664A (en) * 2004-03-19 2005-09-29 Fujimi Inc Abrasive composition
US20050211950A1 (en) 2004-03-24 2005-09-29 Cabot Microelectronics Corporation Chemical-mechanical polishing composition and method for using the same
US20090120012A1 (en) * 2004-06-18 2009-05-14 Dongjin Semichem Co., Ltd. Method for preparing additive for chemical mechanical polishing slurry composition
KR101072271B1 (en) * 2005-03-14 2011-10-11 주식회사 동진쎄미켐 Oxidant for chemical mechanical polishing slurry composition and method for producing the same
KR100850877B1 (en) * 2004-06-18 2008-08-07 주식회사 동진쎄미켐 Chemical mechanical polishing slurry composition including iron-doped colloidal silica
US7161247B2 (en) * 2004-07-28 2007-01-09 Cabot Microelectronics Corporation Polishing composition for noble metals
KR20060016498A (en) * 2004-08-18 2006-02-22 삼성전자주식회사 Slurry composition, method for forming the slurry composition and method for polishing an object using the slurry composition
US20060038293A1 (en) * 2004-08-23 2006-02-23 Rueger Neal R Inter-metal dielectric fill
WO2006030595A1 (en) * 2004-09-14 2006-03-23 Hitachi Chemical Company, Ltd. Polishing slurry for cmp
US7563383B2 (en) * 2004-10-12 2009-07-21 Cabot Mircroelectronics Corporation CMP composition with a polymer additive for polishing noble metals
US8038752B2 (en) * 2004-10-27 2011-10-18 Cabot Microelectronics Corporation Metal ion-containing CMP composition and method for using the same
JP4836441B2 (en) * 2004-11-30 2011-12-14 花王株式会社 Polishing liquid composition
JP4027929B2 (en) * 2004-11-30 2007-12-26 花王株式会社 Polishing liquid composition for semiconductor substrate
US7919391B2 (en) * 2004-12-24 2011-04-05 S.O.I.Tec Silicon On Insulator Technologies Methods for preparing a bonding surface of a semiconductor wafer
KR20060077353A (en) * 2004-12-30 2006-07-05 삼성전자주식회사 Slurry composition, method for polishing an object and method for forming a contact of a semiconductor device using the slurry composition
EP1871855B1 (en) * 2005-03-25 2010-03-24 DuPont Air Products NanoMaterials L.L.C. Dihydroxy enol compounds used in chemical mechanical polishing compositions having metal ion oxidizers
US20060278614A1 (en) * 2005-06-08 2006-12-14 Cabot Microelectronics Corporation Polishing composition and method for defect improvement by reduced particle stiction on copper surface
KR101124569B1 (en) * 2005-06-09 2012-03-15 삼성전자주식회사 Echant and method for fabricating interconnection line and method for fabricating thin film transistor substrate using the same
JP5377962B2 (en) * 2005-08-19 2013-12-25 ナノシス・インク. Electronic grade metal nanostructure
CN102863943B (en) 2005-08-30 2015-03-25 花王株式会社 Polishing composition for hard disk substrate, polishing method and manufacture method of substrate
US7803203B2 (en) 2005-09-26 2010-09-28 Cabot Microelectronics Corporation Compositions and methods for CMP of semiconductor materials
US20070075042A1 (en) * 2005-10-05 2007-04-05 Siddiqui Junaid A Stabilizer-Fenton's reaction metal-vinyl pyridine polymer-surface-modified chemical mechanical planarization composition and associated method
KR20070041330A (en) * 2005-10-14 2007-04-18 가오가부시끼가이샤 Polishing composition for a semiconductor substrate
US7897061B2 (en) 2006-02-01 2011-03-01 Cabot Microelectronics Corporation Compositions and methods for CMP of phase change alloys
US7732393B2 (en) * 2006-03-20 2010-06-08 Cabot Microelectronics Corporation Oxidation-stabilized CMP compositions and methods
KR100816651B1 (en) * 2006-03-31 2008-03-27 테크노세미켐 주식회사 Chemical mechanical polishing composition for copper comprising zeolite
US7776228B2 (en) 2006-04-11 2010-08-17 Ebara Corporation Catalyst-aided chemical processing method
KR100832993B1 (en) * 2006-04-14 2008-05-27 주식회사 엘지화학 Adjuvant for chemical mechanical polishing slurry
US8163049B2 (en) * 2006-04-18 2012-04-24 Dupont Air Products Nanomaterials Llc Fluoride-modified silica sols for chemical mechanical planarization
EP2031968B1 (en) 2006-04-21 2017-11-22 Wake Forest University Health Sciences Structurally modified acellular tissue engineering scaffolds and methods of production
US20080283502A1 (en) * 2006-05-26 2008-11-20 Kevin Moeggenborg Compositions, methods and systems for polishing aluminum oxide and aluminum oxynitride substrates
US20080220610A1 (en) * 2006-06-29 2008-09-11 Cabot Microelectronics Corporation Silicon oxide polishing method utilizing colloidal silica
US7294576B1 (en) 2006-06-29 2007-11-13 Cabot Microelectronics Corporation Tunable selectivity slurries in CMP applications
MY153666A (en) * 2006-07-12 2015-03-13 Cabot Microelectronics Corporations Cmp method for metal-containing substrates
EP1894900A3 (en) * 2006-08-28 2010-02-24 Osaka University Catalyst-aided chemical processing method and apparatus
US20100022171A1 (en) * 2006-10-16 2010-01-28 Nevin Naguib Glass polishing compositions and methods
US20080105652A1 (en) * 2006-11-02 2008-05-08 Cabot Microelectronics Corporation CMP of copper/ruthenium/tantalum substrates
JP5072091B2 (en) * 2006-12-08 2012-11-14 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US20080149591A1 (en) * 2006-12-21 2008-06-26 Junaid Ahmed Siddiqui Method and slurry for reducing corrosion on tungsten during chemical mechanical polishing
US7691287B2 (en) * 2007-01-31 2010-04-06 Dupont Air Products Nanomaterials Llc Method for immobilizing ligands and organometallic compounds on silica surface, and their application in chemical mechanical planarization
DE102007008279A1 (en) * 2007-02-20 2008-08-21 Evonik Degussa Gmbh Ceria and phyllosilicate-containing dispersion
DE102007008232A1 (en) * 2007-02-20 2008-08-21 Evonik Degussa Gmbh Dispersion containing ceria and colloidal silica
JP4372173B2 (en) * 2007-03-16 2009-11-25 株式会社東芝 Chemical mechanical polishing method and semiconductor device manufacturing method
US8541310B2 (en) * 2007-05-04 2013-09-24 Cabot Microelectronics Corporation CMP compositions containing a soluble peroxometalate complex and methods of use thereof
JP2008307631A (en) * 2007-06-13 2008-12-25 Asahi Glass Co Ltd Method of polishing glass substrate
JP2009050920A (en) * 2007-08-23 2009-03-12 Asahi Glass Co Ltd Manufacturing method of glass substrate for magnetic disc
US20090061630A1 (en) * 2007-08-30 2009-03-05 Dupont Air Products Nanomaterials Llc Method for Chemical Mechanical Planarization of A Metal-containing Substrate
KR20100082833A (en) * 2007-09-06 2010-07-20 이케이씨 테크놀로지, 인코포레이티드 Compositions and method for treating a copper surface
US20090124173A1 (en) * 2007-11-09 2009-05-14 Cabot Microelectronics Corporation Compositions and methods for ruthenium and tantalum barrier cmp
CN101451049A (en) * 2007-11-30 2009-06-10 安集微电子(上海)有限公司 Chemico-mechanical polishing liquid
DE102008002321A1 (en) * 2008-06-10 2009-12-17 Evonik Degussa Gmbh Cerium oxide and particulate additive containing dispersion
US8247327B2 (en) 2008-07-30 2012-08-21 Cabot Microelectronics Corporation Methods and compositions for polishing silicon-containing substrates
US20100096360A1 (en) * 2008-10-20 2010-04-22 Applied Materials, Inc. Compositions and methods for barrier layer polishing
US8247328B2 (en) * 2009-05-04 2012-08-21 Cabot Microelectronics Corporation Polishing silicon carbide
KR100928456B1 (en) 2009-06-01 2009-11-25 주식회사 동진쎄미켐 Chemical mechanical polishing slurry composition including non-ionized, heat activated nano catalyst and polishing method using the same
US8367594B2 (en) * 2009-06-24 2013-02-05 Lam Research Corporation Damage free, high-efficiency, particle removal cleaner comprising polyvinyl alcohol particles
JP5088452B2 (en) * 2009-12-10 2012-12-05 日立化成工業株式会社 CMP polishing liquid, substrate polishing method, and electronic component
JP2011142284A (en) * 2009-12-10 2011-07-21 Hitachi Chem Co Ltd Cmp polishing liquid, method of polishing substrate, and electronic component
KR101396232B1 (en) * 2010-02-05 2014-05-19 한양대학교 산학협력단 Slurry for polishing phase change material and method for patterning polishing phase change material using the same
US8858819B2 (en) * 2010-02-15 2014-10-14 Air Products And Chemicals, Inc. Method for chemical mechanical planarization of a tungsten-containing substrate
WO2012052389A1 (en) * 2010-10-19 2012-04-26 Basell Poliolefine Italia S.R.L. Process for the preparation of high purity propylene polymers
CN102560368A (en) * 2010-12-28 2012-07-11 鸿富锦精密工业(深圳)有限公司 Shell and manufacturing method thereof
US8242011B2 (en) * 2011-01-11 2012-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal pillar
US8720770B2 (en) 2011-03-01 2014-05-13 The Golden Box, Inc. Box partition set
CN102181232B (en) * 2011-03-17 2013-12-11 清华大学 Composition for low downforce chemically mechanical polishing of coppers in ULSI (Ultra Large Scale Integrated Circuit) multi-layered copper wiring
US9598775B2 (en) * 2011-06-13 2017-03-21 Praxair S.T. Technology, Inc. Multilayer overlay system for thermal and corrosion protection of superalloy substrates
US8623766B2 (en) * 2011-09-20 2014-01-07 Cabot Microelectronics Corporation Composition and method for polishing aluminum semiconductor substrates
TWI463002B (en) * 2011-12-01 2014-12-01 Uwiz Technology Co Ltd Slurry composition
WO2013168047A1 (en) * 2012-05-07 2013-11-14 Basf Se Process for manufacture of semiconductor devices
CN102910725A (en) * 2012-11-20 2013-02-06 哈尔滨工业大学 Method for removing organic pollutants in water through modified Fenton and Fenton-like systems
US20140273458A1 (en) 2013-03-12 2014-09-18 Air Products And Chemicals, Inc. Chemical Mechanical Planarization for Tungsten-Containing Substrates
US20140315386A1 (en) * 2013-04-19 2014-10-23 Air Products And Chemicals, Inc. Metal Compound Coated Colloidal Particles Process for Making and Use Therefor
JP6534507B2 (en) * 2013-07-03 2019-06-26 Hoya株式会社 Method of manufacturing substrate, method of manufacturing substrate with multilayer reflective film, method of manufacturing mask blank, method of manufacturing transfer mask, and substrate processing apparatus
US9434859B2 (en) * 2013-09-24 2016-09-06 Cabot Microelectronics Corporation Chemical-mechanical planarization of polymer films
US9279067B2 (en) * 2013-10-10 2016-03-08 Cabot Microelectronics Corporation Wet-process ceria compositions for polishing substrates, and methods related thereto
US10358579B2 (en) * 2013-12-03 2019-07-23 Cabot Microelectronics Corporation CMP compositions and methods for polishing nickel phosphorous surfaces
US9303189B2 (en) 2014-03-11 2016-04-05 Cabot Microelectronics Corporation Composition for tungsten CMP
US9238754B2 (en) 2014-03-11 2016-01-19 Cabot Microelectronics Corporation Composition for tungsten CMP
US9303188B2 (en) 2014-03-11 2016-04-05 Cabot Microelectronics Corporation Composition for tungsten CMP
US9309442B2 (en) 2014-03-21 2016-04-12 Cabot Microelectronics Corporation Composition for tungsten buffing
US9127187B1 (en) 2014-03-24 2015-09-08 Cabot Microelectronics Corporation Mixed abrasive tungsten CMP composition
US9303190B2 (en) 2014-03-24 2016-04-05 Cabot Microelectronics Corporation Mixed abrasive tungsten CMP composition
JP6285775B2 (en) * 2014-03-31 2018-02-28 日揮触媒化成株式会社 Metal-supported metal oxide particles for polishing and abrasives
US9567491B2 (en) 2014-06-25 2017-02-14 Cabot Microelectronics Corporation Tungsten chemical-mechanical polishing composition
SG11201610332PA (en) 2014-06-25 2017-02-27 Cabot Microelectronics Corp Copper barrier chemical-mechanical polishing composition
KR102458508B1 (en) 2014-06-25 2022-10-26 씨엠씨 머티리얼즈, 인코포레이티드 Colloidal silica chemical-mechanical polishing concentrate
DE102014112817A1 (en) * 2014-09-05 2016-03-10 Osram Opto Semiconductors Gmbh Process for chemical mechanical polishing of a substrate
CN104371649B (en) * 2014-09-28 2017-05-10 顾泉 Chemical-mechanical polishing composition
US20160122590A1 (en) * 2014-10-31 2016-05-05 Air Products And Chemicals, Inc. Chemical Mechanical Polishing Slurry for Reducing Corrosion and Method of Use Therefor
CN104403571B (en) * 2014-11-20 2016-08-10 石狮市星光化工科技有限公司 A kind of general new powdered light decorations brightener manufacture method
CN104513627B (en) * 2014-12-22 2017-04-05 深圳市力合材料有限公司 A kind of integrated circuit copper CMP composition and preparation method thereof
JP6437303B2 (en) * 2014-12-25 2018-12-12 花王株式会社 Polishing liquid composition for glass hard disk substrate
US10570313B2 (en) 2015-02-12 2020-02-25 Versum Materials Us, Llc Dishing reducing in tungsten chemical mechanical polishing
US10160884B2 (en) 2015-03-23 2018-12-25 Versum Materials Us, Llc Metal compound chemically anchored colloidal particles and methods of production and use thereof
US10077381B2 (en) 2015-07-20 2018-09-18 Kctech Co., Ltd. Polishing slurry composition
KR101834418B1 (en) * 2015-10-02 2018-03-05 유비머트리얼즈주식회사 Slurry and substrate polishing method using the same
US9631122B1 (en) 2015-10-28 2017-04-25 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant
US9771496B2 (en) 2015-10-28 2017-09-26 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant and cyclodextrin
KR102543606B1 (en) * 2015-12-29 2023-06-19 솔브레인 주식회사 Polishing slurry composition for tungsten and polishing method using the same
WO2018097261A1 (en) * 2016-11-23 2018-05-31 Hoya株式会社 Method for polishing glass substrate, method for producing glass substrate, method for producing glass substrate for magnetic disks, method for producing magnetic disk, polishing liquid and method for reducing cerium oxide
JP7167042B2 (en) * 2017-09-29 2022-11-08 昭和電工マテリアルズ株式会社 Polishing liquid, polishing liquid set and polishing method
US10002771B1 (en) 2017-10-10 2018-06-19 Applied Materials, Inc. Methods for chemical mechanical polishing (CMP) processing with ozone
CN108161581A (en) * 2017-12-25 2018-06-15 大连三生科技发展有限公司 A kind of method of dental implant surface polishing
WO2019151145A1 (en) * 2018-02-05 2019-08-08 Jsr株式会社 Chemical mechanical polishing composition and polishing method
JP6784798B2 (en) * 2018-06-01 2020-11-11 ケーシーテック カンパニー リミテッド Slurry composition for polishing
US11643599B2 (en) 2018-07-20 2023-05-09 Versum Materials Us, Llc Tungsten chemical mechanical polishing for reduced oxide erosion
US11111435B2 (en) 2018-07-31 2021-09-07 Versum Materials Us, Llc Tungsten chemical mechanical planarization (CMP) with low dishing and low erosion topography
US10968366B2 (en) 2018-12-04 2021-04-06 Cmc Materials, Inc. Composition and method for metal CMP
JP7267795B2 (en) * 2019-03-22 2023-05-02 株式会社フジミインコーポレーテッド Polishing speed improver for simple silicon
CN113661219A (en) * 2019-03-25 2021-11-16 Cmc材料股份有限公司 Additive for improving particle dispersion of chemical mechanical polishing slurry
US11931855B2 (en) * 2019-06-17 2024-03-19 Applied Materials, Inc. Planarization methods for packaging substrates
CN110437744A (en) * 2019-08-19 2019-11-12 福建华清电子材料科技有限公司 A kind of preparation method of the polishing fluid for aluminium nitride chip polishing
US11454884B2 (en) 2020-04-15 2022-09-27 Applied Materials, Inc. Fluoropolymer stamp fabrication method
KR102237346B1 (en) * 2020-08-24 2021-04-07 에스케이씨솔믹스 주식회사 Polishing pad and preparing method of semiconductor device using the same
CN111975627B (en) * 2020-08-27 2022-06-28 中国电子科技集团公司第十一研究所 Grinding method of irregular tellurium-zinc-cadmium wafer
CN114433159A (en) * 2020-10-31 2022-05-06 华为技术有限公司 Composite catalyst for chemical mechanical planarization, preparation method thereof and polishing solution
CN114559302B (en) * 2022-03-01 2023-04-11 广东工业大学 Polishing solution, indium phosphide polishing device and method
WO2024026380A1 (en) * 2022-07-29 2024-02-01 Saint-Gobain Ceramics & Plastics, Inc. Composition and method for conducting a material removing operation
CN117487515A (en) * 2023-12-27 2024-02-02 甬江实验室 Composite polishing abrasive particles with catalytic activity and preparation method thereof

Citations (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3139406A (en) * 1960-10-12 1964-06-30 Nalco Chemical Co Method of producing hydrous metal oxide sols
US4252671A (en) * 1979-12-04 1981-02-24 Xerox Corporation Preparation of colloidal iron dispersions by the polymer-catalyzed decomposition of iron carbonyl and iron organocarbonyl compounds
US4478242A (en) * 1983-08-25 1984-10-23 Liqui-Box Corporation Finger-actuated push-pull slideable dispensing valve
US4478742A (en) * 1981-09-17 1984-10-23 Nalco Chemical Company Preparation of a ferric acetate coated silica sol by selective anion exchange
US4595113A (en) * 1983-10-04 1986-06-17 Firma Stangl & Vogt Gmbh & Co. Kg Device for the storage and/or carriage of sensitive materials
US4959113A (en) * 1989-07-31 1990-09-25 Rodel, Inc. Method and composition for polishing metal surfaces
US5084071A (en) * 1989-03-07 1992-01-28 International Business Machines Corporation Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
US5225034A (en) * 1992-06-04 1993-07-06 Micron Technology, Inc. Method of chemical mechanical polishing predominantly copper containing metal layers in semiconductor processing
US5234880A (en) * 1990-10-11 1993-08-10 Paxon Polymer Company, L.P. Polyolefin catalysts and method of preparing an olefin polymer
US5302356A (en) * 1992-03-04 1994-04-12 Arizona Board Of Reagents Acting On Behalf Of University Of Arizona Ultrapure water treatment system
US5324880A (en) * 1990-06-05 1994-06-28 Monsanto Company Process for dehydrogenation of paraffin
US5340370A (en) * 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
US5427423A (en) * 1993-09-27 1995-06-27 E. J. Brooks Company Padlock security seal with internal bar code
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
US5735963A (en) * 1996-12-17 1998-04-07 Lucent Technologies Inc. Method of polishing
US5785868A (en) * 1995-09-11 1998-07-28 Board Of Regents, Univ. Of Texas System Method for selective separation of products at hydrothermal conditions
US5948697A (en) * 1996-05-23 1999-09-07 Lsi Logic Corporation Catalytic acceleration and electrical bias control of CMP processing
US5958288A (en) * 1996-11-26 1999-09-28 Cabot Corporation Composition and slurry useful for metal CMP
US5958794A (en) * 1995-09-22 1999-09-28 Minnesota Mining And Manufacturing Company Method of modifying an exposed surface of a semiconductor wafer
US5958228A (en) * 1995-08-24 1999-09-28 Nippondenso Co., Ltd. Method of and an apparatus for producing a mineral water
US5976480A (en) * 1995-08-18 1999-11-02 Degussa-Huls Ag Pyrogenic silica, process for the production thereof and use
US5997620A (en) * 1997-01-21 1999-12-07 Fujimi Incorporated Polishing composition
US6022400A (en) * 1997-05-22 2000-02-08 Nippon Steel Corporation Polishing abrasive grains, polishing agent and polishing method
US6068787A (en) * 1996-11-26 2000-05-30 Cabot Corporation Composition and slurry useful for metal CMP
US6117775A (en) * 1997-10-31 2000-09-12 Hitachi, Ltd. Polishing method
US6140239A (en) * 1998-11-25 2000-10-31 Advanced Micro Devices, Inc. Chemically removable Cu CMP slurry abrasive
US6159076A (en) * 1998-05-28 2000-12-12 Komag, Inc. Slurry comprising a ligand or chelating agent for polishing a surface
US6177026B1 (en) * 1998-05-26 2001-01-23 Cabot Microelectronics Corporation CMP slurry containing a solid catalyst
US6214098B1 (en) * 1998-12-01 2001-04-10 Intel Corporation Chemical-mechanical polishing slurry
US6251150B1 (en) * 1999-05-27 2001-06-26 Ekc Technology, Inc. Slurry composition and method of chemical mechanical polishing using same
US6270395B1 (en) * 1998-09-24 2001-08-07 Alliedsignal, Inc. Oxidizing polishing slurries for low dielectric constant materials
US6293848B1 (en) * 1999-11-15 2001-09-25 Cabot Microelectronics Corporation Composition and method for planarizing surfaces
US6299795B1 (en) * 2000-01-18 2001-10-09 Praxair S.T. Technology, Inc. Polishing slurry
US6302358B1 (en) * 1996-02-28 2001-10-16 Societe Industrielle Et Commciale De Materiel Aeronautique Quick Change system and method for converting an aircraft from a cargo mode to a passenger mode and vice versa
US6313039B1 (en) * 1996-07-25 2001-11-06 Ekc Technology, Inc. Chemical mechanical polishing composition and process
US20010037821A1 (en) * 2000-04-07 2001-11-08 Staley Bradley J. Integrated chemical-mechanical polishing
US6332831B1 (en) * 2000-04-06 2001-12-25 Fujimi America Inc. Polishing composition and method for producing a memory hard disk
US20020017053A1 (en) * 2000-07-04 2002-02-14 Muneyoshi Yamada Hydrogenation of carbon monoxide using sulfide catalysts
US20020017063A1 (en) * 2000-05-16 2002-02-14 Gerhard Beitel Polishing liquid and process for patterning metals and metal oxides
US6376645B1 (en) * 1999-07-09 2002-04-23 The Dow Chemical Company Complexing agent-modified hexacyanometallate hexanitrometallate catalysts
US6375545B1 (en) * 1999-01-18 2002-04-23 Kabushiki Kaisha Toshiba Chemical mechanical method of polishing wafer surfaces
US6383065B1 (en) * 2001-01-22 2002-05-07 Cabot Microelectronics Corporation Catalytic reactive pad for metal CMP
US20020077035A1 (en) * 1999-12-22 2002-06-20 Applied Materials, Inc. Ion exchange materials for chemical mechanical polishing
US6435947B2 (en) * 1998-05-26 2002-08-20 Cabot Microelectronics Corporation CMP polishing pad including a solid catalyst
US6461227B1 (en) * 2000-10-17 2002-10-08 Cabot Microelectronics Corporation Method of polishing a memory or rigid disk with an ammonia-and/or halide-containing composition
US6468428B1 (en) * 1996-02-28 2002-10-22 Hoya Corporation Glass material for carrying a photocatalyst, filter device using the same and light irradiating method
US6481227B1 (en) * 1999-11-01 2002-11-19 Kabushiki Kaisha Toyoda Jidoshokki Seisakusho Air conditioner
US20030060135A1 (en) * 2001-09-24 2003-03-27 Cabot Microelectronics Corporation Rare earth salt/oxidizer-based cmp method
US6541384B1 (en) * 2000-09-08 2003-04-01 Applied Materials, Inc. Method of initiating cooper CMP process
US20030084815A1 (en) * 2001-08-09 2003-05-08 Fujimi Incorporated Polishing composition and polishing method employing it
US6635937B2 (en) * 2001-06-18 2003-10-21 Hitachi, Ltd. Semiconductor integrated circuit device

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3007878A (en) 1956-11-01 1961-11-07 Du Pont Aquasols of positively-charged coated silica particles and their production
US3252917A (en) 1962-08-27 1966-05-24 Nalco Chemical Co Method of producing alumina-coated silica in sol form
BE657099A (en) 1963-12-30
JPS61176192A (en) 1985-01-31 1986-08-07 株式会社日立製作所 Adhesion between copper and resin
US5352517A (en) 1986-03-24 1994-10-04 Ensci, Inc. Iron oxide coated substrates
FR2604443A1 (en) 1986-09-26 1988-04-01 Rhone Poulenc Chimie CERIUM POLISHING COMPOSITION FOR POLISHING ORGANIC GLASSES
US4841680A (en) 1987-08-25 1989-06-27 Rodel, Inc. Inverted cell pad material for grinding, lapping, shaping and polishing
JPH01193166A (en) 1988-01-28 1989-08-03 Showa Denko Kk Pad for specularly grinding semiconductor wafer
US4910155A (en) 1988-10-28 1990-03-20 International Business Machines Corporation Wafer flood polishing
DE3840194A1 (en) 1988-11-29 1990-05-31 Bayer Ag COPPER TRAITER CATALYST, METHOD FOR THE PRODUCTION THEREOF AND METHOD FOR THE PRODUCTION OF N-ALKYLATED AROMATIC AMINES USING THIS COPPER CATALYST
US5128081A (en) 1989-12-05 1992-07-07 Arch Development Corporation Method of making nanocrystalline alpha alumina
US5020283A (en) 1990-01-22 1991-06-04 Micron Technology, Inc. Polishing pad with uniform abrasion
US5981454A (en) 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
US5345490A (en) 1991-06-28 1994-09-06 General Electric Company Method and apparatus for converting computed tomography (CT) data into finite element models
US5197999A (en) 1991-09-30 1993-03-30 National Semiconductor Corporation Polishing pad for planarization
US5264010A (en) 1992-04-27 1993-11-23 Rodel, Inc. Compositions and methods for polishing and planarizing surfaces
US5445996A (en) 1992-05-26 1995-08-29 Kabushiki Kaisha Toshiba Method for planarizing a semiconductor device having a amorphous layer
US5626715A (en) 1993-02-05 1997-05-06 Lsi Logic Corporation Methods of polishing semiconductor substrates
US5489233A (en) 1994-04-08 1996-02-06 Rodel, Inc. Polishing pads and methods for their use
IL115053A (en) 1994-09-01 1999-11-30 Cabot Corp Ceramic slip compositions and method for making the same
WO1996038262A1 (en) 1995-06-01 1996-12-05 Rodel, Inc. Compositions for polishing silicon wafers and methods
US5863838A (en) 1996-07-22 1999-01-26 Motorola, Inc. Method for chemically-mechanically polishing a metal layer
US6039891A (en) 1996-09-24 2000-03-21 Cabot Corporation Multi-oxidizer precursor for chemical mechanical polishing
US5783489A (en) 1996-09-24 1998-07-21 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US5773364A (en) 1996-10-21 1998-06-30 Motorola, Inc. Method for using ammonium salt slurries for chemical mechanical polishing (CMP)
JPH10128667A (en) 1996-10-30 1998-05-19 Chiyouri Kk Polishing method and device, and abrasive therefor
DE19650500A1 (en) 1996-12-05 1998-06-10 Degussa Doped, pyrogenic oxides
US6309560B1 (en) 1996-12-09 2001-10-30 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US5891205A (en) 1997-08-14 1999-04-06 Ekc Technology, Inc. Chemical mechanical polishing composition
US5849051A (en) 1997-11-12 1998-12-15 Minnesota Mining And Manufacturing Company Abrasive foam article and method of making same
JPH11151321A (en) 1997-11-20 1999-06-08 Bridgestone Sports Co Ltd Multipiece solid golf ball
KR20010042616A (en) 1998-04-10 2001-05-25 페로 코포레이션 Slurry for chemical-mechanical polishing metal surfaces
US6077601A (en) * 1998-05-01 2000-06-20 3M Innovative Properties Company Coated abrasive article
WO1999058239A1 (en) * 1998-05-14 1999-11-18 U.S. Environmental Protection Agency Contaminant adsorption and oxidation via the fenton reaction
US6217416B1 (en) * 1998-06-26 2001-04-17 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrates
JP4090589B2 (en) * 1998-09-01 2008-05-28 株式会社フジミインコーポレーテッド Polishing composition
US6439709B1 (en) 1998-09-04 2002-08-27 Trident International, Inc. Method for reducing cavitation in impulse ink jet printing device
JP3986181B2 (en) * 1998-10-19 2007-10-03 三井化学株式会社 Slurry for polishing tungsten-containing member and polishing method
KR100447551B1 (en) 1999-01-18 2004-09-08 가부시끼가이샤 도시바 Composite Particles and Production Process Thereof, Aqueous Dispersion, Aqueous Dispersion Composition for Chemical Mechanical Polishing, and Process for Manufacture of Semiconductor Apparatus
US6740590B1 (en) 1999-03-18 2004-05-25 Kabushiki Kaisha Toshiba Aqueous dispersion, aqueous dispersion for chemical mechanical polishing used for manufacture of semiconductor devices, method for manufacture of semiconductor devices, and method for formation of embedded writing
DE19919635A1 (en) 1999-04-30 2000-11-23 Degussa Silicon-aluminum mixed oxide
US6248704B1 (en) 1999-05-03 2001-06-19 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductors devices
US6235693B1 (en) 1999-07-16 2001-05-22 Ekc Technology, Inc. Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
CN1107097C (en) 1999-07-28 2003-04-30 长兴化学工业股份有限公司 Chemicomechanically grinding composition and method
TWI254070B (en) 1999-08-18 2006-05-01 Jsr Corp Aqueous dispersion for chemical mechanical polishing
AU1457101A (en) 1999-10-28 2001-05-08 Cabot Microelectronics Corporation Chemical mechanical polishing compositions and systems
US6503418B2 (en) 1999-11-04 2003-01-07 Advanced Micro Devices, Inc. Ta barrier slurry containing an organic additive
US6242351B1 (en) * 1999-12-27 2001-06-05 General Electric Company Diamond slurry for chemical-mechanical planarization of semiconductor wafers
JP3993369B2 (en) * 2000-07-14 2007-10-17 株式会社東芝 Manufacturing method of semiconductor device
JP2002043258A (en) * 2000-07-24 2002-02-08 Asahi Kasei Corp Polishing composition for metal films
US6498131B1 (en) 2000-08-07 2002-12-24 Ekc Technology, Inc. Composition for cleaning chemical mechanical planarization apparatus
US6702954B1 (en) 2000-10-19 2004-03-09 Ferro Corporation Chemical-mechanical polishing slurry and method
US6899804B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US7077880B2 (en) * 2004-01-16 2006-07-18 Dupont Air Products Nanomaterials Llc Surface modified colloidal abrasives, including stable bimetallic surface coated silica sols for chemical mechanical planarization
US7513920B2 (en) * 2002-02-11 2009-04-07 Dupont Air Products Nanomaterials Llc Free radical-forming activator attached to solid and used to enhance CMP formulations
US20030162398A1 (en) * 2002-02-11 2003-08-28 Small Robert J. Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
IL157681A0 (en) * 2003-09-01 2004-03-28 J G Systems Inc Improved abrasives for chemical-mechanical polishing applications
WO2005066325A2 (en) * 2003-12-31 2005-07-21 Ekc Technology, Inc. Cleaner compositions containing free radical quenchers
EP1871855B1 (en) * 2005-03-25 2010-03-24 DuPont Air Products NanoMaterials L.L.C. Dihydroxy enol compounds used in chemical mechanical polishing compositions having metal ion oxidizers

Patent Citations (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3139406A (en) * 1960-10-12 1964-06-30 Nalco Chemical Co Method of producing hydrous metal oxide sols
US4252671A (en) * 1979-12-04 1981-02-24 Xerox Corporation Preparation of colloidal iron dispersions by the polymer-catalyzed decomposition of iron carbonyl and iron organocarbonyl compounds
US4478742A (en) * 1981-09-17 1984-10-23 Nalco Chemical Company Preparation of a ferric acetate coated silica sol by selective anion exchange
US4478242A (en) * 1983-08-25 1984-10-23 Liqui-Box Corporation Finger-actuated push-pull slideable dispensing valve
US4595113A (en) * 1983-10-04 1986-06-17 Firma Stangl & Vogt Gmbh & Co. Kg Device for the storage and/or carriage of sensitive materials
US5084071A (en) * 1989-03-07 1992-01-28 International Business Machines Corporation Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
US4959113C1 (en) * 1989-07-31 2001-03-13 Rodel Inc Method and composition for polishing metal surfaces
US4959113A (en) * 1989-07-31 1990-09-25 Rodel, Inc. Method and composition for polishing metal surfaces
US5324880A (en) * 1990-06-05 1994-06-28 Monsanto Company Process for dehydrogenation of paraffin
US5234880A (en) * 1990-10-11 1993-08-10 Paxon Polymer Company, L.P. Polyolefin catalysts and method of preparing an olefin polymer
US5302356A (en) * 1992-03-04 1994-04-12 Arizona Board Of Reagents Acting On Behalf Of University Of Arizona Ultrapure water treatment system
US5225034A (en) * 1992-06-04 1993-07-06 Micron Technology, Inc. Method of chemical mechanical polishing predominantly copper containing metal layers in semiconductor processing
US5427423A (en) * 1993-09-27 1995-06-27 E. J. Brooks Company Padlock security seal with internal bar code
US5340370A (en) * 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
US5976480A (en) * 1995-08-18 1999-11-02 Degussa-Huls Ag Pyrogenic silica, process for the production thereof and use
US5958228A (en) * 1995-08-24 1999-09-28 Nippondenso Co., Ltd. Method of and an apparatus for producing a mineral water
US5785868A (en) * 1995-09-11 1998-07-28 Board Of Regents, Univ. Of Texas System Method for selective separation of products at hydrothermal conditions
US5958794A (en) * 1995-09-22 1999-09-28 Minnesota Mining And Manufacturing Company Method of modifying an exposed surface of a semiconductor wafer
US6302358B1 (en) * 1996-02-28 2001-10-16 Societe Industrielle Et Commciale De Materiel Aeronautique Quick Change system and method for converting an aircraft from a cargo mode to a passenger mode and vice versa
US6468428B1 (en) * 1996-02-28 2002-10-22 Hoya Corporation Glass material for carrying a photocatalyst, filter device using the same and light irradiating method
US5948697A (en) * 1996-05-23 1999-09-07 Lsi Logic Corporation Catalytic acceleration and electrical bias control of CMP processing
US6030425A (en) * 1996-05-23 2000-02-29 Lsi Logic Corporation Catalytic acceleration and electrical bias control of CMP processing
US6313039B1 (en) * 1996-07-25 2001-11-06 Ekc Technology, Inc. Chemical mechanical polishing composition and process
US5980775A (en) * 1996-11-26 1999-11-09 Cabot Corporation Composition and slurry useful for metal CMP
US6068787A (en) * 1996-11-26 2000-05-30 Cabot Corporation Composition and slurry useful for metal CMP
US5958288A (en) * 1996-11-26 1999-09-28 Cabot Corporation Composition and slurry useful for metal CMP
US5735963A (en) * 1996-12-17 1998-04-07 Lucent Technologies Inc. Method of polishing
US5997620A (en) * 1997-01-21 1999-12-07 Fujimi Incorporated Polishing composition
US6022400A (en) * 1997-05-22 2000-02-08 Nippon Steel Corporation Polishing abrasive grains, polishing agent and polishing method
US6117775A (en) * 1997-10-31 2000-09-12 Hitachi, Ltd. Polishing method
US6435947B2 (en) * 1998-05-26 2002-08-20 Cabot Microelectronics Corporation CMP polishing pad including a solid catalyst
US6177026B1 (en) * 1998-05-26 2001-01-23 Cabot Microelectronics Corporation CMP slurry containing a solid catalyst
US6159076A (en) * 1998-05-28 2000-12-12 Komag, Inc. Slurry comprising a ligand or chelating agent for polishing a surface
US6270395B1 (en) * 1998-09-24 2001-08-07 Alliedsignal, Inc. Oxidizing polishing slurries for low dielectric constant materials
US6140239A (en) * 1998-11-25 2000-10-31 Advanced Micro Devices, Inc. Chemically removable Cu CMP slurry abrasive
US6214098B1 (en) * 1998-12-01 2001-04-10 Intel Corporation Chemical-mechanical polishing slurry
US6375545B1 (en) * 1999-01-18 2002-04-23 Kabushiki Kaisha Toshiba Chemical mechanical method of polishing wafer surfaces
US6251150B1 (en) * 1999-05-27 2001-06-26 Ekc Technology, Inc. Slurry composition and method of chemical mechanical polishing using same
US6376645B1 (en) * 1999-07-09 2002-04-23 The Dow Chemical Company Complexing agent-modified hexacyanometallate hexanitrometallate catalysts
US6481227B1 (en) * 1999-11-01 2002-11-19 Kabushiki Kaisha Toyoda Jidoshokki Seisakusho Air conditioner
US6293848B1 (en) * 1999-11-15 2001-09-25 Cabot Microelectronics Corporation Composition and method for planarizing surfaces
US20020077035A1 (en) * 1999-12-22 2002-06-20 Applied Materials, Inc. Ion exchange materials for chemical mechanical polishing
US6299795B1 (en) * 2000-01-18 2001-10-09 Praxair S.T. Technology, Inc. Polishing slurry
US6332831B1 (en) * 2000-04-06 2001-12-25 Fujimi America Inc. Polishing composition and method for producing a memory hard disk
US20010037821A1 (en) * 2000-04-07 2001-11-08 Staley Bradley J. Integrated chemical-mechanical polishing
US20020017063A1 (en) * 2000-05-16 2002-02-14 Gerhard Beitel Polishing liquid and process for patterning metals and metal oxides
US20020017053A1 (en) * 2000-07-04 2002-02-14 Muneyoshi Yamada Hydrogenation of carbon monoxide using sulfide catalysts
US6541384B1 (en) * 2000-09-08 2003-04-01 Applied Materials, Inc. Method of initiating cooper CMP process
US6461227B1 (en) * 2000-10-17 2002-10-08 Cabot Microelectronics Corporation Method of polishing a memory or rigid disk with an ammonia-and/or halide-containing composition
US6383065B1 (en) * 2001-01-22 2002-05-07 Cabot Microelectronics Corporation Catalytic reactive pad for metal CMP
US6635937B2 (en) * 2001-06-18 2003-10-21 Hitachi, Ltd. Semiconductor integrated circuit device
US20030084815A1 (en) * 2001-08-09 2003-05-08 Fujimi Incorporated Polishing composition and polishing method employing it
US20030060135A1 (en) * 2001-09-24 2003-03-27 Cabot Microelectronics Corporation Rare earth salt/oxidizer-based cmp method

Cited By (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040140288A1 (en) * 1996-07-25 2004-07-22 Bakul Patel Wet etch of titanium-tungsten film
US8142675B2 (en) * 2002-01-25 2012-03-27 Air Products And Chemicals, Inc. Compositions for chemical-mechanical planarization of noble-metal-featured substrates, associated methods, and substrates produced by such methods
US20090255903A1 (en) * 2002-01-25 2009-10-15 Small Robert J Compositions for chemical-mechanical planarization of noble-metal-featured substrates, associated methods, and substrates produced by such methods
US20090029553A1 (en) * 2002-02-11 2009-01-29 Dupont Air Products Nanomaterials Llc Free radical-forming activator attached to solid and used to enhance CMP formulations
EP1485440A1 (en) * 2002-02-11 2004-12-15 Ekc Technology, Inc. Free radical-forming activator attached to solid and used to enhance cmp formulations
EP1485440A4 (en) * 2002-02-11 2005-11-09 Ekc Technology Inc Free radical-forming activator attached to solid and used to enhance cmp formulations
EP2048208A3 (en) * 2002-02-11 2009-04-29 DuPont Air Products NanoMaterials L.L.C. Free radical-forming activator attached to solid and used to enhanced CMP formulations
US8236695B2 (en) 2002-12-10 2012-08-07 Advanced Technology Materials, Inc. Method of passivating chemical mechanical polishing compositions for copper film planarization processes
US7361603B2 (en) * 2002-12-10 2008-04-22 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
US20050255693A1 (en) * 2002-12-10 2005-11-17 Jun Liu Passivative chemical mechanical polishing composition for copper film planarization
US20050263490A1 (en) * 2002-12-10 2005-12-01 Jun Liu Method of passivating chemical mechanical polishing compositions for copper film planarization processes
US20070181852A1 (en) * 2002-12-10 2007-08-09 Jun Liu Passivative chemical mechanical polishing composition for copper film planarization
US20090137122A1 (en) * 2002-12-10 2009-05-28 Advanced Technology Materials, Inc. Method of passivating chemical mechanical polishing compositions for copper film planarization processes
US7037351B2 (en) * 2003-07-09 2006-05-02 Dynea Chemicals Oy Non-polymeric organic particles for chemical mechanical planarization
US20050005525A1 (en) * 2003-07-09 2005-01-13 Yuzhuo Li Non-polymeric organic particles for chemical mechanical planarization
US8557343B2 (en) 2004-03-19 2013-10-15 The Boeing Company Activation method
KR100648264B1 (en) 2004-08-17 2006-11-23 삼성전자주식회사 Slurry for ruthenium cmp, cmp method for ruthenium using the slurry and method for forming ruthenium electrode using the ruthenium cmp
US20060157671A1 (en) * 2004-11-26 2006-07-20 Ace Hightech Co., Ltd. Slurry for use in metal-chemical mechanical polishing and preparation method thereof
US20080050598A1 (en) * 2005-01-21 2008-02-28 Bateman Stuart A Activation method using modifying agent
US10888896B2 (en) 2005-01-21 2021-01-12 The Boeing Company Activation method using modifying agent
US9909020B2 (en) 2005-01-21 2018-03-06 The Boeing Company Activation method using modifying agent
WO2006116770A2 (en) * 2005-04-28 2006-11-02 Advanced Technology Materials, Inc. Method of passivating chemical mechanical polishing compositions for copper film planarization processes
WO2006116770A3 (en) * 2005-04-28 2007-03-29 Advanced Tech Materials Method of passivating chemical mechanical polishing compositions for copper film planarization processes
TWI421931B (en) * 2005-04-28 2014-01-01 Advanced Tech Materials Method of passivating chemical mechanical polishing compositions for copper film planarization processes
US20080020680A1 (en) * 2006-07-24 2008-01-24 Cabot Microelectronics Corporation Rate-enhanced CMP compositions for dielectric films
US20100087065A1 (en) * 2007-01-31 2010-04-08 Advanced Technology Materials, Inc. Stabilization of polymer-silica dispersions for chemical mechanical polishing slurry applications
US20100307068A1 (en) * 2007-12-22 2010-12-09 Evonik Degussa Gmbh Dispersion comprising cerium oxide and colloidal silicon dioxide
US20100159698A1 (en) * 2008-12-23 2010-06-24 Dupoint Air Products Nanomaterials Llc Combination, Method, and Composition for Chemical Mechanical Planarization of A Tungsten-Containing Substrate
US8790521B2 (en) 2008-12-23 2014-07-29 Air Products And Chemicals, Inc. Combination, method, and composition for chemical mechanical planarization of a tungsten-containing substrate
US8506831B2 (en) * 2008-12-23 2013-08-13 Air Products And Chemicals, Inc. Combination, method, and composition for chemical mechanical planarization of a tungsten-containing substrate
US8530100B2 (en) * 2009-12-10 2013-09-10 Daimler Ag Method of chemical treatment of fuel cell plate surface to modify wettability of flow field channels
US20110143221A1 (en) * 2009-12-10 2011-06-16 Daimler Ag Method of Chemical Treatment of Fuel Cell Plate Surface to Modify Wettability of Flow Field Channels
US9039914B2 (en) 2012-05-23 2015-05-26 Cabot Microelectronics Corporation Polishing composition for nickel-phosphorous-coated memory disks
CN104131292A (en) * 2014-07-01 2014-11-05 蚌埠市高华电子有限公司 Conveniently-cleaned anti-corrosion composite polishing fluid and preparation method thereof
WO2021129124A1 (en) * 2019-12-23 2021-07-01 广东工业大学 Electro-fenton and cluster magnetorheological composite grinding and polishing device and method

Also Published As

Publication number Publication date
US20040029495A1 (en) 2004-02-12
CN1646650B (en) 2010-05-05
AU2003219741A1 (en) 2003-09-04
EP2048208A3 (en) 2009-04-29
TWI278499B (en) 2007-04-11
EP1485440B1 (en) 2008-09-24
US20040006924A1 (en) 2004-01-15
DE03716012T1 (en) 2006-07-13
DE60323733D1 (en) 2008-11-06
US20060180788A1 (en) 2006-08-17
US20030162398A1 (en) 2003-08-28
JP4824909B2 (en) 2011-11-30
EP1485440A1 (en) 2004-12-15
WO2003068882A1 (en) 2003-08-21
US20090029553A1 (en) 2009-01-29
US7029508B2 (en) 2006-04-18
JP5109000B2 (en) 2012-12-26
EP2048208A2 (en) 2009-04-15
US7014669B2 (en) 2006-03-21
US7427305B2 (en) 2008-09-23
EP1485440A4 (en) 2005-11-09
KR100736325B1 (en) 2007-07-06
ATE409212T1 (en) 2008-10-15
JP2005518090A (en) 2005-06-16
TW200304944A (en) 2003-10-16
CN1646650A (en) 2005-07-27
JP2009283951A (en) 2009-12-03
KR20040093716A (en) 2004-11-08

Similar Documents

Publication Publication Date Title
US20040025444A1 (en) Fenton's reagent composition for chemical-mechanical polishing, method of using same, and substrate treated with same
US7513920B2 (en) Free radical-forming activator attached to solid and used to enhance CMP formulations
US7294576B1 (en) Tunable selectivity slurries in CMP applications
KR100302671B1 (en) Chemical mechanical polishing composition and process
US6435947B2 (en) CMP polishing pad including a solid catalyst
EP3056553A1 (en) Dishing reducing in tungsten chemical mechanical polishing
US8008202B2 (en) Ruthenium CMP compositions and methods
EP2052049A1 (en) Rate-enhanced cmp compositions for dielectric films
US20160122590A1 (en) Chemical Mechanical Polishing Slurry for Reducing Corrosion and Method of Use Therefor
JP2023536475A (en) CMP composition containing anionic and cationic inhibitors
US8637404B2 (en) Metal cations for initiating polishing

Legal Events

Date Code Title Description
AS Assignment

Owner name: EKC TECHNOLOGY, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SMALL, ROBERT J.;CHANG, XIAOWEI C.;REEL/FRAME:014399/0048;SIGNING DATES FROM 20030506 TO 20030513

STCB Information on status: application discontinuation

Free format text: EXPRESSLY ABANDONED -- DURING EXAMINATION

AS Assignment

Owner name: DUPONT AIR PRODUCTS NANOMATEIRALS L.L.C., ARIZONA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:EKC TECHNOLOGY, INC.;REEL/FRAME:016700/0301

Effective date: 20050823

AS Assignment

Owner name: VERSUM MATERIALS US, LLC, ARIZONA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:AIR PRODUCTS AND CHEMICALS, INC.;REEL/FRAME:041772/0733

Effective date: 20170214