US20030221621A1 - Method and apparatus for processing semiconductor substrates with hydroxyl radicals - Google Patents

Method and apparatus for processing semiconductor substrates with hydroxyl radicals Download PDF

Info

Publication number
US20030221621A1
US20030221621A1 US10/453,227 US45322703A US2003221621A1 US 20030221621 A1 US20030221621 A1 US 20030221621A1 US 45322703 A US45322703 A US 45322703A US 2003221621 A1 US2003221621 A1 US 2003221621A1
Authority
US
United States
Prior art keywords
precursor
reactor
hydroxyl radicals
hydroxyl
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/453,227
Inventor
Himanshu Pokharna
Shankar Chandran
Srinivas Nemani
Chen-An Chen
Francimar Campana
Ellie Yieh
Li-Qun Xia
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/453,227 priority Critical patent/US20030221621A1/en
Publication of US20030221621A1 publication Critical patent/US20030221621A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth

Definitions

  • the present invention relates generally to improved methods and apparatuses for depositing films on partially fabricated integrated circuits (ICs). More specifically, the present invention relates to improved methods and apparatuses for accelerating the deposition of certain materials from precursors, such as organometallic compounds.
  • metallization layers may be produced by processing (e.g., etching, chemical or physical vapor deposition, etc.) layers (e.g., metal layers) on a silicon wafer or substrate.
  • dielectric layers may be formed between metallization layers to enable the formation of multi-level connections to devices, to produce field oxide regions used to isolate semiconductor active devices, to produce passivation layers used to protect entire IC chips during packaging, and to form masks used in subsequent etching processes.
  • TEOS tetraethylorthosilicate
  • CVD chemical vapor deposition
  • At least three chemical vapor deposition processes are now commonly used in industry. These include plasma enhanced chemical vapor deposition (PECVD), low pressure chemical vapor deposition (LPCVD), and atmospheric pressure chemical vapor deposition (APCVD).
  • PECVD plasma enhanced chemical vapor deposition
  • LPCVD low pressure chemical vapor deposition
  • APCVD atmospheric pressure chemical vapor deposition
  • oxygen and ozone are typically employed, especially if TEOS is the precursor. While the introduction of oxygen and ozone promotes TEOS decomposition, it has been found that TEOS decomposition reaction is still slow and a relatively high fraction of the TEOS introduced into a CVD chamber does not completely and fully react with the oxygen and ozone and is therefore wasted. Also, because TEOS decomposes at a slow rate, it has been found that the slow rate of decomposition causes certain structural defects (e.g., voids) resulting from the deposition of TEOS SiO 2 films over gaps between vertical structures.
  • structural defects e.g
  • the method generally includes: (1) introducing an organometallic compound (e.g., TEOS) and ozone molecules to a chemical vapor deposition reactor; (2) directing ultraviolet radiation into the chemical vapor deposition reactor to increase the rate at which oxygen atoms are formed from the ozone molecules present in the chemical vapor deposition reactor; and (3) decomposing the organometallic compound to form a deposition layer (e.g., a silicon dioxide layer).
  • a deposition layer e.g., a silicon dioxide layer.
  • the organometallic compound is taught as decomposing at an accelerated rate due in part to an increased concentration of hydroxyl radicals present in the chemical vapor deposition reactor.
  • the hydroxyl radicals are produced from a reaction of oxygen atoms with moisture.
  • the water vapor and/or hydrogen peroxide is introduced to the chemical vapor deposition reactor to ensure that a high concentration of hydroxyl radicals are present.
  • an apparatus for depositing a dielectric layer on a substrate is disclosed.
  • the apparatus is preferably suited for decomposing organometallic compounds such as TEOS with the aid of hydroxyl radicals.
  • the apparatus generally includes: (1) a chemical vapor deposition reactor having a support for a substrate, and at least one inlet port for receiving gases; (2) a source of ozone gas coupled to the at least one inlet port; (3) a source of the organometallic compound coupled to the at least one inlet port; and (4) a source of ultraviolet radiation oriented to direct ultraviolet radiation into the chemical vapor deposition reactor.
  • the present invention broadly provides a method for depositing a layer on a substrate in a chemical vapor deposition reaction zone comprising introducing a precursor into a chemical vapor deposition reaction zone containing a substrate, and introducing hydroxyl radicals into the chemical vapor deposition reaction zone for reacting with the precursor to form a deposition layer on the substrate.
  • the precursor may be selected from the group consisting of silane, silicon, an organometallic compound, and a silicon-containing gas.
  • the introduction of hydroxyl radicals into the chemical vapor deposition reaction zone comprises introducing hydroxyl radicals as a gas phase into the chemical vapor deposition zone.
  • the gas phase preferably comprises at least about 10% by volume of the hydroxyl radicals, and the temperature of the gas phase preferably ranges from about 100° C. to about 150° C.
  • An inert gas is typically employed as a carrier for the precursor.
  • the inert gas may be any suitable inert gas, but is preferably selected from the group consisting of nitrogen, helium, argon, neon, krypton, xenon and radon, and mixtures thereof.
  • the method for depositing a layer additionally comprises producing the hydroxyl radicals prior to the introducing hydroxyl radicals into the chemical vapor deposition reaction zone.
  • Preferably hydroxyl radicals are introduced at a pressure ranging from about 100 Torrance to about 200 Torrance. Reacting the precursor with the hydroxyl radicals preferably decomposes the precursor to form the deposition layer.
  • the present invention further broadly provides a method for forming a deposition layer in a chemical vapor deposition reactor comprising the steps of (a) producing hydroxyl radicals; (b) admixing the produced hydroxyl radicals with a precursor to produce a hydroxyl radicals-precursor mixture; and (c) introducing the hydroxyl radicals-precursor mixture of step (b) into the chemical vapor deposition reactor to form a deposition layer.
  • the producing of hydroxyl radicals in step (a) preferably comprises introducing a water-containing agent (e.g., water) and ozone into a hydroxyl radical-producing reactor; and directing ultraviolet radiation into the hydroxyl radical-producing reactor to cause oxygen atoms to form from the ozone and react with the water-containing agent to produce hydroxyl radicals.
  • the method additional comprises removing, prior to the admixing of step (b), hydroxyl radicals from the hydroxyl radical-producing reactor.
  • the admixing of hydroxyl radicals with the precursor causes the hydroxyl radicals to react with the precursor.
  • the hydroxyl radicals and the precursor are reacting as the hydroxyl radicals-precursor mixture is being introduced into the chemical vapor deposition reactor.
  • the present invention also broadly provides a chemical vapor deposition reactor for forming deposition films comprising a chemical vapor deposition reactor chamber; a source of hydroxyl ion gas coupled to the chemical vapor deposition reactor chamber and including hydroxyl ion gas flowing or introducing into the chemical vapor deposition reactor chamber; and a pedestal disposed in the reactor chamber for supporting substrates in the reactor chamber.
  • the chemical vapor deposition reactor also comprises a processing power source; a processing gas-introducing assembly engaged to the reactor chamber for introducing a processing gas into said reactor chamber; and a processing power-transmitting member disposed in proximity to the reactor chamber and connected to the processing power source for transmitting power into the reactor interior for forming deposition films.
  • the source of hydroxyl ion gas comprises a hydroxyl-ion producing reactor having at least one inlet port; a source of water coupled to the at least one inlet port; a source of ozone gas also coupled to the at least one inlet port; and a source of ultraviolet radiation oriented to direct ultraviolet radiation into the hydroxyl-ion producing reactor.
  • the present invention further also broadly provides a chamber assembly for decomposing a precursor with hydroxyl radicals comprising a process chamber having a support for a substrate and at least one port for receiving at least one gas; a source of precursor gas coupled to the at least one port for flowing precursor gas into the processing chamber; a source of hydroxyl radical gas coupled to the at least one port for flowing or introducing hydroxyl radical gas into the processing chamber to cause the precursor gas to decompose.
  • a reactor for processing substrates comprising a reactor chamber; a hydroxyl-ion producing assembly coupled to the reactor chamber for producing hydroxyl ions and introducing the hydroxyl ions into the reactor chamber; and a pedestal disposed in the reactor chamber for supporting substrates in the reactor chamber.
  • the reactor also comprises a processing power source; a processing gas-introducing assembly engaged to the reactor chamber for introducing a processing gas into the reactor chamber; and a processing power-transmitting member disposed in proximity to the reactor chamber and connected to the processing power source for transmitting power into the reactor interior.
  • FIG. 1 is a diagrammatic illustration of one embodiment of the invention wherein an hydroxyl ions producing apparatus is in communication with a chemical vapor deposition reaction chamber such that produced hydroxyl radicals may be mixed with a precursor prior to being introduced into the chemical vapor deposition reaction chamber; and
  • FIG. 2 is a diagrammatic illustration of another embodiment of the invention wherein an hydroxyl ions producing apparatus is in communication with a chemical vapor deposition reaction chamber such that produced hydroxyl ions may be directly introduced into the chemical vapor deposition reaction chamber without being premixed with the precursor.
  • Embodiments of the present invention provide for methods and apparatuses for depositing one or more layers of one or more materials on the surface(s) of semiconductor substrates or devices.
  • the deposited layers are formed from decomposing in a reactor a suitable precursor, such as an organometallic compound or an organometalloid.
  • the reactor may be any suitable reactor, but is preferably a chemical vapor deposition (CVD) reactor.
  • the one or more materials that are deposited on the semiconductor substrate may be any material or matter that can be produced under appropriate conditions by decomposing, or otherwise breaking down, a precursor with the assistance of hydroxyl radicals or ions, which react with the precursor to cause the decomposition of same.
  • the one or more materials include, but are not limited to, semiconductors, dielectrics, and metals employed in manufacturing integrated circuits and other semiconductor devices.
  • the precursor will be TEOS, tetraethylorthosilicate Si(OC 2 H 5 ) 4 , as a suitable organometallic compound which, when coming in contact with hydroxyl radicals, decomposes or breaks down into SiO 2 , the material which deposits and/or forms as a deposited material or layer on a semiconductor substrate.
  • TEOS is employed in the gaseous state; thus, a suitable heater (not shown) heats the TEOS at a suitable temperature, such as a temperature ranging from about 20° C. to about 100° C., to change liquid TEOS into vapor or gaseous TEOS.
  • TEOS will be used as the precursor to illustrate preferred embodiments of the present invention
  • the spirit and scope of the present invention include other precursors such as, by way of illustration only, trimethylsilane (TMS), BPTEOS, TEB, TMOP, OMCTS, HMDS, TMCTS, TRIES, etc.
  • TMS trimethylsilane
  • BPTEOS BPTEOS
  • TEB TMOP
  • OMCTS HMDS
  • TMCTS TRIES
  • these precursors, as well as others which are all within the spirit and scope of the present invention may be employed to deposit and/or form on a semiconductor substrate films or layers of such materials as titanium (Ti), tantalum (Ta), tungsten (W), silicides, and so forth.
  • the type and chemical composition of the material deposited and/or formed on the semiconductor substrate depends on the chemical composition of the precursor.
  • the material deposited is dictated by the precursor selected.
  • TEOS would be an acceptable precursor for being decomposed by reacting with hydroxy
  • processing power e.g., RF power, magnetron power, microwave power, etc.
  • a dielectric member which includes a dielectric window of a nonconductive material such as a ceramic dome, etc., and becomes coupled to a plasma of the processing gas.
  • metals e.g., platinum, copper, aluminum, titanium, ruthenium, iridium, etc.
  • other materials such as dielectrics, may be respectively deposited on a substrate.
  • the spirit and scope of the present invention would include any type of processing of a semiconductor substrate and any type reactor or reaction zone for processing a semiconductor substrate, all readily discernible to those possessing the ordinary skill in the art.
  • the precursor and hydroxyl radicals or ions are introduced into a CVD reactor, preferably in a gaseous state.
  • the precursor and hydroxyl radicals may be admixed before being introduced into the CVD reactor; or alternatively, the precursor and the hydroxyl radicals may be independently introduced into the CVD reactor and then admixed within the CVD reactor itself.
  • the hydroxyl radicals When the hydroxyl radicals come in contact with the precursor (e.g., a processing gas), the hydroxyl radicals react with and/or cause decomposition of the precursor, resulting in the deposition rate of the depositing material accelerating, especially since the concentration of the hydroxyl radicals is instantly increased within the CVD reactor because hydroxyl radicals are being introduced into the CVD reactor in a pure, free state. Hydroxyl radicals also cause acceleration of the decomposition or break down of the precursor. It is believed that by initially producing the hydroxyl radicals outside of a CVD reactor, instead of producing the hydroxyl radicals within a CVD reactor as disclosed in U.S. Pat. No.
  • reaction of the precursor with the hydroxyl radicals immediately commences.
  • reaction of the precursor with the hydroxyl radicals, and decomposition of the precursor are all taking place as the precursor and the hydroxyl radicals are passing into the CVD reactor.
  • the hydroxyl radicals also combine with carbon atoms originating from the organometallic precursor, thus reducing carbon contamination within the CVD reactor.
  • hydroxyl radicals or ions are produced, obtained, or otherwise provided.
  • the hydroxyl radicals or ions may be produced, obtained, or otherwise provided in any suitable manner, all of which would fall within the spirit and scope of the present invention.
  • the hydroxyl radicals are produced in a suitable hydroxyl-ion producing reactor or assembly to provide a source of hydroxyl radicals.
  • Water (H 2 O) and/or steam and ozone (O 3 ) are respectively introduced into a suitable hydroxyl-ion producing reactor.
  • ultra-violet radiation is introduced into or provided to the reactor to cause the ozone to decompose into oxygen and atomic oxygen (O*) in 1 D state which reacts with the water molecules to generate hydroxyl radicals (OH ⁇ ) in a gas-phase.
  • oxygen and atomic oxygen O*
  • unreacted water (or unreacted steam), oxygen and some ozone are also in the reactor.
  • hydrogen peroxide H 2 O 2
  • gaseous hydrogen peroxide may be introduced into the hydroxyl-ion producing reactor where ultra-violet radiation photolyzes and/or decomposes the hydrogen peroxide into an independent or an additional gas-phase source of hydroxyl radicals.
  • the hydroxyl-ion producing reactor would be equipped with a suitable transmission window for receiving and allowing passage of ultraviolet rays into the hydroxyl-ion producing reactor from an external source, such as a mercury arc lamp.
  • an external source such as a mercury arc lamp.
  • the hydroxyl-radicals After the hydroxyl-radicals have been produced, they, along with unreacted water (or unreacted steam), oxygen and traces of ozone, flow and are introduced into a reactor which is being simultaneously supplied with gaseous TEOS, preferably with the aid of a noble or inert gas such as nitrogen, argon, helium, neon, krypton, xenon, radon, and mixtures thereof.
  • a noble or inert gas such as nitrogen, argon, helium, neon, krypton, xenon, radon, and mixtures thereof.
  • gaseous hydroxyl radicals contact and react with the gaseous TEOS such that the TEOS decomposes and breaks down to various components, one of which is SiO 2 that deposits on a semiconductor substrate.
  • gaseous hydroxyl radicals and gaseous TEOS i.e., a precursor
  • TEOS and hydroxyl radicals continue to react with each other as they are entering the CVD reactor, causing SiO 2 to essentially immediately start depositing on the semiconductor substrate.
  • gaseous hydroxyl radicals and gaseous TEOS come in contact with each other essentially immediately after entering the CVD reactor, causing in the CVD reactor the essentially immediate hydroxyl ion-TEOS reaction and concomitant TEOS decomposition along with subsequent SiO 2 deposition.
  • SiO 2 may be conducted at any suitable pressure and temperature, such as by way of example only, at atmospheric pressure in a chemical vapor deposition (APCVD) process, or at subatmospheric pressure in a chemical vapor deposition (SACVD) process, all readily known to those possessing ordinary skill in the art.
  • APCVD chemical vapor deposition
  • SACVD chemical vapor deposition
  • the deposition rate of the silicon dioxide film increases due to the accelerated rate at which TEOS is decomposed by the hydroxyl radicals.
  • the increased rate at which TEOS decomposes is generally correlated to the increased amount of hydroxyl radicals (*OH) being produced and coming into contact with the TEOS.
  • ozone (O 3 ) is exposed to ultraviolet radiation in a hydroxyl-ion producing reactor, the rate at which ozone decomposes to form oxygen (O 2 ) molecules and atomic oxygen (i.e., oxygen radicals “O*”) is increased.
  • hydroxyl radicals can be produced in the hydroxyl-ion producing reactor.
  • the rate at which TEOS decomposes is beneficially increased, and the rate at which silicon dioxide films are formed is also beneficially increased.
  • the rate at which TEOS decomposes and the rate SiO 2 deposits are also beneficially increased, especially since TEOS is decomposing as it is entering the CVD reactor.
  • FIG. 1 there is seen a schematic diagram of an exemplary hydrogen-ion producing reactor, generally illustrated as 10 , communicating with a CVD apparatus, generally illustrated as 30 , through a conduit 12 having a flow control valve 13 .
  • a reactor chamber 14 is preferably at a pressure ranging from about 2 Torr to about 400 Torr, more preferably from about 80 Torr to about 200 Torr, most preferably from about 100 Torr to about 150 Torr.
  • the reactor chamber 14 is preferably at a temperature ranging from about 50° C.
  • a radiation transmission window 22 is coupled to reactor chamber 14 such that a suitable radiation source may transmit radiation into reactor chamber 14 in order to enhance the decomposition rate of the injected ozone molecules.
  • radiation transmission window 22 may be a quartz window suitable to transmit ultraviolet radiation into reactor chamber 14 .
  • radiation may be introduced directly from a mercury arc lamp 24 at radiation transmission window 22 . It should be appreciated that any suitable radiation source or configuration may be substituted for mercury arc lamp 24 .
  • a radiation source may have a wavelength radiation spectrum containing a wavelength line ranging from about 200 nm to about 300 nm, preferably about a wavelength line containing about 254 nm line corresponding to strong ozone absorption.
  • mercury arc lamp 24 is activated such that ultraviolet radiation having an approximate wavelength of 254 nm is directed at the ozone/water mixture in the reactor chamber 14 .
  • the water rich gas phase ozone molecules are caused to decompose and form oxygen molecules and atomic oxygen (O*) in 1 D state.
  • O* atomic oxygen
  • hydroxyl radicals are produced via the ultraviolet photolysis of ozone to produce electronically excited singlet oxygen atoms:
  • M is the cold reactor wall, as stated in the Kirk-Othmer, Encyclopedia of Chemical Technology, Vol. 17, p. 953-994, Fourth Edition published by John Wiley & Sons (New York, 1996), incorporated herein by reference thereto.
  • the ultraviolet photolysis of O 3 .H 2 O clusters also provide a source of hydroxyl radicals and/or hydrogen peroxide:
  • Reaction 1 (R1) is energetically possible for wavelengths of light shorter than 665 nm, while reaction 2 (R2) is exothermic even without the absorption of light.
  • reaction 2 (R2) is exothermic even without the absorption of light.
  • the ground state O atoms produced in the ultraviolet photolysis of ozone have up to 22.2 kcal mol ⁇ 1 of translational energy to overcome the activation barriers necessary to abstract a hydrogen atom from water or to insert into the water molecule to form H 2 O 2 . Since the O atoms would be produced in the presence of a water molecule, reactions R1 and R2 may be preferential over other reactions, such as combination with O 2 to reform ozone.
  • hydrogen peroxide (H 2 O 2 ) produced by reaction R2 above, or otherwise provided for reactor chamber 14 breaks down or decomposes in the presence of ultraviolet light into hydroxyl ions, and thus may provide an additional gas-phase source of hydroxyl radicals:
  • hydrogen peroxide preferably gaseous H 2 O 2
  • hydrogen peroxide is introduced into the reactor chamber 14 via conduit or line 28 .
  • hydrogen peroxide reduces to hydroxyl radicals when contacted by ultraviolet radiation.
  • valve 13 is opened and hydroxyl radicals in a gas phase flow out of the reactor chamber 14 and into conduit 12 .
  • the hydroxyl radical gas phase comprises a temperature ranging from about 80° C. to about 175° C., more preferably from about 100° C. to about 150° C.
  • the hydroxyl radical gas phase also comprises a pressure ranging from about 2 Torr to about 400 Torr, preferably from about 50 Torr, to about 250 Torr, more preferably from about 100 Torr to about 150 Torr.
  • a precursor e.g., TEOS
  • an inert carrier gas such as nitrogen, argon and helium (or any other suitable inert carrier gas such as krypton, radon, and xenon)
  • the hydroxyl radicals immediately start reacting with the precursor within conduit 12 before the mixture of precursor and hydroxyl radicals (along with inert carrier gas, unreacted water, oxygen and ozone) is introduced into the CVD apparatus 30 .
  • the hydroxyl radicals are reacting with the precursor, causing essentially instantaneous decomposition of the precursor as it enters the CVD apparatus 30 .
  • the precursor e.g., TEOS
  • the precursor flows from conduit 29 into a conduit 32 which communicates with the CVD apparatus 30 in order to cause the gaseous precursor to come in contact with the gaseous hydroxyl radicals flowing out of conduit 12 in the CVD apparatus 30 such that the reaction of the precursor and the hydroxyl radicals, as well as decomposition of the precursor, commences immediately within the CVD apparatus 30 .
  • the produced hydroxyl radicals (along with unreacted water, oxygen and ozone) flow directly into the CVD apparatus 30 .
  • CVD apparatus 30 includes an enclosure assembly 36 housing a vacuum chamber 38 with a gas reaction area 40 .
  • a gas distribution plate 42 is provided above the gas reaction area 40 for dispersing reactive gases through perforated holes in plate 42 to a wafer (not shown) that rests on a vertically movable heater 44 (also referred to as wafer support pedestal or susceptor).
  • CVD apparatus 30 further includes a heater/lift assembly 46 for heating the wafer supported on heater 44 . Heater/lift assembly 46 also can be controllably moved between a lower loading/off-loading position and an upper processing position indicated by dotted line 48 which is closely adjacent to plate 42 , as shown in FIG. 1.
  • a center board (not shown) includes sensors for providing information on the position of the wafer.
  • Heater 44 includes resistively-heated components enclosed in a ceramic, preferably aluminum nitride or anodized aluminum.
  • a ceramic preferably aluminum nitride or anodized aluminum.
  • all surfaces of heater 44 exposed to vacuum chamber 38 are made of a ceramic material, such as aluminum oxide (Al 2 O 3 or alumina) or aluminum nitride.
  • heater 44 and the wafer are in processing position 48 , they are surrounded by a chamber liner 50 along the inside walls 52 of CVD apparatus 30 and by an annular pumping channel 54 , formed by chamber liner 56 and a top portion of vacuum chamber 38 .
  • chamber liner 50 preferably comprises a ceramic material, such a alumina or aluminum nitride, which serves to lower the temperature gradient between resistively-heated heater 44 (high temperature) and chamber walls 52 , which are at a much lower temperature relative to heater 44 .
  • valve 13 is subsequently opened causing the formed gaseous hydroxyl radicals (along with unreacted water/steam, oxygen and ozone) to either flow directly into the CVD apparatus 30 via conduit 12 as best shown in FIG. 2, or to be admixed within conduit 29 with gaseous TEOS (i.e., the precursor), along with its associated inert carrier gas (e.g., nitrogen or argon), flowing into conduit 12 via conduit 29 .
  • gaseous TEOS i.e., the precursor
  • inert carrier gas e.g., nitrogen or argon
  • the formed gaseous hydroxyl radicals along with unreacted water/steam, oxygen and ozone comprise at least about 10% by volume hydroxyl radicals, preferably from about 10% by volume to about 30% by volume hydroxyl radicals, more preferably from about 15% by volume to about 25% by volume hydroxyl radicals, most preferably from about 17% by volume to about 23% by volume (e.g., about 20% by volume) hydroxyl radicals. Because the hydroxyl radicals have a short half-life (e.g., from about 2 secs. to about 14 secs.), once the hydroxyl radicals are produced in the reactor chamber 14 , they are subsequently delivered into the CVD apparatus 30 of FIG. 2 for the embodiment of the invention of FIG. 2, within about 30 secs.
  • a short half-life e.g., from about 2 secs. to about 14 secs.
  • the hydroxyl radicals are subsequently delivered into contact with TEOS (i.e., the precursor) within conduit 12 within about 30 secs. after formation, preferably into contact with TEOS within a time period ranging from about 1 sec. to about 30 secs. after formation, more preferably into contact with TEOS within a time period ranging from about 1 sec. to about 30 secs. after formation, more preferably into contact with TEOS within a time period ranging from about 2 secs. to about 12 secs. after formation, most preferably into contact with TEOS within a time period ranging from about 2 secs. to about 8 secs after formation.
  • TEOS i.e., the precursor
  • hydroxyl radical gas (along with associated unreacted water/steam, oxygen, and ozone) is flowed out of reactor chamber 14 , and gaseous TEOS (along with inert carrier gas) is flowed through conduit 29 at rates such that a stoichiometric amount of hydroxyl radical gas is available to react with TEOS gas.
  • gaseous TEOS (along with inert gas) comes into contact with and mixes with gaseous hydroxyl radicals (along with unreacted water/steam, oxygen and ozone), either in conduit 12 for the embodiment of the invention of FIG. 1 or within the CVD apparatus 30 for the embodiment of the invention of FIG.
  • the resulting mixture comprises, or consists of, or consists essentially of, from about 50% to about 95% by volume TEOS (along with inert gas) and from about 5% by volume to about 50% by volume hydroxyl radicals (along with unreacted water/steam, oxygen and ozone), preferably from about 55% to about 90% by volume TEOS (along with inert gas) and from about 10% to about 45% by volume hydroxyl radicals (along with unreacted water/steam, oxygen and ozone), more preferably from about 60% to about 85% by volume TEOS (along with inert gas) and from about 15% to about 40% by volume hydroxyl radicals (along with unreacted water/steam, oxygen and ozone), most preferably from about 65% to about 80% by volume TEOS (along with inert gas) and from about 20% to about 35% by volume hydroxyl radicals (along with unreacted water/steam, oxygen and ozone).
  • gaseous TEOS (along with inert gas) comes into contact with and mixes with gaseous hydroxyl radicals (along with unreacted water/steam, oxygen and ozone) either for the embodiment of the invention of FIG. 1 or for the embodiment of the invention of FIG.
  • the resulting mixture comprises, or consists of, or consists essentially of, from about 5% to about 15% by volume TEOS gas, from about 30% to about 50% by volume inert gas, from about 5% to about 15% by volume hydroxyl radical gas, from about 0% to about 20% by volume of a water-containing agent (e.g., water), from about 10% to about 30% by volume oxygen, from about 0% to about 5% by volume ozone; more preferably from about 10% to about 15% by volume TEOS gas, from about 30% to about 40% by volume inert gas, from about 10% to about 15% by volume hydroxyl radical gas, from about 0% to about 10% by volume of a water-containing agent (e.g., water), from about 10% to about 30% by volume oxygen, from about 0% to about 10% by volume ozone; most preferably from about 12% to about 15% by volume TEOS gas, from about 40% to about 45% by volume inert gas, from about 12% to about 15% by volume hydroxyl radical gas, from about 0% to about 8% by volume
  • gaseous TEOS (along with inert carrier gas) and hydroxyl radicals (along with unreacted water/steam, oxygen and ozone) are mixed together, either for the embodiment of the invention of FIG. 1 or for the embodiment of the invention or of FIG. 2, the mixture is delivered to plate 42 .
  • gas supplied to plate 42 is vented toward the wafer surface (as indicated by arrows 60 ), where it may be uniformly distributed radially across the wafer surface, typically in a laminar flow.
  • Purging gas may be delivered into vacuum chamber 38 from an inlet port or tube (not shown) through the bottom wall of enclosure assembly 36 .
  • the purging gas flows upward past heater 44 and to an annular pumping channel 54 .
  • An exhaust system then exhausts the gas (as indicated by arrows 64 ) into the annular pumping channel 54 and through an exhaust line 68 by a vacuum pump system (not shown). Exhaust gases and residues are preferably released from annular pumping channel 54 through exhaust line 68 at a rate controlled by a throttle valve system 70 .
  • thermal CVD processes supply reactive gases to the substrate surface where heat-induced chemical reactions (homogeneous or heterogeneous) take place to produce a desired film.
  • heat is distributed by resistively-heated heater 44 that is capable of reaching temperatures as high as about 400-800° C.
  • Such heat distribution provides uniform, rapid thermal heating of the wafer for effecting deposition, reflow and/or drive-in, cleaning, and/or seasoning/gettering steps in a multiple-step process in situ in vacuum chamber 38 .
  • a controlled plasma may be formed adjacent to the wafer by RF energy applied to gas distribution plate 42 from an RF power supply (not shown).
  • the RF power supply can supply either single frequency RF power to plate 42 or mixed frequency RF power to plate 42 and the lower RF electrode to enhance the decomposition of reactive species introduced into process chamber 38 .
  • some of the components of vapor deposition apparatus 30 would have to be modified to accommodate the RF energy.
  • a method for depositing a layer on a substrate in a chemical vapor deposition reaction zone comprising introducing a precursor, (e.g. TEOS) into a chemical vapor deposition reaction zone containing a substrate, and introducing hydroxyl radical in a gas phase into the chemical vapor deposition reaction zone for reacting with the precursor to form a deposition layer on the substrate.
  • a precursor e.g. TEOS
  • the hydroxyl radical gas phase preferably comprises at least about 10% by volume hydroxyl radicals, and the temperature of the gas phase preferably ranges from about 100° C. to about 150° C.
  • An inert gas is typically employed as a carrier gas for the precursor.
  • the method for depositing a layer additionally comprises producing the hydroxyl radicals prior to the introducing hydroxyl radicals into the chemical vapor deposition reaction zone.
  • hydroxyl radicals are introduced at a pressure ranging from about 100 Torrance to about 200 Torrance.
  • a method for forming a deposition layer in a chemical vapor deposition reactor comprising the steps of (a) producing hydroxyl radicals; (b) admixing the produced hydroxyl radicals with a precursor (e.g. a processing gas such as an organometallic processing gas) to produce a hydroxyl radicals-precursor mixture; and (c) introducing the hydroxyl radicals-precursor mixture into the chemical vapor deposition reactor to form a deposition layer.
  • a precursor e.g. a processing gas such as an organometallic processing gas
  • the producing of hydroxyl radicals preferably comprises introducing a water-containing agent (e.g., water) and ozone into a hydroxyl radical-producing reactor; and directing ultraviolet radiation into the hydroxyl radical-producing reactor to cause oxygen atoms to form from the ozone and react with the water-containing agent to produce hydroxyl radicals.
  • the method additional comprises removing, prior to the admixing step (b), hydroxyl radicals from the hydroxyl radical-producing reactor.
  • the admixing of hydroxyl radicals with the precursor causes the hydroxyl radicals to react with the precursor.
  • the hydroxyl radicals and the precursor are reacting as the hydroxyl radicals-precursor mixture is being introduced into the chemical vapor deposition reactor.
  • a chemical vapor deposition reactor including a CVD reactor chamber, for forming deposition films comprising a chemical vapor deposition reactor chamber; and a source of hydroxyl ion gas coupled to the chemical vapor deposition reactor chamber and including hydroxyl ion gas flowing or introducing into the chemical vapor deposition reactor chamber.
  • a pedestal is disposed in the reactor chamber for supporting substrates in the reactor chamber.
  • the chemical vapor deposition reactor also comprises a processing power source; a processing gas-introducing assembly engaged to the reactor chamber for introducing a processing gas into the reactor chamber; and a processing power-transmitting member disposed in proximity to the reactor chamber and connected to the processing power source for transmitting power into the reactor interior for forming deposition films.
  • the source of hydroxyl ion gas comprises a hydroxyl-ion producing reactor having at least one inlet port; a source of water coupled to the at least one inlet port; a source of ozone gas also coupled to the at least one inlet port; and a source of ultraviolet radiation oriented to direct ultraviolet radiation into the hydroxyl-ion producing reactor.

Abstract

A method and apparatus for processing semiconductor substrates by reacting hydroxyl radicals with a precursor to cause the precursor to decompose and form a film which deposits on a substrate. Hydroxyl radicals, which are produced in a hydroxyl-ion producing apparatus outside of a chemical vapor deposition reactor, are mixed with a precursor to form a hydroxyl ions-precursor mixture. The hydroxyl ions-precursor mixture is introduced into the chemical vapor deposition reactor.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of Invention [0001]
  • The present invention relates generally to improved methods and apparatuses for depositing films on partially fabricated integrated circuits (ICs). More specifically, the present invention relates to improved methods and apparatuses for accelerating the deposition of certain materials from precursors, such as organometallic compounds. [0002]
  • 2. Description of the Prior Art [0003]
  • In integrated circuit fabrication, various materials are deposited on a substrate at various stages in the fabrication process. By way of example, metallization layers may be produced by processing (e.g., etching, chemical or physical vapor deposition, etc.) layers (e.g., metal layers) on a silicon wafer or substrate. By further way of example, dielectric layers may be formed between metallization layers to enable the formation of multi-level connections to devices, to produce field oxide regions used to isolate semiconductor active devices, to produce passivation layers used to protect entire IC chips during packaging, and to form masks used in subsequent etching processes. [0004]
  • There are many well-known techniques used for depositing materials. such as silicon dioxide (SiO[0005] 2). Such techniques often include the use of a precursor (e.g., organometallic compounds) reactants, such as tetraethylorthosilicate “TEOS” Si(OC2H5)4. Such materials are introduced into a chemical vapor deposition (CVD) reactor chamber to break down and decompose to form films and by-products, such as SiO2 films and Si and organic by-products. TEOS is in a liquid state at room temperatures and must be heated in an external vaporizing apparatus, or otherwise converted to the gas phase, before being introduced into a CVD reactor chamber.
  • Although forming films from such precursors as TEOS is popular because generally good step coverage is provided and the required deposition temperatures are relatively low, precursors including TEOS are very expensive. Therefore, there is a need to utilize a high percentage of precursors in producing films (as opposed to being pumped out of the CVD reaction chamber as unused reactant). [0006]
  • At least three chemical vapor deposition processes are now commonly used in industry. These include plasma enhanced chemical vapor deposition (PECVD), low pressure chemical vapor deposition (LPCVD), and atmospheric pressure chemical vapor deposition (APCVD). To formulate a SiO[0007] 2 layer in any of these three chemical vapor deposition processes, oxygen and ozone are typically employed, especially if TEOS is the precursor. While the introduction of oxygen and ozone promotes TEOS decomposition, it has been found that TEOS decomposition reaction is still slow and a relatively high fraction of the TEOS introduced into a CVD chamber does not completely and fully react with the oxygen and ozone and is therefore wasted. Also, because TEOS decomposes at a slow rate, it has been found that the slow rate of decomposition causes certain structural defects (e.g., voids) resulting from the deposition of TEOS SiO2 films over gaps between vertical structures.
  • U.S. Pat. No. 5,710,079 to Sukharev attempts to solve these problems by providing a method and apparatus for facilitating the decomposition of organometallic compounds in chemical vapor deposition reactors in order to deposit films. In one embodiment for the method in U.S. Pat. No. 5,710,079, the method generally includes: (1) introducing an organometallic compound (e.g., TEOS) and ozone molecules to a chemical vapor deposition reactor; (2) directing ultraviolet radiation into the chemical vapor deposition reactor to increase the rate at which oxygen atoms are formed from the ozone molecules present in the chemical vapor deposition reactor; and (3) decomposing the organometallic compound to form a deposition layer (e.g., a silicon dioxide layer). The organometallic compound is taught as decomposing at an accelerated rate due in part to an increased concentration of hydroxyl radicals present in the chemical vapor deposition reactor. The hydroxyl radicals are produced from a reaction of oxygen atoms with moisture. The water vapor and/or hydrogen peroxide is introduced to the chemical vapor deposition reactor to ensure that a high concentration of hydroxyl radicals are present. [0008]
  • In one embodiment for the apparatus in U.S. Pat. No. 5,710,079, an apparatus for depositing a dielectric layer on a substrate is disclosed. The apparatus is preferably suited for decomposing organometallic compounds such as TEOS with the aid of hydroxyl radicals. The apparatus generally includes: (1) a chemical vapor deposition reactor having a support for a substrate, and at least one inlet port for receiving gases; (2) a source of ozone gas coupled to the at least one inlet port; (3) a source of the organometallic compound coupled to the at least one inlet port; and (4) a source of ultraviolet radiation oriented to direct ultraviolet radiation into the chemical vapor deposition reactor. [0009]
  • The deficiencies with the method and apparatus disclosed in U.S. Pat. No. 5,710,079 is that the CVD reactor chamber must be adapted to produce hydroxyl radicals in situ before the hydroxyl radicals commence to react with and decompose the organometallic compounds. This delays the formation of SiO[0010] 2 films and causes inefficiencies. Also, the CVD reactor chamber must be built with a radiation transmission window such that ultraviolet light may be transmitted into the CVD reactor chamber in order to decompose ozone molecules to produce atomic oxygen which reacts with water to produce the hydroxyl radicals.
  • Therefore, what is needed and what has been invented is an improved method and apparatus for processing semiconductor substrates without the foregoing deficiencies and which includes depositing films on partially fabricated integrated circuits. What is further needed and what has been invented is an improved method and apparatus for forming a deposition layer, such as a SiO[0011] 2 film, in a chemical vapor deposition reactor.
  • SUMMARY OF THE INVENTION
  • The present invention broadly provides a method for depositing a layer on a substrate in a chemical vapor deposition reaction zone comprising introducing a precursor into a chemical vapor deposition reaction zone containing a substrate, and introducing hydroxyl radicals into the chemical vapor deposition reaction zone for reacting with the precursor to form a deposition layer on the substrate. The precursor may be selected from the group consisting of silane, silicon, an organometallic compound, and a silicon-containing gas. The introduction of hydroxyl radicals into the chemical vapor deposition reaction zone comprises introducing hydroxyl radicals as a gas phase into the chemical vapor deposition zone. The gas phase preferably comprises at least about 10% by volume of the hydroxyl radicals, and the temperature of the gas phase preferably ranges from about 100° C. to about 150° C. An inert gas is typically employed as a carrier for the precursor. The inert gas may be any suitable inert gas, but is preferably selected from the group consisting of nitrogen, helium, argon, neon, krypton, xenon and radon, and mixtures thereof. The method for depositing a layer additionally comprises producing the hydroxyl radicals prior to the introducing hydroxyl radicals into the chemical vapor deposition reaction zone. Preferably hydroxyl radicals are introduced at a pressure ranging from about 100 Torrance to about 200 Torrance. Reacting the precursor with the hydroxyl radicals preferably decomposes the precursor to form the deposition layer. [0012]
  • The present invention further broadly provides a method for forming a deposition layer in a chemical vapor deposition reactor comprising the steps of (a) producing hydroxyl radicals; (b) admixing the produced hydroxyl radicals with a precursor to produce a hydroxyl radicals-precursor mixture; and (c) introducing the hydroxyl radicals-precursor mixture of step (b) into the chemical vapor deposition reactor to form a deposition layer. The producing of hydroxyl radicals in step (a) preferably comprises introducing a water-containing agent (e.g., water) and ozone into a hydroxyl radical-producing reactor; and directing ultraviolet radiation into the hydroxyl radical-producing reactor to cause oxygen atoms to form from the ozone and react with the water-containing agent to produce hydroxyl radicals. The method additional comprises removing, prior to the admixing of step (b), hydroxyl radicals from the hydroxyl radical-producing reactor. The admixing of hydroxyl radicals with the precursor causes the hydroxyl radicals to react with the precursor. Preferably, the hydroxyl radicals and the precursor are reacting as the hydroxyl radicals-precursor mixture is being introduced into the chemical vapor deposition reactor. [0013]
  • The present invention also broadly provides a chemical vapor deposition reactor for forming deposition films comprising a chemical vapor deposition reactor chamber; a source of hydroxyl ion gas coupled to the chemical vapor deposition reactor chamber and including hydroxyl ion gas flowing or introducing into the chemical vapor deposition reactor chamber; and a pedestal disposed in the reactor chamber for supporting substrates in the reactor chamber. The chemical vapor deposition reactor also comprises a processing power source; a processing gas-introducing assembly engaged to the reactor chamber for introducing a processing gas into said reactor chamber; and a processing power-transmitting member disposed in proximity to the reactor chamber and connected to the processing power source for transmitting power into the reactor interior for forming deposition films. The source of hydroxyl ion gas comprises a hydroxyl-ion producing reactor having at least one inlet port; a source of water coupled to the at least one inlet port; a source of ozone gas also coupled to the at least one inlet port; and a source of ultraviolet radiation oriented to direct ultraviolet radiation into the hydroxyl-ion producing reactor. [0014]
  • The present invention further also broadly provides a chamber assembly for decomposing a precursor with hydroxyl radicals comprising a process chamber having a support for a substrate and at least one port for receiving at least one gas; a source of precursor gas coupled to the at least one port for flowing precursor gas into the processing chamber; a source of hydroxyl radical gas coupled to the at least one port for flowing or introducing hydroxyl radical gas into the processing chamber to cause the precursor gas to decompose. Further provided in accordance with the present invention is a reactor for processing substrates comprising a reactor chamber; a hydroxyl-ion producing assembly coupled to the reactor chamber for producing hydroxyl ions and introducing the hydroxyl ions into the reactor chamber; and a pedestal disposed in the reactor chamber for supporting substrates in the reactor chamber. The reactor also comprises a processing power source; a processing gas-introducing assembly engaged to the reactor chamber for introducing a processing gas into the reactor chamber; and a processing power-transmitting member disposed in proximity to the reactor chamber and connected to the processing power source for transmitting power into the reactor interior. [0015]
  • These provisions, together with the various ancillary provisions and features which will become apparent to those skilled in the art as the following description proceeds, are attained by these novel apparatuses and methods, a preferred embodiment thereof shown with reference to the accompanying drawings, by way of example only, wherein: [0016]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a diagrammatic illustration of one embodiment of the invention wherein an hydroxyl ions producing apparatus is in communication with a chemical vapor deposition reaction chamber such that produced hydroxyl radicals may be mixed with a precursor prior to being introduced into the chemical vapor deposition reaction chamber; and [0017]
  • FIG. 2 is a diagrammatic illustration of another embodiment of the invention wherein an hydroxyl ions producing apparatus is in communication with a chemical vapor deposition reaction chamber such that produced hydroxyl ions may be directly introduced into the chemical vapor deposition reaction chamber without being premixed with the precursor. [0018]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Embodiments of the present invention provide for methods and apparatuses for depositing one or more layers of one or more materials on the surface(s) of semiconductor substrates or devices. The deposited layers are formed from decomposing in a reactor a suitable precursor, such as an organometallic compound or an organometalloid. The reactor may be any suitable reactor, but is preferably a chemical vapor deposition (CVD) reactor. The one or more materials that are deposited on the semiconductor substrate may be any material or matter that can be produced under appropriate conditions by decomposing, or otherwise breaking down, a precursor with the assistance of hydroxyl radicals or ions, which react with the precursor to cause the decomposition of same. The one or more materials include, but are not limited to, semiconductors, dielectrics, and metals employed in manufacturing integrated circuits and other semiconductor devices. [0019]
  • For purposes of illustrating various preferred embodiments of the present invention, the precursor will be TEOS, tetraethylorthosilicate Si(OC[0020] 2H5)4, as a suitable organometallic compound which, when coming in contact with hydroxyl radicals, decomposes or breaks down into SiO2, the material which deposits and/or forms as a deposited material or layer on a semiconductor substrate. TEOS is employed in the gaseous state; thus, a suitable heater (not shown) heats the TEOS at a suitable temperature, such as a temperature ranging from about 20° C. to about 100° C., to change liquid TEOS into vapor or gaseous TEOS. While TEOS will be used as the precursor to illustrate preferred embodiments of the present invention, it is to be understood that the spirit and scope of the present invention include other precursors such as, by way of illustration only, trimethylsilane (TMS), BPTEOS, TEB, TMOP, OMCTS, HMDS, TMCTS, TRIES, etc. These precursors, as well as others which are all within the spirit and scope of the present invention, may be employed to deposit and/or form on a semiconductor substrate films or layers of such materials as titanium (Ti), tantalum (Ta), tungsten (W), silicides, and so forth. Obviously, the type and chemical composition of the material deposited and/or formed on the semiconductor substrate depends on the chemical composition of the precursor. Thus, the material deposited is dictated by the precursor selected. As was previously indicated, if SiO2 is to be the deposited material, then TEOS would be an acceptable precursor for being decomposed by reacting with hydroxyl radicals.
  • It is to be also understood that while the processing of the semiconductor substrate will be in a CVD reactor for purposes of illustrating embodiments of the present invention, the spirit and scope of the present invention would include other types of reactors and other forms of processing substrates, such as by way of example only, plasma processing, physical vapor deposition, etc. Other types of reactors within the spirit and scope of the present invention include inductively coupled plasma reactors, such as those disclosed in U.S. Pat. No. 5,753,044, assigned to assignee of the present invention and fully incorporated herein by reference thereto as if repeated verbatim immediately hereafter. An inductively coupled plasma has a plasma ion density greater than about 10[0021] 9/cm3, preferably greater than about 1011/cm3. During plasma processing, processing power (e.g., RF power, magnetron power, microwave power, etc.) passes through a dielectric member, which includes a dielectric window of a nonconductive material such as a ceramic dome, etc., and becomes coupled to a plasma of the processing gas. If the plasma process is plasma depositing, metals (e.g., platinum, copper, aluminum, titanium, ruthenium, iridium, etc.), as well as other materials such as dielectrics, may be respectively deposited on a substrate. Thus, the spirit and scope of the present invention would include any type of processing of a semiconductor substrate and any type reactor or reaction zone for processing a semiconductor substrate, all readily discernible to those possessing the ordinary skill in the art.
  • In accordance with an embodiment of the present invention, the precursor and hydroxyl radicals or ions are introduced into a CVD reactor, preferably in a gaseous state. The precursor and hydroxyl radicals may be admixed before being introduced into the CVD reactor; or alternatively, the precursor and the hydroxyl radicals may be independently introduced into the CVD reactor and then admixed within the CVD reactor itself. When the hydroxyl radicals come in contact with the precursor (e.g., a processing gas), the hydroxyl radicals react with and/or cause decomposition of the precursor, resulting in the deposition rate of the depositing material accelerating, especially since the concentration of the hydroxyl radicals is instantly increased within the CVD reactor because hydroxyl radicals are being introduced into the CVD reactor in a pure, free state. Hydroxyl radicals also cause acceleration of the decomposition or break down of the precursor. It is believed that by initially producing the hydroxyl radicals outside of a CVD reactor, instead of producing the hydroxyl radicals within a CVD reactor as disclosed in U.S. Pat. No. 5,710,079, and subsequently introducing the hydroxyl radicals into the CVD reactor, the reaction and/or decomposition of the precursor is faster than if the hydroxyl radicals had been produced within the CVD reactor as disclosed in U.S. Pat. No. 5,710,079. It is also believed that the same would hold true with respect to accelerating the deposition rate of depositing material. Thus, the fact that hydroxyl radicals are already formed when introduced into the CVD reactor enables the precursor within the reactor chamber to immediately come in contact with and react with the hydroxyl radicals as opposed to the precursor waiting in the CVD reactor for the hydroxyl radicals to initially form (as disclosed in U.S. Pat. No. 5,710,079) and then subsequently commencing a reaction with the hydroxyl radicals for decomposing the precursor to produce material that deposits on the semiconductor substrate. In the embodiment where the hydroxyl radicals and the precursor are mixed together before passing into the CVD reactor, the reaction of the precursor with the hydroxyl radicals immediately commences. Thus, reaction of the precursor with the hydroxyl radicals, and decomposition of the precursor, are all taking place as the precursor and the hydroxyl radicals are passing into the CVD reactor. When the precursor is an organometallic compound, the hydroxyl radicals also combine with carbon atoms originating from the organometallic precursor, thus reducing carbon contamination within the CVD reactor. [0022]
  • In a preferred embodiment of the invention, hydroxyl radicals or ions are produced, obtained, or otherwise provided. The hydroxyl radicals or ions may be produced, obtained, or otherwise provided in any suitable manner, all of which would fall within the spirit and scope of the present invention. Preferably, the hydroxyl radicals are produced in a suitable hydroxyl-ion producing reactor or assembly to provide a source of hydroxyl radicals. Water (H[0023] 2O) and/or steam and ozone (O3) are respectively introduced into a suitable hydroxyl-ion producing reactor. Subsequently, ultra-violet radiation is introduced into or provided to the reactor to cause the ozone to decompose into oxygen and atomic oxygen (O*) in 1D state which reacts with the water molecules to generate hydroxyl radicals (OH) in a gas-phase. Typically, unreacted water (or unreacted steam), oxygen and some ozone are also in the reactor. Alternatively, or in addition to, hydrogen peroxide (H2O2), preferably gaseous hydrogen peroxide, may be introduced into the hydroxyl-ion producing reactor where ultra-violet radiation photolyzes and/or decomposes the hydrogen peroxide into an independent or an additional gas-phase source of hydroxyl radicals. The hydroxyl-ion producing reactor would be equipped with a suitable transmission window for receiving and allowing passage of ultraviolet rays into the hydroxyl-ion producing reactor from an external source, such as a mercury arc lamp. After the hydroxyl-radicals have been produced, they, along with unreacted water (or unreacted steam), oxygen and traces of ozone, flow and are introduced into a reactor which is being simultaneously supplied with gaseous TEOS, preferably with the aid of a noble or inert gas such as nitrogen, argon, helium, neon, krypton, xenon, radon, and mixtures thereof. The gaseous hydroxyl radicals contact and react with the gaseous TEOS such that the TEOS decomposes and breaks down to various components, one of which is SiO2 that deposits on a semiconductor substrate. As previously indicated and for one embodiment of the invention, gaseous hydroxyl radicals and gaseous TEOS (i.e., a precursor) are mixed together immediately before they enter the CVD reactor. This causes the hydroxyl radicals to immediately start reacting with the TEOS outside of the CVD reactor, enabling decomposition of TEOS into SiO2 and other components as TEOS enters the CVD reactor. Also, TEOS and hydroxyl radicals continue to react with each other as they are entering the CVD reactor, causing SiO2 to essentially immediately start depositing on the semiconductor substrate. As further previously indicated and for another embodiment of the invention, gaseous hydroxyl radicals and gaseous TEOS come in contact with each other essentially immediately after entering the CVD reactor, causing in the CVD reactor the essentially immediate hydroxyl ion-TEOS reaction and concomitant TEOS decomposition along with subsequent SiO2 deposition. The formation and deposition of SiO2 may be conducted at any suitable pressure and temperature, such as by way of example only, at atmospheric pressure in a chemical vapor deposition (APCVD) process, or at subatmospheric pressure in a chemical vapor deposition (SACVD) process, all readily known to those possessing ordinary skill in the art.
  • As previously indicated, the deposition rate of the silicon dioxide film increases due to the accelerated rate at which TEOS is decomposed by the hydroxyl radicals. As also previously indicated above, the increased rate at which TEOS decomposes is generally correlated to the increased amount of hydroxyl radicals (*OH) being produced and coming into contact with the TEOS. When ozone (O[0024] 3) is exposed to ultraviolet radiation in a hydroxyl-ion producing reactor, the rate at which ozone decomposes to form oxygen (O2) molecules and atomic oxygen (i.e., oxygen radicals “O*”) is increased. Because atomic oxygen rapidly reacts with gaseous water present in the hydroxyl-ion producing reactor to produce hydroxyl radicals, an increased concentration of hydroxyl radicals can be produced in the hydroxyl-ion producing reactor. For one embodiment of the invention when free hydroxyl radicals are introduced into the CVD reactor for immediate contact with TEOS, the rate at which TEOS decomposes is beneficially increased, and the rate at which silicon dioxide films are formed is also beneficially increased. For another embodiment of the invention when free hydroxyl radicals are mixed with TEOS immediately before entering the CVD reactor, the rate at which TEOS decomposes and the rate SiO2 deposits are also beneficially increased, especially since TEOS is decomposing as it is entering the CVD reactor. By increasing the rate at which TEOS decomposes into SiO2, a larger percentage of TEOS will actually be consumed and converted into silicon dioxide films as opposed to being removed from the CVD reactor unused. As previously indicated, a reduction in carbon contamination will occur due to the increased amount of hydroxyl radicals reacting with TEOS and being introduced into the CVD reactor. It should be appreciated that less carbon contamination will beneficially generate SiO2 dielectric layers, as well as other layers, with improved reliability.
  • Referring now to FIG. 1, there is seen a schematic diagram of an exemplary hydrogen-ion producing reactor, generally illustrated as [0025] 10, communicating with a CVD apparatus, generally illustrated as 30, through a conduit 12 having a flow control valve 13. In one embodiment of the present invention, water (H2O) and/or steam and ozone (O3) are introduced into reactor chamber 14 through lines 16 and 18, respectively. Reactor chamber 14 is preferably at a pressure ranging from about 2 Torr to about 400 Torr, more preferably from about 80 Torr to about 200 Torr, most preferably from about 100 Torr to about 150 Torr. The reactor chamber 14 is preferably at a temperature ranging from about 50° C. to about 250° C., more preferably from about 100° C. to about 200° C., most preferably from about 150° C. to about 160° C. A radiation transmission window 22 is coupled to reactor chamber 14 such that a suitable radiation source may transmit radiation into reactor chamber 14 in order to enhance the decomposition rate of the injected ozone molecules. By way of example only, radiation transmission window 22 may be a quartz window suitable to transmit ultraviolet radiation into reactor chamber 14. In one embodiment, radiation may be introduced directly from a mercury arc lamp 24 at radiation transmission window 22. It should be appreciated that any suitable radiation source or configuration may be substituted for mercury arc lamp 24. By further way of example only, a radiation source may have a wavelength radiation spectrum containing a wavelength line ranging from about 200 nm to about 300 nm, preferably about a wavelength line containing about 254 nm line corresponding to strong ozone absorption.
  • After ozone and water have entered [0026] reactor chamber 14, mercury arc lamp 24 is activated such that ultraviolet radiation having an approximate wavelength of 254 nm is directed at the ozone/water mixture in the reactor chamber 14. In this manner, the water rich gas phase ozone molecules are caused to decompose and form oxygen molecules and atomic oxygen (O*) in 1D state. As described above, since atomic oxygen is highly reactive with water molecules, a high percentage of hydroxyl radicals will be generated. The following are the chemical mechanisms involved in this embodiment of the present invention:
    Figure US20030221621A1-20031204-C00001
  • Stated alternatively, hydroxyl radicals are produced via the ultraviolet photolysis of ozone to produce electronically excited singlet oxygen atoms: [0027]
  • O3 +hv(λ<310)→O(1 D)+O2
  • The primary fate of the singlet oxygen atoms is collistional deactivation to the triplet ground state: [0028]
  • O3(1 D)M→O+M
  • where M is the cold reactor wall, as stated in the Kirk-Othmer, [0029] Encyclopedia of Chemical Technology, Vol. 17, p. 953-994, Fourth Edition published by John Wiley & Sons (New York, 1996), incorporated herein by reference thereto.
  • The ultraviolet photolysis of O[0030] 3.H2O clusters also provide a source of hydroxyl radicals and/or hydrogen peroxide:
  • O3.H2O+hv→2 OH+O2   (R1)
  • O3.H2O+hv→H2O2+O2   (R2)
  • Reaction 1 (R1) is energetically possible for wavelengths of light shorter than 665 nm, while reaction 2 (R2) is exothermic even without the absorption of light. For the absorption of 600 nm light, the ground state O atoms produced in the ultraviolet photolysis of ozone have up to 22.2 kcal mol[0031] −1 of translational energy to overcome the activation barriers necessary to abstract a hydrogen atom from water or to insert into the water molecule to form H2O2. Since the O atoms would be produced in the presence of a water molecule, reactions R1 and R2 may be preferential over other reactions, such as combination with O2 to reform ozone. Also, hydrogen peroxide (H2O2) produced by reaction R2 above, or otherwise provided for reactor chamber 14, breaks down or decomposes in the presence of ultraviolet light into hydroxyl ions, and thus may provide an additional gas-phase source of hydroxyl radicals:
  • H2O2 +hv→2 OH
  • Therefore, in another embodiment of the present invention, hydrogen peroxide (preferably gaseous H[0032] 2O2) solely, or hydrogen peroxide in combination with ozone and/or water and/or water vapor or steam, is introduced into the reactor chamber 14 via conduit or line 28. As previously indicated, hydrogen peroxide reduces to hydroxyl radicals when contacted by ultraviolet radiation. When a sufficient quantity of hydroxyl radicals has been produced in the reactor chamber 14, valve 13 is opened and hydroxyl radicals in a gas phase flow out of the reactor chamber 14 and into conduit 12. The hydroxyl radical gas phase comprises a temperature ranging from about 80° C. to about 175° C., more preferably from about 100° C. to about 150° C. The hydroxyl radical gas phase also comprises a pressure ranging from about 2 Torr to about 400 Torr, preferably from about 50 Torr, to about 250 Torr, more preferably from about 100 Torr to about 150 Torr.
  • In one embodiment of the invention as best shown in FIG. 1, a precursor (e.g., TEOS) in a gas phase, along with an inert carrier gas, such as nitrogen, argon and helium (or any other suitable inert carrier gas such as krypton, radon, and xenon), is flowed through [0033] conduit 29 and into conduit 12 where the hydroxyl radicals immediately start reacting with the precursor within conduit 12 before the mixture of precursor and hydroxyl radicals (along with inert carrier gas, unreacted water, oxygen and ozone) is introduced into the CVD apparatus 30. As the mixture of precursor and hydroxyl radicals passes into the CVD apparatus 30, the hydroxyl radicals are reacting with the precursor, causing essentially instantaneous decomposition of the precursor as it enters the CVD apparatus 30. In another embodiment of the invention as best illustrated in FIG. 2, the precursor (e.g., TEOS) flows from conduit 29 into a conduit 32 which communicates with the CVD apparatus 30 in order to cause the gaseous precursor to come in contact with the gaseous hydroxyl radicals flowing out of conduit 12 in the CVD apparatus 30 such that the reaction of the precursor and the hydroxyl radicals, as well as decomposition of the precursor, commences immediately within the CVD apparatus 30. In FIG. 2, the produced hydroxyl radicals (along with unreacted water, oxygen and ozone) flow directly into the CVD apparatus 30.
  • [0034] CVD apparatus 30 includes an enclosure assembly 36 housing a vacuum chamber 38 with a gas reaction area 40. A gas distribution plate 42 is provided above the gas reaction area 40 for dispersing reactive gases through perforated holes in plate 42 to a wafer (not shown) that rests on a vertically movable heater 44 (also referred to as wafer support pedestal or susceptor). CVD apparatus 30 further includes a heater/lift assembly 46 for heating the wafer supported on heater 44. Heater/lift assembly 46 also can be controllably moved between a lower loading/off-loading position and an upper processing position indicated by dotted line 48 which is closely adjacent to plate 42, as shown in FIG. 1. A center board (not shown) includes sensors for providing information on the position of the wafer. Heater 44 includes resistively-heated components enclosed in a ceramic, preferably aluminum nitride or anodized aluminum. In an exemplary embodiment, all surfaces of heater 44 exposed to vacuum chamber 38 are made of a ceramic material, such as aluminum oxide (Al2O3 or alumina) or aluminum nitride. When heater 44 and the wafer are in processing position 48, they are surrounded by a chamber liner 50 along the inside walls 52 of CVD apparatus 30 and by an annular pumping channel 54, formed by chamber liner 56 and a top portion of vacuum chamber 38. The surface of chamber liner 50 preferably comprises a ceramic material, such a alumina or aluminum nitride, which serves to lower the temperature gradient between resistively-heated heater 44 (high temperature) and chamber walls 52, which are at a much lower temperature relative to heater 44.
  • After gaseous hydroxyl radicals (along with unreacted water/steam, oxygen and ozone) are formed in [0035] reactor chamber 14, valve 13 is subsequently opened causing the formed gaseous hydroxyl radicals (along with unreacted water/steam, oxygen and ozone) to either flow directly into the CVD apparatus 30 via conduit 12 as best shown in FIG. 2, or to be admixed within conduit 29 with gaseous TEOS (i.e., the precursor), along with its associated inert carrier gas (e.g., nitrogen or argon), flowing into conduit 12 via conduit 29. The formed gaseous hydroxyl radicals along with unreacted water/steam, oxygen and ozone comprise at least about 10% by volume hydroxyl radicals, preferably from about 10% by volume to about 30% by volume hydroxyl radicals, more preferably from about 15% by volume to about 25% by volume hydroxyl radicals, most preferably from about 17% by volume to about 23% by volume (e.g., about 20% by volume) hydroxyl radicals. Because the hydroxyl radicals have a short half-life (e.g., from about 2 secs. to about 14 secs.), once the hydroxyl radicals are produced in the reactor chamber 14, they are subsequently delivered into the CVD apparatus 30 of FIG. 2 for the embodiment of the invention of FIG. 2, within about 30 secs. after formation, preferably within a time period ranging from about 1 sec. to about 30 secs. after formation, more preferably within a time period ranging from about 2 secs. to about 20 secs. after formation, most preferably within a time period ranging from about 2 secs. to about 8 secs. after formation. For the embodiment of the invention of FIG. 1, the hydroxyl radicals are subsequently delivered into contact with TEOS (i.e., the precursor) within conduit 12 within about 30 secs. after formation, preferably into contact with TEOS within a time period ranging from about 1 sec. to about 30 secs. after formation, more preferably into contact with TEOS within a time period ranging from about 2 secs. to about 12 secs. after formation, most preferably into contact with TEOS within a time period ranging from about 2 secs. to about 8 secs after formation.
  • The hydroxyl radical gas (along with associated unreacted water/steam, oxygen, and ozone) is flowed out of [0036] reactor chamber 14, and gaseous TEOS (along with inert carrier gas) is flowed through conduit 29 at rates such that a stoichiometric amount of hydroxyl radical gas is available to react with TEOS gas. Preferably, when gaseous TEOS (along with inert gas) comes into contact with and mixes with gaseous hydroxyl radicals (along with unreacted water/steam, oxygen and ozone), either in conduit 12 for the embodiment of the invention of FIG. 1 or within the CVD apparatus 30 for the embodiment of the invention of FIG. 2, the resulting mixture comprises, or consists of, or consists essentially of, from about 50% to about 95% by volume TEOS (along with inert gas) and from about 5% by volume to about 50% by volume hydroxyl radicals (along with unreacted water/steam, oxygen and ozone), preferably from about 55% to about 90% by volume TEOS (along with inert gas) and from about 10% to about 45% by volume hydroxyl radicals (along with unreacted water/steam, oxygen and ozone), more preferably from about 60% to about 85% by volume TEOS (along with inert gas) and from about 15% to about 40% by volume hydroxyl radicals (along with unreacted water/steam, oxygen and ozone), most preferably from about 65% to about 80% by volume TEOS (along with inert gas) and from about 20% to about 35% by volume hydroxyl radicals (along with unreacted water/steam, oxygen and ozone).
  • More specifically, when gaseous TEOS (along with inert gas) comes into contact with and mixes with gaseous hydroxyl radicals (along with unreacted water/steam, oxygen and ozone) either for the embodiment of the invention of FIG. 1 or for the embodiment of the invention of FIG. 2, the resulting mixture comprises, or consists of, or consists essentially of, from about 5% to about 15% by volume TEOS gas, from about 30% to about 50% by volume inert gas, from about 5% to about 15% by volume hydroxyl radical gas, from about 0% to about 20% by volume of a water-containing agent (e.g., water), from about 10% to about 30% by volume oxygen, from about 0% to about 5% by volume ozone; more preferably from about 10% to about 15% by volume TEOS gas, from about 30% to about 40% by volume inert gas, from about 10% to about 15% by volume hydroxyl radical gas, from about 0% to about 10% by volume of a water-containing agent (e.g., water), from about 10% to about 30% by volume oxygen, from about 0% to about 10% by volume ozone; most preferably from about 12% to about 15% by volume TEOS gas, from about 40% to about 45% by volume inert gas, from about 12% to about 15% by volume hydroxyl radical gas, from about 0% to about 8% by volume of a water-containing agent (e.g., water), from about 15% to about 20% by volume oxygen, from about 0% to about 5% by volume ozone. [0037]
  • After gaseous TEOS (along with inert carrier gas) and hydroxyl radicals (along with unreacted water/steam, oxygen and ozone) are mixed together, either for the embodiment of the invention of FIG. 1 or for the embodiment of the invention or of FIG. 2, the mixture is delivered to plate [0038] 42. During deposition processing, gas supplied to plate 42 is vented toward the wafer surface (as indicated by arrows 60), where it may be uniformly distributed radially across the wafer surface, typically in a laminar flow. Purging gas may be delivered into vacuum chamber 38 from an inlet port or tube (not shown) through the bottom wall of enclosure assembly 36. The purging gas flows upward past heater 44 and to an annular pumping channel 54. An exhaust system then exhausts the gas (as indicated by arrows 64) into the annular pumping channel 54 and through an exhaust line 68 by a vacuum pump system (not shown). Exhaust gases and residues are preferably released from annular pumping channel 54 through exhaust line 68 at a rate controlled by a throttle valve system 70. As indicated earlier, thermal CVD processes supply reactive gases to the substrate surface where heat-induced chemical reactions (homogeneous or heterogeneous) take place to produce a desired film. In CVD apparatus 30 heat is distributed by resistively-heated heater 44 that is capable of reaching temperatures as high as about 400-800° C. Such heat distribution provides uniform, rapid thermal heating of the wafer for effecting deposition, reflow and/or drive-in, cleaning, and/or seasoning/gettering steps in a multiple-step process in situ in vacuum chamber 38. Alternatively, a controlled plasma may be formed adjacent to the wafer by RF energy applied to gas distribution plate 42 from an RF power supply (not shown). In embodiments additionally having a lower RF electrode, the RF power supply can supply either single frequency RF power to plate 42 or mixed frequency RF power to plate 42 and the lower RF electrode to enhance the decomposition of reactive species introduced into process chamber 38. In a plasma process, some of the components of vapor deposition apparatus 30 would have to be modified to accommodate the RF energy.
  • Thus, by the practice of an embodiment of the present invention, there is broadly provided a method for depositing a layer on a substrate in a chemical vapor deposition reaction zone comprising introducing a precursor, (e.g. TEOS) into a chemical vapor deposition reaction zone containing a substrate, and introducing hydroxyl radical in a gas phase into the chemical vapor deposition reaction zone for reacting with the precursor to form a deposition layer on the substrate. The hydroxyl radical gas phase preferably comprises at least about 10% by volume hydroxyl radicals, and the temperature of the gas phase preferably ranges from about 100° C. to about 150° C. An inert gas is typically employed as a carrier gas for the precursor. The method for depositing a layer additionally comprises producing the hydroxyl radicals prior to the introducing hydroxyl radicals into the chemical vapor deposition reaction zone. Preferably, hydroxyl radicals are introduced at a pressure ranging from about 100 Torrance to about 200 Torrance. [0039]
  • By the further practice of an embodiment of the present invention there is further broadly provided a method for forming a deposition layer in a chemical vapor deposition reactor comprising the steps of (a) producing hydroxyl radicals; (b) admixing the produced hydroxyl radicals with a precursor (e.g. a processing gas such as an organometallic processing gas) to produce a hydroxyl radicals-precursor mixture; and (c) introducing the hydroxyl radicals-precursor mixture into the chemical vapor deposition reactor to form a deposition layer. The producing of hydroxyl radicals preferably comprises introducing a water-containing agent (e.g., water) and ozone into a hydroxyl radical-producing reactor; and directing ultraviolet radiation into the hydroxyl radical-producing reactor to cause oxygen atoms to form from the ozone and react with the water-containing agent to produce hydroxyl radicals. The method additional comprises removing, prior to the admixing step (b), hydroxyl radicals from the hydroxyl radical-producing reactor. The admixing of hydroxyl radicals with the precursor causes the hydroxyl radicals to react with the precursor. Preferably, the hydroxyl radicals and the precursor are reacting as the hydroxyl radicals-precursor mixture is being introduced into the chemical vapor deposition reactor. [0040]
  • By the still further practice of an embodiment of the present invention there is also broadly provided, a chemical vapor deposition reactor, including a CVD reactor chamber, for forming deposition films comprising a chemical vapor deposition reactor chamber; and a source of hydroxyl ion gas coupled to the chemical vapor deposition reactor chamber and including hydroxyl ion gas flowing or introducing into the chemical vapor deposition reactor chamber. A pedestal is disposed in the reactor chamber for supporting substrates in the reactor chamber. The chemical vapor deposition reactor also comprises a processing power source; a processing gas-introducing assembly engaged to the reactor chamber for introducing a processing gas into the reactor chamber; and a processing power-transmitting member disposed in proximity to the reactor chamber and connected to the processing power source for transmitting power into the reactor interior for forming deposition films. The source of hydroxyl ion gas comprises a hydroxyl-ion producing reactor having at least one inlet port; a source of water coupled to the at least one inlet port; a source of ozone gas also coupled to the at least one inlet port; and a source of ultraviolet radiation oriented to direct ultraviolet radiation into the hydroxyl-ion producing reactor. [0041]
  • Thus, while the present invention has been described herein with reference to particular embodiments thereof, a latitude of modification, various changes and substitutions are intended in the foregoing disclosure, and it will be appreciated that in some instances some features of the invention will be employed without a corresponding use of other features without departing from the scope and spirit of the invention as set forth. Therefore, many modifications may be made to adapt a particular situation or material to the essential scope and spirit of the present invention. It is intended that the invention not be limited to the particular embodiment disclosed as the best mode contemplated for carrying out this invention, but that the invention will include all embodiments and equivalents falling within the scope of the appended claims. [0042]

Claims (25)

What is claimed is:
1. A method for depositing a layer on a substrate in a chemical vapor deposition reaction zone comprising:
introducing a precursor into a chemical vapor deposition reaction zone containing a substrate; and
introducing hydroxyl radicals into the chemical vapor deposition reaction zone for reacting with the precursor to form a deposition layer on the substrate.
2. The method of claim 1 wherein said precursor comprises silane.
3. The method of claim 1 wherein said precursor comprises silicon.
4. The method of claim 1 wherein said precursor comprises an organometallic compound.
5. The method of claim 1 wherein said precursor comprises a silicon-containing gas.
6. The method of claim 1 wherein said introducing hydroxyl radicals into the chemical vapor deposition reaction zone comprises introducing hydroxyl radicals as a gas phase into said chemical vapor deposition zone.
7. The method of claim 6 wherein said gas phase comprises a temperature ranging from about 100° C. to about 150° C.
8. The method of claim 1 additionally comprising admixing, prior to said introducing the precursor, an inert gas with the precursor for delivering the precursor into the chemical vapor deposition reaction zone.
9. The method of claim 8 wherein said inert gas is selected from the group consisting of nitrogen, helium, argon, neon, krypton, xenon and radon, and mixtures thereof.
10. The method of claim 6 wherein said gas phase comprises at least about 10% by volume of said hydroxyl radicals.
11. The method of claim 6 wherein said gas phase consists essentially of at least about 10% by volume of said hydroxyl radicals.
12. The method of claim 6 where said gas phase consists of at least about 10% by volume of said hydroxyl radicals.
13. The method of claim 1 additionally comprising producing said hydroxyl radicals prior to said introducing hydroxyl radicals into the chemical vapor deposition reaction zone.
14. The method of claim 1 wherein said introducing hydroxyl radicals additional comprises introducing hydroxyl radicals at a pressure ranging from about 100 Torrance to about 200 Torrance.
15. The method of claim 1 wherein said reacting with said precursor comprises decomposing said precursor to form said deposition layer.
16. A method for forming a deposition layer in a chemical vapor deposition reactor comprising the step of:
a) producing hydroxyl radicals;
b) admixing the produced hydroxyl radicals with a precursor to produce a hydroxyl radicals-precursor mixture; and
c) introducing the hydroxyl radicals-precursor mixture of step (b) into the chemical vapor deposition reactor to form a deposition layer.
17. The method claim 16 wherein said producing hydroxyl radicals of step (a) comprises introducing a water-containing agent and ozone into a hydroxyl radical-producing reactor; and directing ultraviolet radiation into said hydroxyl radical-producing reactor to cause oxygen atoms to form from the ozone and react with the water-containing agent to produce hydroxyl radicals.
18. The method of claim 17 wherein said water-containing agent comprises water.
19. The method of claim 16 additional comprising removing, prior to said admixing of step (b), hydroxyl radicals from the hydroxyl radical-producing reactor.
20. The method of claim 16 wherein said admixing of hydroxyl radicals with said precursor causes said hydroxyl radicals to react with said precursor.
21. The method of claim 16 wherein said hydroxyl radicals and said precursor are reacting as said hydroxyl radicals-precursor mixture is being introduced into said chemical vapor deposition reactor.
22. A chemical vapor deposition reactor for forming deposition films comprising:
a chemical vapor deposition reactor chamber;
a source of hydroxyl ion gas coupled to said chemical vapor deposition reactor chamber and including hydroxyl ion gas flowing into said chemical vapor deposition reactor chamber;
a pedestal disposed in said reactor chamber for supporting substrates in said reactor chamber;
a processing power source;
a processing gas-introducing assembly engaged to said reactor chamber for introducing a processing gas into said reactor chamber; and
a processing power-transmitting member disposed in proximity to said reactor chamber and connected to said processing power source for transmitting power into the reactor interior for forming deposition films.
23. The chemical vapor depositions reactor of claim 20 wherein said source of hydroxyl ion gas comprises a hydroxyl-ion producing reactor having at least one inlet port;
a source of water coupled to said at lease one inlet port;
a source of ozone gas coupled to said at least one inlet port; and
a source of ultraviolet radiation oriented to direct ultraviolet radiation into the hydroxyl-ion producing reactor.
24. A chamber assembly for decomposing a precursor with hydroxyl radicals comprising:
a processing chamber having a support for a substrate and at least one port for receiving at least one gas;
a source of precursor gas coupled to the at least one port for flowing precursor gas into the processing chamber; and
a source of hydroxyl radical gas coupled to the at least one port for flowing hydroxyl radical gas into the processing chamber to cause said precursor gas to decompose.
25. A reactor for processing substrates comprising a reactor chamber;
a hydroxyl-ion producing assembly coupled to said reactor chamber for producing hydroxyl ions and introducing the hydroxyl ions into the reactor chamber;
a pedestal disposed in said reactor chamber for supporting substrates in said reactor chamber;
a processing power source;
a processing gas-introducing assembly engaged to said reactor chamber for introducing a processing gas into said reactor chamber; and
a processing power-transmitting member disposed in proximity to said reactor chamber and connected to said processing power source for transmitting power into the reactor interior.
US10/453,227 2000-04-21 2003-06-02 Method and apparatus for processing semiconductor substrates with hydroxyl radicals Abandoned US20030221621A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/453,227 US20030221621A1 (en) 2000-04-21 2003-06-02 Method and apparatus for processing semiconductor substrates with hydroxyl radicals

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/557,079 US6596343B1 (en) 2000-04-21 2000-04-21 Method and apparatus for processing semiconductor substrates with hydroxyl radicals
US10/453,227 US20030221621A1 (en) 2000-04-21 2003-06-02 Method and apparatus for processing semiconductor substrates with hydroxyl radicals

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/557,079 Division US6596343B1 (en) 2000-04-21 2000-04-21 Method and apparatus for processing semiconductor substrates with hydroxyl radicals

Publications (1)

Publication Number Publication Date
US20030221621A1 true US20030221621A1 (en) 2003-12-04

Family

ID=24223973

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/557,079 Expired - Lifetime US6596343B1 (en) 2000-04-21 2000-04-21 Method and apparatus for processing semiconductor substrates with hydroxyl radicals
US10/453,227 Abandoned US20030221621A1 (en) 2000-04-21 2003-06-02 Method and apparatus for processing semiconductor substrates with hydroxyl radicals

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/557,079 Expired - Lifetime US6596343B1 (en) 2000-04-21 2000-04-21 Method and apparatus for processing semiconductor substrates with hydroxyl radicals

Country Status (6)

Country Link
US (2) US6596343B1 (en)
EP (1) EP1148150B1 (en)
JP (1) JP4739577B2 (en)
KR (1) KR100715074B1 (en)
AT (1) ATE443164T1 (en)
DE (1) DE60139912D1 (en)

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060225655A1 (en) * 2005-03-31 2006-10-12 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US20070170535A1 (en) * 2006-01-24 2007-07-26 De Rochemont L Pierre Photovoltaic devices with silicon dioxide encapsulation layer and method to make same
US20080020549A1 (en) * 2006-07-20 2008-01-24 Qc Solutions, Inc. Method and apparatus for forming an oxide layer on semiconductors
US20110021007A1 (en) * 2006-01-24 2011-01-27 De Rochemont L Pierre Liquid chemical depostion apparatus and process and products therefrom
US8178457B2 (en) 2004-10-01 2012-05-15 De Rochemont L Pierre Ceramic antenna module and methods of manufacture thereof
US8350657B2 (en) 2005-06-30 2013-01-08 Derochemont L Pierre Power management module and method of manufacture
US8552708B2 (en) 2010-06-02 2013-10-08 L. Pierre de Rochemont Monolithic DC/DC power management module with surface FET
US8715839B2 (en) 2005-06-30 2014-05-06 L. Pierre de Rochemont Electrical components and method of manufacture
US8749054B2 (en) 2010-06-24 2014-06-10 L. Pierre de Rochemont Semiconductor carrier with vertical power FET module
US8779489B2 (en) 2010-08-23 2014-07-15 L. Pierre de Rochemont Power FET with a resonant transistor gate
US8922347B1 (en) 2009-06-17 2014-12-30 L. Pierre de Rochemont R.F. energy collection circuit for wireless devices
US8952858B2 (en) 2009-06-17 2015-02-10 L. Pierre de Rochemont Frequency-selective dipole antennas
US9023493B2 (en) 2010-07-13 2015-05-05 L. Pierre de Rochemont Chemically complex ablative max-phase material and method of manufacture
US9123768B2 (en) 2010-11-03 2015-09-01 L. Pierre de Rochemont Semiconductor chip carriers with monolithically integrated quantum dot devices and method of manufacture thereof
US20170044667A1 (en) * 2015-08-10 2017-02-16 G-Force Nanotechnology Ltd. Photo-assisted atomic layer deposition method
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
USD940149S1 (en) 2017-06-08 2022-01-04 Insulet Corporation Display screen with a graphical user interface
USD977502S1 (en) 2020-06-09 2023-02-07 Insulet Corporation Display screen with graphical user interface
US11857763B2 (en) 2016-01-14 2024-01-02 Insulet Corporation Adjusting insulin delivery rates
US11865299B2 (en) 2008-08-20 2024-01-09 Insulet Corporation Infusion pump systems and methods
US11929158B2 (en) 2016-01-13 2024-03-12 Insulet Corporation User interface for diabetes management system
USD1020794S1 (en) 2018-04-02 2024-04-02 Bigfoot Biomedical, Inc. Medication delivery device with icons

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6596343B1 (en) * 2000-04-21 2003-07-22 Applied Materials, Inc. Method and apparatus for processing semiconductor substrates with hydroxyl radicals
JP2002110611A (en) * 2000-10-04 2002-04-12 Texas Instr Japan Ltd Method and apparatus for cleaning semiconductor wafer
US20020127763A1 (en) * 2000-12-28 2002-09-12 Mohamed Arafa Sidewall spacers and methods of making same
EP1351321B1 (en) * 2002-04-01 2013-12-25 Konica Corporation Support and organic electroluminescence element comprising the support
JP4808436B2 (en) * 2005-05-18 2011-11-02 株式会社神戸製鋼所 Functional film formation method
JP2007194582A (en) * 2005-12-20 2007-08-02 Tokyo Electron Ltd Modifying method for ferroelectric thin film, and semiconductor device
US8635971B2 (en) * 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US20090305515A1 (en) * 2008-06-06 2009-12-10 Dustin Ho Method and apparatus for uv curing with water vapor
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8465587B2 (en) * 2009-12-30 2013-06-18 Cbl Technologies, Inc. Modern hydride vapor-phase epitaxy system and methods
KR101143631B1 (en) * 2010-04-30 2012-05-09 에스케이하이닉스 주식회사 Method of fabricating semiconductor device comprsing isolation layer and for the same
DE102011001642B4 (en) * 2011-03-29 2014-12-31 Universität Bremen Method for producing a polymer layer
KR101373061B1 (en) * 2013-03-04 2014-03-17 백경림 Hat having zipper
JP6354539B2 (en) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
KR101727259B1 (en) 2015-03-18 2017-04-17 연세대학교 산학협력단 Method and apparatus for forming oxide thin film
KR101987705B1 (en) * 2017-02-28 2019-06-11 (주)엔피홀딩스 Substrate cleaning nozzle using uv light lamp

Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5126111A (en) * 1990-12-05 1992-06-30 Nutech Energy Systems Inc. Fluid purification
US5279705A (en) * 1990-11-28 1994-01-18 Dainippon Screen Mfg. Co., Ltd. Gaseous process for selectively removing silicon nitride film
US5328558A (en) * 1992-03-25 1994-07-12 Tokyo Electron Limited Method for etching an SiO2 film
US5395522A (en) * 1993-02-23 1995-03-07 Anatel Corporation Apparatus for removal of organic material from water
US5433787A (en) * 1991-12-12 1995-07-18 Canon Kabushiki Kaisha Apparatus for forming deposited film including light transmissive diffusion plate
US5462899A (en) * 1992-11-30 1995-10-31 Nec Corporation Chemical vapor deposition method for forming SiO2
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5710079A (en) * 1996-05-24 1998-01-20 Lsi Logic Corporation Method and apparatus for forming dielectric films
US5786039A (en) * 1995-05-15 1998-07-28 France Telecom Process for electrical insulation in microelectronics, applicable in narrow cavities, by deposition of oxide in the viscous state and corresponding device
US5792369A (en) * 1996-04-04 1998-08-11 Johnson; Dennis E. J. Apparatus and processes for non-chemical plasma ion disinfection of water
US5928428A (en) * 1996-02-23 1999-07-27 Mitsubishi Denki Kabushiki Kaisha Apparatus and method for manufacturing a semiconductor device
US6020458A (en) * 1997-10-24 2000-02-01 Quester Technology, Inc. Precursors for making low dielectric constant materials with improved thermal stability
US6063300A (en) * 1997-03-19 2000-05-16 Fujitsu Limited Method of manufacturing semiconductor device including light etching
US6086679A (en) * 1997-10-24 2000-07-11 Quester Technology, Inc. Deposition systems and processes for transport polymerization and chemical vapor deposition
US6095085A (en) * 1998-08-20 2000-08-01 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6200387B1 (en) * 1998-10-30 2001-03-13 Dangsheng P. E. Ni Method and system for processing substrates using nebulized chemicals created by heated chemical gases
US6413583B1 (en) * 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6461692B2 (en) * 1996-02-23 2002-10-08 Ebara Corporation Chemical vapor deposition method and chemical vapor deposition apparatus
US6596343B1 (en) * 2000-04-21 2003-07-22 Applied Materials, Inc. Method and apparatus for processing semiconductor substrates with hydroxyl radicals

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2870307B2 (en) * 1992-07-10 1999-03-17 日本電気株式会社 Mobile communication control station and multiple access system
FI108375B (en) * 1998-09-11 2002-01-15 Asm Microchemistry Oy Still for producing insulating oxide thin films

Patent Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5279705A (en) * 1990-11-28 1994-01-18 Dainippon Screen Mfg. Co., Ltd. Gaseous process for selectively removing silicon nitride film
US5126111A (en) * 1990-12-05 1992-06-30 Nutech Energy Systems Inc. Fluid purification
US5433787A (en) * 1991-12-12 1995-07-18 Canon Kabushiki Kaisha Apparatus for forming deposited film including light transmissive diffusion plate
US5328558A (en) * 1992-03-25 1994-07-12 Tokyo Electron Limited Method for etching an SiO2 film
US5462899A (en) * 1992-11-30 1995-10-31 Nec Corporation Chemical vapor deposition method for forming SiO2
US5395522A (en) * 1993-02-23 1995-03-07 Anatel Corporation Apparatus for removal of organic material from water
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5786039A (en) * 1995-05-15 1998-07-28 France Telecom Process for electrical insulation in microelectronics, applicable in narrow cavities, by deposition of oxide in the viscous state and corresponding device
US5928428A (en) * 1996-02-23 1999-07-27 Mitsubishi Denki Kabushiki Kaisha Apparatus and method for manufacturing a semiconductor device
US6461692B2 (en) * 1996-02-23 2002-10-08 Ebara Corporation Chemical vapor deposition method and chemical vapor deposition apparatus
US5792369A (en) * 1996-04-04 1998-08-11 Johnson; Dennis E. J. Apparatus and processes for non-chemical plasma ion disinfection of water
US5710079A (en) * 1996-05-24 1998-01-20 Lsi Logic Corporation Method and apparatus for forming dielectric films
US6030460A (en) * 1996-05-24 2000-02-29 Lsi Logic Corporation Method and apparatus for forming dielectric films
US6063300A (en) * 1997-03-19 2000-05-16 Fujitsu Limited Method of manufacturing semiconductor device including light etching
US6020458A (en) * 1997-10-24 2000-02-01 Quester Technology, Inc. Precursors for making low dielectric constant materials with improved thermal stability
US6086679A (en) * 1997-10-24 2000-07-11 Quester Technology, Inc. Deposition systems and processes for transport polymerization and chemical vapor deposition
US6413583B1 (en) * 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6095085A (en) * 1998-08-20 2000-08-01 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6200387B1 (en) * 1998-10-30 2001-03-13 Dangsheng P. E. Ni Method and system for processing substrates using nebulized chemicals created by heated chemical gases
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6596343B1 (en) * 2000-04-21 2003-07-22 Applied Materials, Inc. Method and apparatus for processing semiconductor substrates with hydroxyl radicals

Cited By (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9735148B2 (en) 2002-02-19 2017-08-15 L. Pierre de Rochemont Semiconductor carrier with vertical power FET module
US8178457B2 (en) 2004-10-01 2012-05-15 De Rochemont L Pierre Ceramic antenna module and methods of manufacture thereof
US9882274B2 (en) 2004-10-01 2018-01-30 L. Pierre de Rochemont Ceramic antenna module and methods of manufacture thereof
US9520649B2 (en) 2004-10-01 2016-12-13 L. Pierre de Rochemont Ceramic antenna module and methods of manufacture thereof
US10673130B2 (en) 2004-10-01 2020-06-02 L. Pierre de Rochemont Ceramic antenna module and methods of manufacture thereof
US8593819B2 (en) 2004-10-01 2013-11-26 L. Pierre de Rochemont Ceramic antenna module and methods of manufacture thereof
US20060225655A1 (en) * 2005-03-31 2006-10-12 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US8163087B2 (en) * 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US8715839B2 (en) 2005-06-30 2014-05-06 L. Pierre de Rochemont Electrical components and method of manufacture
US10475568B2 (en) 2005-06-30 2019-11-12 L. Pierre De Rochemont Power management module and method of manufacture
US8350657B2 (en) 2005-06-30 2013-01-08 Derochemont L Pierre Power management module and method of manufacture
US9905928B2 (en) 2005-06-30 2018-02-27 L. Pierre de Rochemont Electrical components and method of manufacture
US7763917B2 (en) * 2006-01-24 2010-07-27 De Rochemont L Pierre Photovoltaic devices with silicon dioxide encapsulation layer and method to make same
US20110021007A1 (en) * 2006-01-24 2011-01-27 De Rochemont L Pierre Liquid chemical depostion apparatus and process and products therefrom
US8715814B2 (en) 2006-01-24 2014-05-06 L. Pierre de Rochemont Liquid chemical deposition apparatus and process and products therefrom
US8354294B2 (en) 2006-01-24 2013-01-15 De Rochemont L Pierre Liquid chemical deposition apparatus and process and products therefrom
US20070170535A1 (en) * 2006-01-24 2007-07-26 De Rochemont L Pierre Photovoltaic devices with silicon dioxide encapsulation layer and method to make same
US20080020549A1 (en) * 2006-07-20 2008-01-24 Qc Solutions, Inc. Method and apparatus for forming an oxide layer on semiconductors
US11865299B2 (en) 2008-08-20 2024-01-09 Insulet Corporation Infusion pump systems and methods
US11063365B2 (en) 2009-06-17 2021-07-13 L. Pierre de Rochemont Frequency-selective dipole antennas
US8922347B1 (en) 2009-06-17 2014-12-30 L. Pierre de Rochemont R.F. energy collection circuit for wireless devices
US8952858B2 (en) 2009-06-17 2015-02-10 L. Pierre de Rochemont Frequency-selective dipole antennas
US9847581B2 (en) 2009-06-17 2017-12-19 L. Pierre de Rochemont Frequency-selective dipole antennas
US9893564B2 (en) 2009-06-17 2018-02-13 L. Pierre de Rochemont R.F. energy collection circuit for wireless devices
US8552708B2 (en) 2010-06-02 2013-10-08 L. Pierre de Rochemont Monolithic DC/DC power management module with surface FET
US10483260B2 (en) 2010-06-24 2019-11-19 L. Pierre de Rochemont Semiconductor carrier with vertical power FET module
US8749054B2 (en) 2010-06-24 2014-06-10 L. Pierre de Rochemont Semiconductor carrier with vertical power FET module
US10683705B2 (en) 2010-07-13 2020-06-16 L. Pierre de Rochemont Cutting tool and method of manufacture
US9023493B2 (en) 2010-07-13 2015-05-05 L. Pierre de Rochemont Chemically complex ablative max-phase material and method of manufacture
US8779489B2 (en) 2010-08-23 2014-07-15 L. Pierre de Rochemont Power FET with a resonant transistor gate
US10777409B2 (en) 2010-11-03 2020-09-15 L. Pierre de Rochemont Semiconductor chip carriers with monolithically integrated quantum dot devices and method of manufacture thereof
US9123768B2 (en) 2010-11-03 2015-09-01 L. Pierre de Rochemont Semiconductor chip carriers with monolithically integrated quantum dot devices and method of manufacture thereof
US20170044667A1 (en) * 2015-08-10 2017-02-16 G-Force Nanotechnology Ltd. Photo-assisted atomic layer deposition method
US11929158B2 (en) 2016-01-13 2024-03-12 Insulet Corporation User interface for diabetes management system
US11857763B2 (en) 2016-01-14 2024-01-02 Insulet Corporation Adjusting insulin delivery rates
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
USD940149S1 (en) 2017-06-08 2022-01-04 Insulet Corporation Display screen with a graphical user interface
USD1020794S1 (en) 2018-04-02 2024-04-02 Bigfoot Biomedical, Inc. Medication delivery device with icons
USD977502S1 (en) 2020-06-09 2023-02-07 Insulet Corporation Display screen with graphical user interface

Also Published As

Publication number Publication date
EP1148150A3 (en) 2006-06-28
KR20010098803A (en) 2001-11-08
DE60139912D1 (en) 2009-10-29
JP2002064095A (en) 2002-02-28
US6596343B1 (en) 2003-07-22
EP1148150A2 (en) 2001-10-24
ATE443164T1 (en) 2009-10-15
JP4739577B2 (en) 2011-08-03
KR100715074B1 (en) 2007-05-07
EP1148150B1 (en) 2009-09-16

Similar Documents

Publication Publication Date Title
US6596343B1 (en) Method and apparatus for processing semiconductor substrates with hydroxyl radicals
US5710079A (en) Method and apparatus for forming dielectric films
JP5401309B2 (en) Method for depositing and curing low K films for gap filling and conformal film applications
US8481403B1 (en) Flowable film dielectric gap fill process
US7238616B2 (en) Photo-assisted method for semiconductor fabrication
US6649545B2 (en) Photo-assisted remote plasma apparatus and method
JP3607438B2 (en) Method and apparatus for forming a good interface between a SACVD oxide film and a PECVD oxide film
JPH0831454B2 (en) Method for manufacturing semiconductor device
JP3218304B2 (en) High dielectric thin film manufacturing method
US20010012700A1 (en) Semiconductor processing methods of chemical vapor depositing sio2 on a substrate
US5902651A (en) Method of reducing carbon incorporation into films produced by chemical vapor deposition involving titanium organometallic and metal-organic precursor compounds
JPH11279773A (en) Formation of film
JPH03286531A (en) Formation of silicon oxide film
US6335277B2 (en) Method for forming metal nitride film
US5789321A (en) Method for forming a barrier metal layer made of titanium nitride on a silicon substrate
KR100474565B1 (en) Method and apparatus for supplying a source gas
US6110543A (en) Process for making compound films
US5849644A (en) Semiconductor processing methods of chemical vapor depositing SiO2 on a substrate
US6090725A (en) Method for preventing bubble defects in BPSG film
JPH05299523A (en) Method and device for insulating film formation
JPH07335643A (en) Film forming method
JPH0793298B2 (en) Method for forming semiconductor device
JPH05243214A (en) Equipment for forming silicon oxide film on semiconductor substrate
JPH08134650A (en) Formation of cvd film and device therefor
JPS6052579A (en) Optical nitride film forming device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION