US20020119657A1 - Method for enhancing the adhesion of copper deposited by chemical vapor deposition - Google Patents

Method for enhancing the adhesion of copper deposited by chemical vapor deposition Download PDF

Info

Publication number
US20020119657A1
US20020119657A1 US10/024,689 US2468901A US2002119657A1 US 20020119657 A1 US20020119657 A1 US 20020119657A1 US 2468901 A US2468901 A US 2468901A US 2002119657 A1 US2002119657 A1 US 2002119657A1
Authority
US
United States
Prior art keywords
barrier layer
layer
copper
cvd
depositing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/024,689
Inventor
Srinivas Gandikota
Dennis Cong
Liang Chen
Sesh Ramaswami
Daniel Carl
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/024,689 priority Critical patent/US20020119657A1/en
Publication of US20020119657A1 publication Critical patent/US20020119657A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates generally to a metallization process for manufacturing semiconductor devices. More particularly, the present invention relates to the use of barrier layers having enhanced adhesion to overlying conductive films of copper and other conductive materials.
  • Multilevel metal interconnects having a dimension smaller than 0.20 microns are expected to play a key part in achieving ultra large scale integration (ULSI), which is the next generation of very large scale integration (VLSI). It is also expected that the Damascene process, which involves the deposition of metal into patterned dielectric openings, followed by subsequent chemical-mechanical polishing (CMP) to provide planarization, will also play a key part in achieving such multilevel metal interconnects. As a result, there is a need for a method to reliably deposit metal into patterned dielectric trenches, and to do so in a way that leads to interconnects having desirable properties.
  • the Damascene process is described in Ryu, C., “Microstructure and Reliability of Copper Interconnects,” doctoral thesis, Stanford University (June 1998), which is hereby incorporated by reference.
  • Al has been widely used as an interconnect metal because of its good electrical properties.
  • known procedures for depositing Al interconnects include chemical vapor deposition (CVD) and physical vapor deposition (PVD).
  • CVD is a preferred procedure for depositing Al into high aspect ratio features of the kind found in Damascene processes, because it leads to good conformal layers of Al, i.e., layers that have a uniform thickness over the substrate surface even when the topography of the surface includes a base and sidewalls requiring step coverage, such as in a trench or contact via.
  • Copper (Cu) is currently being investigated as a replacement for aluminum in interconnects.
  • Ryu which was previously incorporated by reference, provides a review of the current state of the art with respect to copper interconnects.
  • Cu has a bulk resistivity of 1.67 ⁇ -cm, which is approximately 40% less than that of Al (2.66 ⁇ -cm).
  • Cu exhibits resistance to electromigration superior to that of Al under similar circumstances, and lower RC delay.
  • the lower resistivity of Cu accommodates a higher line density, i.e., a smaller width, while allowing for increased device speed.
  • Copper interconnects may be deposited by a variety of conventional procedures, such as physical vapor deposition (PVD), electroplating, and electroless plating.
  • Chemical vapor deposition (CVD) is a viable method due to its superior step coverage and selective deposition capability.
  • CVD involves the formation of a reaction product, copper in this case, on a substrate by thermal reaction or decomposition of gaseous compounds, referred to as precursors.
  • Metal-organic CVD which uses one or more organo-metallic precursors, is preferred for the CVD of copper because they may be used at relatively low temperatures.
  • Preferred organo-metallic precursors include Cu +2 (hfac) 2 and Cu +2 (fod) 2 , where hfac is an abbreviation for the hexafluoroacetylacetonate anion, and fod is an abbreviation for heptafluoro dimethyl octanediene.
  • a preferred process uses the volatile liquid complex copper +1 (hfac)(tmvs) as a precursor, where tmvs is an abbreviation for trimethylvinylsilane, with argon as a carrier gas. Because this precursor is a liquid under ambient conditions, it can be utilized in standard CVD bubbler precursor delivery systems currently used in semiconductor fabrication.
  • the deposition reaction is believed to proceed on a heated substrate according to the following mechanism, in which (s) denotes interaction with a surface and (g) denotes the gas phase.
  • step 1 the precursor is adsorbed from the gas phase onto a metallic surface.
  • step 2 the precursor is dissociated to 2Cu +1 (hfac) and 2 (tmvs). (tmvs) leaves the surface by desorption.
  • step 3 Cu(hfac) and Cu +2 (hfac) 2 are generated by electron exchange between surface Cu +1 (hfac) species.
  • step 4 copper metal and volatile Cu +2 (hfac) 2 are formed by the migration of (hfac) groups. Cut +2 (hfac) 2 leaves the surface by desorption, leaving copper metal.
  • the overall disproportionation reaction is described by the following equation:
  • Both tmvs and Cu +2 (hfac) 2 are volatile byproducts of the deposition reaction that are exhausted from the chamber.
  • Cu +2 (hfac) 2 does not contribute to further deposition because the temperature is much lower than that required for Cu +2 (hfac) 2 decomposition.
  • Cu +1 (hfac)(tmvs) can be used as a precursor to deposit Cu through either a thermal process, or a plasma based process, referred to as plasma enhanced CVD (PECVD).
  • PECVD plasma enhanced CVD
  • the substrate is preferably held at a temperature between about 100 and 400° C. for PECVD of Cu from Cu +1 (hfac)(tmvs).
  • the substrate is preferably held at a temperature between about 150 and 220° C., and more preferably at about 170° C., for CVD of Cu from Cu +1 (hfac)(tmvs) that is not plasma enhanced.
  • Lower temperatures result in a very slow deposition rate, and higher temperatures may adversely affect the resistivity of the resultant interconnect.
  • Thermal CVD is typically preferred over PECVD due to the lower temperatures typically involved with thermal CVD.
  • barrier layers for aluminum interconnects are commonly made from materials that include tantalum (Ta), tantalum nitride (TaN), titanium (Ti), and titanium nitride (TiN). It is also known to use a barrier layer to separate copper interconnects from other features. Barrier layers used to separate copper interconnects from other features include those listed above for use with aluminum interconnects. However, while the interaction between these barrier layers and aluminum has been intensively studied, the interaction with Cu may be different.
  • barrier layers there is often poor adhesion between barrier layers and the copper interconnects deposited on the barrier layers, which may lead to dewetting and device failures due to high via resistance and poor electromigration resistance.
  • This problem is particularly pronounced with Cu interconnects deposited by CVD, but may also exist to a lesser extent with Cu deposited by other methods, such as PVD, electroplating, and electroless plating.
  • an improper selection of a barrier layer may lead to problems with the growth of the copper interconnect, interfacial contamination, and/or an undesirable microstructure in the copper.
  • CVD efforts at solving these problems have largely been directed to attempts to prevent chlorine and fluorine present in the precursors from incorporating into the copper films.
  • the present invention provides a method for improving the adhesion of copper and other conductive metals to a substrate, such as a barrier layer.
  • a barrier is provided that has a first surface that is substantially unoxidized.
  • a copper layer is then deposited onto the first surface of the barrier layer.
  • the substantially unoxidized state of the first surface enhances the adhesion of the copper layer to the barrier layer.
  • the substantially unoxidized first surface of the barrier layer may be provided by preventing oxidation of the barrier layer subsequent to its deposition, or by removing or displacing oxidation from at least a portion of the barrier layer surface prior to deposition of the conductive metal.
  • an adhesion promoting material may be added to the barrier layer which ensures that at least a portion of the barrier surface remains free from oxidation.
  • the copper may be deposited by a variety of processes, including chemical vapor deposition (CVD), physical vapor deposition (PVD), electroless plating, and electroplating, for example.
  • the substantially unoxidized first surface of a barrier layer may be provided by including a noble metal in the barrier layer.
  • This noble metal may be selected from the group consisting of gold (Au), silver (Ag), platinum (Pt), chromium (Cr), nickel (Ni), and palladium (Pd), for example.
  • the barrier layer may consist essentially of the noble metal, or may be doped with the noble metal, so that at least a portion of the surface of the barrier layer will not be oxidized.
  • the barrier layer may include an adhesion promotion layer of the noble metal.
  • the noble metal may be added to the barrier layer by ion implantation and other techniques known in the art.
  • the substantially unoxidized first surface may also be provided by including a refractory metal that forms a volatile oxide at the barrier layer surface, using the techniques described above with reference to noble metals.
  • This refractory metal may be selected from the group consisting of tungsten (W) and molybdenum (Mo), for example, but not by way of limitation.
  • the environment to which the barrier layer is exposed may also be controlled to minimize oxidation prior to application of the metal-comprising interconnect material.
  • the deposition of copper may be started while the deposition of the barrier layer is still proceeding.
  • the material of the barrier layer may be incorporated into the precursor during at least the first portion of the CVD deposition. Oxidation of the barrier layer during the deposition of copper by chemical vapor deposition (CVD) may be avoided by using a precursor that is substantially free of water.
  • the substantially unoxidized first surface may be provided by removing oxide from the surface of barrier layer using techniques such as ion bombardment, chemical reaction to produce a volatile species, and contact with a displacing material, for example, and not by way of limitation.
  • FIG. 1 shows a metallization geometry useful for Cu interconnects in accordance with the present invention.
  • FIG. 2 shows a diagram'of an exemplary integrated cluster tool of the kind useful in controlling the ambient to which a substrate surface is exposed during the PVD deposition of barrier layers and the CVD deposition of metal-comprising interconnect layers.
  • FIG. 3 shows an XPS peak for tantalum for a sample having a Ta barrier layer onto which Cu was deposited using a Cupra 2500 precursor.
  • FIG. 4 shows an XPS peak for oxygen for the sample of FIG. 3.
  • FIG. 5 shows an XPS peak for tantalum in a sample similar to that of FIG. 3, but where the Cu was deposited using a Cupra 2504 precursor.
  • FIG. 6 shows an XPS peak for oxygen for the sample of FIG. 5.
  • FIG. 7 shows a SIMS profile for a sample having a Ta barrier layer.
  • FIG. 8 shows a SIMS profile for a sample having a Ni barrier layer.
  • FIG. 9 shows a SIMS profile for a sample having a Pt barrier layer.
  • the present invention provides a copper interconnect having excellent adhesion to an underlying barrier layer. While not intending to be limited by the theory as to how the present invention works, the inventors believe that poor adhesion between metal-comprising interconnect depositions and barrier layers is typically caused by oxidation present on the surface of conventional barrier layers fabricated by conventional methods. This oxidation appears to be especially harmful when copper is the metal-comprising interconnect material.
  • a semiconductor includes a variety of different materials which are known to have the behavioral characteristics of a semiconductor, and reference to “copper” includes alloys thereof.
  • the term “aspect ratio” refers to, but is not limited to, the ratio of the height dimension to the width dimension of a particular feature. When the feature has more than one width dimension, the aspect ratio is typically calculated using the smallest width dimension of the feature. For example, a contact via opening which typically extends in a tubular form through multiple layers has a height and a diameter, and the aspect ratio would be the height of the tubular divided by the diameter. The aspect ratio of a trench would be the height of the trench divided by the minimal width of the trench, which typically occurs at its base.
  • copper refers to copper and alloys thereof, wherein the copper content of the alloy is at least 80 atomic %.
  • the alloy may comprise more than two elemental components.
  • decoupled plasma source refers to a plasma generation apparatus which has separate controls for power input to a plasma source generator and to a substrate bias device.
  • the substrate bias voltage affects the ion bombardment energy on the substrate surface.
  • This decoupled plasma source typically incorporates measures to separate (decouple) the influence of the plasma source power and bias power on one another.
  • feature refers to, but is not limited to, contacts, vias, trenches, and other structures which make up the topography of the substrate surface.
  • FWHM refers to a conmnonly reported indication of aluminum texture.
  • the FWHM is obtained from an X-ray diffraction “Rocking Curve”, which is a measurement obtained by rotating (rocking) a sample through the specified Bragg angles of its phases while the X-ray detector is fixed at 2 ⁇ .
  • the FWHM expressed in degrees, represents the number of degrees spanned by the width of the curve at half its maximum height.
  • a wider curve, spanning a larger number of degrees indicates that the crystallographic orientation of interest is not highly textured.
  • a narrow curve, spanning a limited number of degrees is a strong signal, indicating a larger quantity of the crystallographic orientation of interest (a high texture).
  • the FWHM measurement is preferred over the diffraction intensity, since it is less sensitive to the measurement variables and is a direct indicator of the degree of texture for a given sample.
  • the Rocking Curve has become a standard indicator of electromigration resistance for a deposited aluminum film, since electromigration is directly related to crystallographic orientation of the aluminum.
  • high density plasma sputter deposition refers to, but is not limited to a sputter deposition (preferably a magnetron sputter deposition), where a high density, inductively coupled RF plasma is created between the sputtering cathode and the substrate support electrode, whereby at least a portion of the sputtered emission is in the form of ions at the time it reaches the substrate surface.
  • SIMS refers to a secondary ion mass spectrometer.
  • traditional sputtering refers to a method of forming a film layer on a substrate wherein a target is sputtered and the material sputtered from the target passes between the target and the substrate to form a film layer on the substrate, and no means is provided to ionize a substantial portion of the target material sputtered from the target before it reaches the substrate.
  • One apparatus configured to provide traditional sputtering is disclosed in U.S. Pat. No. 5,320,728, the disclosure of which is incorporated herein by reference. In such a traditional sputtering configuration, the percentage of target material which is ionized is less than 10%, more typically less than 1%, of that sputtered from the target.
  • XPS refers to X-ray photo electron spectroscopy.
  • a process system which can be used to carry out substrate pre-cleaning steps (typically ion bombardment), the deposition of barrier layers and the deposition of copper seed layers is the ENDURA® Integrated Processing System available from Applied Materials, Inc. (Santa Clara, Calif.) The system is shown and described in U.S. Pat. Nos. 5,186,718 and 5,236,868, the disclosures of which are incorporated by reference.
  • FIG. 2 shows one configuration of an ENDURA® Integrated Processing System
  • a metallic or electrically conductive barrier layer having a non-oxidized surface facilitates the electron transfer that occurs in step (3) of the deposition reaction described in the background section, while an oxidized barrier layer surface inhibits this electron transfer. It is believed that such oxidation similarly inhibits steps necessary for good adhesion in other deposition processes for Cu, such as PVD, electroplating, and electroless plating.
  • the present invention provides a barrier layer having a substantially unoxidized surface, onto which Cu can be deposited such that there is goos adhesion between the Cu and the barrier layer.
  • substantially unoxidized means having a metallic surface that facilitates the deposition of Cu, relative to an oxidized surface.
  • the substantially unoxidized surface has less than a single monolayer of oxide.
  • at least portions of the barrier layer surface are free from the presence of oxygen atoms.
  • a barrier layer material may be chosen that does not oxidize under the conditions to which it will be exposed, using thermodynamic, kinetic, or other criteria.
  • the barrier material may be a noble metal known to be resistant to oxidation under many conditions, such as gold (Au), silver (Ag), platinum (Pt), chromium (Cr), nickel (Ni), and palladium (Pd).
  • the barrier material may be a refractory metal, such as tungsten (W) and molybdenum (Mo), that forms a volatile oxide, i.e., an oxide that vaporizes under vacuum conditions,.
  • the barrier may be made of a material that is not necessarily resistant to oxidation, but is doped with a material resistant to oxidation to improve the oxidation resistance of the barrier layer and to ensure the presence of non-oxidized surface areas on the barrier layer.
  • a material resistant to oxidation may also be incorporated into at least the initial portion of the Cu deposition process to enhance adhesion.
  • a noble metal may be incorporated into a precursor used to deposit Cu by CVD.
  • the environment to which the barrier layer is exposed may also be controlled to minimize oxidation.
  • the preferred embodiment of the present invention includes the use of materials that are not necessarily resistant to oxidation, but where the environment can be controlled to produce a surface substantially free of oxide at the time of Cu deposition.
  • Cu may be deposited shortly after depositing a barrier material, such that there is not time for the barrier material to oxidize.
  • the deposition of Cu may be started before the deposition of the barrier layer is complete, such that the barrier layer has no time to form an oxide, and there is an interface at which Cu is intimately mixed with the material of the barrier layer.
  • a vacuum or a controlled non-oxidizing environment is preferably maintained over the barrier layer until the Cu is deposited, for example by performing the deposition of the barrier layer and the Cu in the same vacuum chamber or in connected vacuum chambers, where the chamber ambients are non-oxidizing and may include a flow-through nonreactive gas which sweeps across the barrier layer surface to prevent oxidation of such surface.
  • the barrier layer may comprise multiple layers, where the top layer is an adhesion promotion layer onto which the Cu is to be deposited, such that the underlying layers may be fabricated of materials to which Cu may not adhere as well, but which have other desirable properties.
  • Such an adhesion promotion top layer may be fabricated by depositing layers of barrier material in sequence.
  • the barrier layer may include an adhesion-promoting dopant that preferentially migrates to the surface of the barrier layer. An annealing step may be performed to segregate such a dopant to the surface.
  • the material and method of fabricating the barrier layer are preferably chosen such that the barrier is a conformal layer that prevents diffusion of Cu into surrounding materials, adheres well to the underlying material, has good conductivity, and does not adversely affect the properties of the underlying materials.
  • some barrier layers and methods that provide a surface substantially free of oxide onto which Cu may be deposited may not be suitable for use in some devices, yet may be suitable for use in others.
  • Some metals are known to be resistant to oxidation under a variety of environments, including environments to which barrier layers are typically exposed. These metals include gold (Au), silver (Ag), platinum (Pt), chromium (Cr), nickel (Ni), and palladium (Pd). Other metals are known to have oxides that are volatile under the vacuum conditions to which barrier layers are exposed, such as tungsten (W) and molybdenum (Mo). Depending upon criteria unrelated to adhesion, such as compatibility with the rest of the device and processing complexity and cost, any of these metals may be preferred for use as a barrier layer, a dopant or component in a barrier layer, and/or an adhesion promotion top layer of a barrier layer.
  • Thermodynamic criteria may be used to select a material resistant to oxidation for use as a barrier layer.
  • Table 1 shows the Heat of oxide formation for various oxides of materials used in semiconductor fabrication. TABLE 1 Heat of oxide formation Compound Heat of Formation Al 2 O 3 ⁇ 399 K cal/mole Au 2 O 3 11 K cal/mole CuO ⁇ 38.5 K cal/mole Cu 2 O ⁇ 43 K cal/mole MgO ⁇ 143.84 K cal/mole NiO ⁇ 58.4 K cal/mole PdO ⁇ 20.4 K cal/mole SiO 2 ⁇ 202 K cal/mole TiO 2 ⁇ 214 K cal/mole Ta 2 O 5 ⁇ 486 K cal/mole
  • the conductivity of the barrier layer material may also be considered.
  • Proposed materials and their resistivities include: Au (2.4 ⁇ -cm), Co (9 ⁇ -cm), Ni (7 ⁇ -cm), Pt (10.5 ⁇ Q-cm), Pd (10.8 ⁇ -cm).
  • an acceptable material will have a resistivity of less than about 50 ⁇ -cm.
  • the amount of oxide that forms on the barrier layer may be sensitive to the environment to which the barrier layer is exposed.
  • the barrier material is selected such that significant amounts of oxide do not form under a variety of environments, such that careful control of the environment is not necessary.
  • barrier materials that do form oxides may be used within the present invention by controlling the environment to which the barrier layer is exposed: Relevant parameters include the partial pressure of oxygen and/or amount of moisture to which the barrier layer is exposed, the temperature during such exposure, the presence of a non-oxidizing purge gas for removal of oxygen which may be available within the process chamber from various sources, and the duration of exposure prior to the deposition of Cu.
  • the precursor used to deposit the Cu may affect the oxidation of the barrier material.
  • the commonly used ⁇ -diketonate ligand, hfac is a potential contamination source of oxygen and/or water (as well as fluorine and carbon).
  • water is conventionally added to precursors such as copper +1 (hfac)(tmvs), for example by hydrating the hfac, to increase the Cu deposition rate.
  • the inventors' analysis shows that addition of such water is detrimental to the adhesion of Cu to the barrier layer, because the water oxidizes the surface of the barrier layer onto which the Cu is to be deposited.
  • a precursor that contains only very low amounts of water and oxygen, and that is preferably essentially free of those substances, may be used to reduce oxidation.
  • Ion bombardment may be used for “plasma cleaning” or “sputter cleaning” of a barrier layer surface just prior to deposition of a copper nucleation layer.
  • Techniques for ion bombardment of a semiconductor substrate surface are well known in the art and will not be discussed in detail herein. It is also possible to use ion bombardment during the initial application of the copper nucleation layer to further facilitate adhesion of this nucleation layer to an underlying barrier layer surface.
  • FIG. 1 shows a metallization geometry useful for Cu interconnects in accordance with the present invention.
  • the metallization geometry of FIG. 1 is preferably fabricated in accordance with a DRY FILLTM process provided by Applied Material, Inc. of Santa Clara, Calif., which includes CVD followed by PVD.
  • a substrate 12 preferably made of a dielectric material, has a via 14 with a high aspect ratio. However, the present invention may be beneficial in cooperation with vias having any aspect ratio.
  • Via 14 has walls 18 and a floor 20 .
  • a thin barrier layer 16 is deposited directly onto substrate 12 covering substantially all surfaces, including walls 18 and floor 20 of via 14 .
  • the thin barrier layer 16 will generally have a thickness of between about 150 ⁇ and about 1,000 ⁇ .
  • the preferred thickness is in a range of between about 150 ⁇ and about 350 ⁇ .
  • a conformal CVD Cu layer 22 is deposited on the barrier layer 16 to a desired thickness not to exceed the thickness which would seal the top of the contact or via.
  • Barrier layer 16 is fabricated using a material and/or process such that the surface between barrier layer 16 and Cu layer 22 is substantially free of oxide when Cu layer 22 is deposited.
  • a PVD Cu layer 23 is then deposited onto the CVD Cu layer 22 .
  • PVD Cu layer 23 may be doped with dopants such as tin (Sn) to alter the electrical properties of PVD Cu layer 23 . The process may be controlled such that these dopants disperse into CVD Cu layer 22 as well, thereby altering the electrical properties of the integrated Cu layer. In general, however, PVD Cu layer 23 does not need to be doped.
  • CMP chemical mechanical polishing
  • the methods of the present invention are preferably carried out in an integrated cluster tool that has been programmed to process a substrate accordingly.
  • FIG. 2 shows a diagram of an exemplary integrated cluster tool 60 .
  • Cluster tool 60 is preferably equipped with a microprocessor controller programmed to carry out the processing methods.
  • Substrates may be introduced into cluster tool through a cassette loadlock 62 .
  • a robot 64 having a blade 67 transfers the substrate from cassette loadlock 62 through a buffer chamber 68 to a degas wafer orientation chamber 70 and then to a preclean chamber 72 . Degassing and precleaning may be performed in these chambers using techniques known to the art.
  • Robot 64 then transfers the substrate to a robot 78 located in a transfer chamber 80 .
  • Robot 78 positions the substrate in chamber 82 , where a barrier layer is deposited in accordance with the present invention.
  • Robot 78 then positions the substrate in a CVD chamber 84 , where a Cu layer such as Cu layer 22 of FIG. 1 is deposited by CVD.
  • Robot 78 then positions the substrate in a PVD chamber 86 , where a PVD Cu layer such as PVD Cu layer 23 of FIG. 1 is deposited by PVD.
  • the substrate is then passed back through the transfer chamber 80 , cooldown chamber 76 and buffer chamber 68 for removal through loadlock 62 .
  • the substrate may then be polished in a chemical mechanical polishing apparatus (not shown) for planarization, using techniques known to the art.
  • the substrate may be processed or cooled in one or more chambers any number of times in any order to accomplish fabrication of the desired structure on the substrate.
  • the exact arrangement and combination of chambers may be altered for purposes of performing specific steps of a fabrication process.
  • the substrate may be delivered to an IMP chamber 88 for deposition of Ta, then to PVD chamber 86 for deposition of an adhesion promotion layer of Ni or Pt, for example, prior to the deposition of Cu layers.
  • barrier layers of the present invention may be used to advantage with any method of depositing Cu where the oxidation or conductivity of the surface onto which the Cu is deposited affects the deposition or adhesion of the Cu, or an electron transfer on a conductive surface occurs in one of the reaction steps.
  • These methods include PVD, electroplating, and electroless plating.
  • electroplating Cu fill and electroless plating of Cu both involve electron transfer on a conducting surface.
  • Cu atoms are supplied to a film surface by catalytic reduction of aqueous Cu ions.
  • the electrons for the Cu reduction are provided by the oxidation of a reducing agent in the deposition bath.
  • the oxidation of the reducing agent is catalyzed only on conductive surfaces.
  • a typical Cu electroless process is represented by the following equation:
  • Cu ions are supplied from a Cu sulfate pentahydrate solution (CuS 4 O.5H 2 O).
  • the conductive surface may be any of the barrier layers of the present invention deposited by any conventional method and as described above.
  • Cu electroplating affords a number of advantages over electroless plating including superior trench and via fill because the deposition parameters are easily controlled.
  • Cu electroplating typically involves a sulfuric acid plating bath and a Cu sulfate solution. The reaction is a simple dissociation of Cu sulfate and a reduction of Cu ions:
  • the reduction of the Cu ions in electroplating requires a conductive surface.
  • the barrier layers of the present invention are ideally suited for this purpose and may be deposited by any known method and as described above. High density plasma sputter deposition is a preferred method of deposition.
  • the Ta barrier layer was deposited using a VectraTM (Applied Materials, Inc. high density plasma source.
  • the CVD Cu was deposited using Cupra select (hfac), 2504 blend, Cu (tmvs) precursor supplied by Schumacher, which was delivered to a “shower head” distributor using a direct liquid injection system.
  • the substrate platen (cathode) heater temperature was maintained between 180° C. and about 200° C.
  • the CVD reactor process pressure was maintained at about 1.5 Torr with helium as the carrier gas.
  • the PVD Cu deposition was carried out using a 280 mm target-substrate spacing Cu sputtering source (a long-throw or ⁇ copper source) developed by Applied Materials, Inc.
  • Table 2 shows that increasing the amount of oxygen to which the Ta barrier layer is exposed weakens the adhesion between the Ta and the CVD Cu, and that oxidation on the surface of the barrier layer decreases the adhesion of a subsequently deposited Cu layer.
  • Table 2 also shows that the adhesion of Cu to Ta may be improved by controlling the conditions to which the Ta barrier layer is exposed prior to the deposition of Cu to minimize the amount of oxide that forms on the surface of the Ta layer.
  • the adhesion of Cu to Ta was particularly good in Sample 1. While replication of the exact conditions used to fabricate Sample 1 may not be practical in large scale production, the present invention contemplates other, more practical ways of providing a surface of a barrier layer substantially free of oxide onto which Cu may be deposited.
  • Several samples were prepared, each having a barrier layer deposited on a substrate having a structured SiO2 layer.
  • the barrier layers were deposited to a thickness of about 200 ⁇ , and were made of various materials as shown in Table 3.
  • the Ta and TaN barrier layers were deposited in an ionized metal plasma chamber (IMP).
  • IMP ionized metal plasma chamber
  • the TiN barrier layer was deposited by CVD.
  • the Ni and Pt were deposited using standard, traditional sputtering technique on a DC magnetron Endura® platform of the kind known in the art.
  • the Ni and Pt substrates were exposed to ambient atmospheric conditions for approximately 2 days prior to CVD Cu deposition.
  • Cu deposited by PVD is apparently less sensitive to oxidation on the surface of the barrier layer to which the Cu is deposited, as shown by Sample 9, in which a PVD Cu layer passed the tape test.
  • the inventor's analysis shows that the adhesion of Cu deposited by PVD may also be enhanced by the present invention.
  • Several samples were prepared, each having a barrier layer deposited on a substrate having a structured SiO 2 layer.
  • the barrier layers were deposited to a thickness of about 200 ⁇ , and were made of various materials as shown in Table 4.
  • the Ta, TaN, and TiN barrier layers were deposited in a manner similar to that described for Example 2.
  • the substrates were then exposed to clean room ambient conditions for about 3 minutes while the substrates were transferred from one chamber loadlock to another chamber loadlock through ambient air.
  • Cu was then deposited onto the barrier layers by CVD from a Cupra Select 2500 blend precursor, available from Schumacher, Carlsbad, Calif. This precursor is formulated to have a low moisture content (below about 2,500 ppm).
  • the temperature during the deposition of Cu was between about 200 and 260° C.
  • the CVD apparatus and general process parameters used were the same as that described with reference to the previous examples.
  • the samples were then tested by the conventional tape test method, as described with respect to Example 1. The results of these tests are summarized in Table 4. Note that when there is no mention of “air” in Table 4, the substrates were moved under a controlled environment at about 10 ⁇ 7 Torr, at room temperature, over a time period of less than about one minute.
  • CVD Cu deposited from a low moisture precursor has adhesion to the barrier layer sufficient to pass the tape test under most of the circumstances tested.
  • Two samples were prepared, each having a Ta barrier layer deposited on a substrate having a structured SiO 2 layer.
  • the barrier layers were deposited to a thickness of about 250 ⁇ .
  • the Ta barrier layers were deposited in a manner similar to that described for Example 3.
  • the substrates were then transferred to the CVD deposition chamber by passing them through clean room ambient on a wafer holder over a time period of less than about 3 minutes, as described with reference to Example 3.
  • the CVD apparatus and process parameters used for CVD Cu deposition were the same as described with reference to previous examples.
  • Cu was then deposited onto the barrier layers by CVD using a Cupra Select 2500 blend precursor for one sample, and a Cupra Select 2504 blend for the other, both available from Schumacher.
  • Cupra 2500 has a lower moisture content
  • Cupra 2504 is basically Cupra 2500 which has been hydrated using a proprietary method of Schumacher. The precise moisture content is not known, but is greater than 2,500 ppm.
  • the sample prepared using the Cupra 2500 precursor passed the both the scribe and blank adhesion tests.
  • the sample prepared using the Cupra 2504 precursor failed that test, showing that the sample prepared with the low moisture precursor had better adhesion between the barrier layer and the Cu.
  • FIGS. 3, 4, 5 and 6 shows X-ray photoelectron spectroscopy (XPS) plots for the two samples.
  • FIG. 3 shows an XPS peak for tantalum in the sample prepared using the Cupra 2500 precursor.
  • FIG. 4 shows an XPS peak for oxygen in the sample prepared using the Cupra 2500 precursor.
  • FIG. 5 shows an XPS peak for tantalum in the sample prepared using the Cupra 2504 precursor.
  • FIG. 6 shows an XPS peak for oxygen in the sample prepared using the Cupra 2504 precursor.
  • the “x” axis is the binding energy in eV; the “y” axis is the counts per second; and, the “z” axis is the sputter time in minutes.
  • the plotted tantalum peaks of FIGS. 3 and 5 are Ta4d5 peaks, which are caused by Ta in contact with Cu.
  • the plotted oxygen peaks of FIGS. 4 and 6 are O1s peaks, caused by the presence of oxygen.
  • FIGS. 3 and 4 show that there is less oxidation at the interface between the copper and the tantalum in the sample prepared with the low moisture precursor Cupra 2500 (FIGS. 3 and 4) than in the sample prepared with the higher moisture precursor Cupra 2504 (FIGS. 5 and 6).
  • the FWHM signal of FIG. 5 is broader than that of FIG. 3, the oxygen peak of FIG. 4 is shifted with respect to that of FIG. 6.
  • the FWHM for tantalum from the 50 minute sputtering time to the 80 minute sputtering time for the 2500 Blend is about 60°, where the FWHM for tantalum from the 25 minute sputtering time to the 50 minute sputtering time for the 2504 Blend is about 40°.
  • This broadening of the Ta signal FWHM for the Ta surface in contact with the CVD Cu from the 2500 Blend indicates that the Ta surface in contact with CVD Cu from the 2500 Blend is less oxidized than the Ta surface in contact with the 2504 Blend.
  • the height of the oxygen peak for the corresponding time for the 2500 Blend shows the Oxygen counts per second (c/s) to be about zero; much smaller than for the 2504 Blend which shows a c/s of about 0.25 for the Oxygen signal, indicating less tantalum oxidation for the 2500 Blend.
  • Cu was then deposited onto the barrier layers by CVD using the apparatus and general process parameters described with respect to previous examples and Cupra select 2504 Blend.
  • the samples were then tested using both the scribe and blank conventional tape test methods, as described with respect to Example 1.
  • the sample having a Ta barrier layer failed the tape test, while the sample having a Ni barrier layer and the sample having a Pt barrier layer passed the tape test.
  • FIGS. 7, 8 and 9 show SIMS profiles for the samples having a Ta, Ni, and Pt barrier layer, respectively.
  • the x axis represents position in a direction perpendicular to the plane of the barrier layer.
  • the y axis represents the concentration of various elements, in atoms per cubic centimeter.
  • Plots 710 , 720 , 730 , 740 , 750 and 760 of FIG. 7 show the concentration of Cu, fluorine, carbon, oxygen, silicon and Ta, respectively, for the sample having a Ta barrier layer.
  • Plots 910 , 920 , 930 , 940 , 950 and 960 of FIG. 9 show the concentration of Cu, fluorine, carbon, oxygen, silicon and Pt, respectively, for the sample having a Pt barrier layer.
  • the interface between the Cu and the barrier layer is located approximately at the peak in the fluorine and carbon concentrations, where the Cu concentration begins to drop off.
  • the similarity in the fluorine and carbon profiles i.e., compare plots 720 , 820 and 920 , for fluorine and plots 730 , 830 and 930 for carbon, combined with the different tape test results, show that differences due to fluorine and carbon, either at the interface between the Cu and the barrier layer or in the Cu matrix, are probably not responsible for the superior adhesion of the samples having Pt and Ni barrier layers.
  • the sample having a Ta barrier layer shows an oxygen peak at the interface between the Cu and the Ta barrier layer, see FIG. 7, plot 740 of FIG. 7, suggesting that the Ta oxidized prior to or during the deposition of Cu.
  • the samples having Ni and Pt barrier layers do not have a corresponding peak, see FIGS. 8 and 9, plots 840 and 940 , respectively, suggesting that the Ni and Pt did not significantly oxidize prior to or during the deposition of Cu. According to the inventors'analysis, this difference in the oxidation of the barrier layer is responsible for the superior adhesion properties of the samples having Pt and Ni barrier layers, relative to the sample having a Ta barrier layer.
  • Two samples were prepared, each having a barrier layer deposited on a substrate having a structured SiO 2 layer.
  • the barrier layers were deposited to a thickness of about 200 ⁇ .
  • One sample had a Pt barrier layer, and the other had a Ni barrier layer.
  • the barrier layers were fabricated as described in Example 2.
  • the environment to which the barrier layers were exposed prior to CVD Cu was the same as previously described (The samples were placed in a box located in ambient atmospheric conditions at room temperature for approximately 2 days prior to CVD Cu deposition).
  • Cu was then deposited onto the barrier layers by CVD using the apparatus and general process parameters described with respect to previous examples and Cupra select 2504 Blend. The samples were then tested using both the scribe and blank conventional tape test methods, as described with respect to Example 1. All samples passed the tape testing.

Abstract

The present invention provides a method for improving the adhesion of copper and other metal-comprising conductive metals to a barrier layer. A barrier is provided that has a first surface that is substantially unoxidized, wherein at least a portion of the surface is free from the presence of oxygen atoms. A conductive layer is then deposited onto the first surface of the barrier layer. The substantially unoxidized state of the first surface enhances the adhesion of the metal-comprising layer to the barrier layer. The method is particularly useful in obtaining excellent adhesion of a copper nucleation layer to an underlying barrier layer surface.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention relates generally to a metallization process for manufacturing semiconductor devices. More particularly, the present invention relates to the use of barrier layers having enhanced adhesion to overlying conductive films of copper and other conductive materials. [0002]
  • 2. Background [0003]
  • Multilevel metal interconnects having a dimension smaller than 0.20 microns are expected to play a key part in achieving ultra large scale integration (ULSI), which is the next generation of very large scale integration (VLSI). It is also expected that the Damascene process, which involves the deposition of metal into patterned dielectric openings, followed by subsequent chemical-mechanical polishing (CMP) to provide planarization, will also play a key part in achieving such multilevel metal interconnects. As a result, there is a need for a method to reliably deposit metal into patterned dielectric trenches, and to do so in a way that leads to interconnects having desirable properties. The Damascene process is described in Ryu, C., “Microstructure and Reliability of Copper Interconnects,” doctoral thesis, Stanford University (June 1998), which is hereby incorporated by reference. [0004]
  • Aluminum (Al) has been widely used as an interconnect metal because of its good electrical properties. Preferred, known procedures for depositing Al interconnects include chemical vapor deposition (CVD) and physical vapor deposition (PVD). CVD is a preferred procedure for depositing Al into high aspect ratio features of the kind found in Damascene processes, because it leads to good conformal layers of Al, i.e., layers that have a uniform thickness over the substrate surface even when the topography of the surface includes a base and sidewalls requiring step coverage, such as in a trench or contact via. It is known to fabricate Al interconnects by depositing Al by CVD at relatively low temperatures into apertures smaller than 0.5 microns. [0005]
  • However, as device sizes continue to shrink while device densities, chip sizes, and maximum interconnect length increase, the limitations of Al become increasingly apparent. In particular, interconnects having a width smaller than about 0.18 microns are desirable for the next generation of integrated circuits. However, at this dimension, the electromigration of aluminum can cause failures in the interconnect. The resistivity of Al also leads to unacceptably high resistances for long interconnects, which can lead to RC delay, i.e., a delay due to the time required for the energy stored in an interconnect to dissipated. Accordingly, new metals are needed to satisfy the requirements of the next generation of integrated circuits. [0006]
  • Copper (Cu) is currently being investigated as a replacement for aluminum in interconnects. Ryu, which was previously incorporated by reference, provides a review of the current state of the art with respect to copper interconnects. Cu has a bulk resistivity of 1.67 μΩ-cm, which is approximately 40% less than that of Al (2.66 μΩ-cm). Also, Cu exhibits resistance to electromigration superior to that of Al under similar circumstances, and lower RC delay. Thus, the lower resistivity of Cu accommodates a higher line density, i.e., a smaller width, while allowing for increased device speed. [0007]
  • Copper interconnects may be deposited by a variety of conventional procedures, such as physical vapor deposition (PVD), electroplating, and electroless plating. Chemical vapor deposition (CVD) is a viable method due to its superior step coverage and selective deposition capability. CVD involves the formation of a reaction product, copper in this case, on a substrate by thermal reaction or decomposition of gaseous compounds, referred to as precursors. Metal-organic CVD (MOCVD), which uses one or more organo-metallic precursors, is preferred for the CVD of copper because they may be used at relatively low temperatures. Preferred organo-metallic precursors include Cu[0008] +2(hfac)2 and Cu+2(fod)2, where hfac is an abbreviation for the hexafluoroacetylacetonate anion, and fod is an abbreviation for heptafluoro dimethyl octanediene.
  • A preferred process uses the volatile liquid complex copper[0009] +1(hfac)(tmvs) as a precursor, where tmvs is an abbreviation for trimethylvinylsilane, with argon as a carrier gas. Because this precursor is a liquid under ambient conditions, it can be utilized in standard CVD bubbler precursor delivery systems currently used in semiconductor fabrication. The deposition reaction is believed to proceed on a heated substrate according to the following mechanism, in which (s) denotes interaction with a surface and (g) denotes the gas phase.
  • (1) 2Cu[0010] +1(hfac)(tmvs) (g)→2Cu+1(hfac)(tmvs) (s)
  • (2) 2Cu[0011] +1(hfac)(tmvs) (s)→2Cu+1(hfac) (s)+2 (tmvs) (g)
  • (3) 2Cu[0012] +1hfac(s)→Cu(hfac) (s)+Cu+2(hfac)2 (s)
  • (4) Cu(hfac) (s)+Cu[0013] +2(hfac)(s)→Cu (s)+Cu+2(hfac)2(s)
  • In [0014] step 1, the precursor is adsorbed from the gas phase onto a metallic surface. In step 2, the precursor is dissociated to 2Cu+1(hfac) and 2 (tmvs). (tmvs) leaves the surface by desorption. In step 3, Cu(hfac) and Cu+2(hfac)2 are generated by electron exchange between surface Cu+1(hfac) species. In step 4, copper metal and volatile Cu+2(hfac)2 are formed by the migration of (hfac) groups. Cut+2(hfac)2 leaves the surface by desorption, leaving copper metal. The overall disproportionation reaction is described by the following equation:
  • 2Cu+1(hfac)(tmvs) (g)→Cu (s)+Cu−2(hfac)2(g)+2(tmvs) (g)
  • Both tmvs and Cu[0015] +2(hfac)2 are volatile byproducts of the deposition reaction that are exhausted from the chamber. Cu+2(hfac)2 does not contribute to further deposition because the temperature is much lower than that required for Cu+2(hfac)2 decomposition.
  • Cu[0016] +1(hfac)(tmvs) can be used as a precursor to deposit Cu through either a thermal process, or a plasma based process, referred to as plasma enhanced CVD (PECVD). The substrate is preferably held at a temperature between about 100 and 400° C. for PECVD of Cu from Cu+1(hfac)(tmvs). The substrate is preferably held at a temperature between about 150 and 220° C., and more preferably at about 170° C., for CVD of Cu from Cu+1(hfac)(tmvs) that is not plasma enhanced. Lower temperatures result in a very slow deposition rate, and higher temperatures may adversely affect the resistivity of the resultant interconnect. Thermal CVD is typically preferred over PECVD due to the lower temperatures typically involved with thermal CVD.
  • However, copper may diffuse into surrounding dielectric or insulating layers, as well as the underlying silicon substrate, and interfere with the desirable properties of those layers. This problem also exists with aluminum, and it is known to use a barrier layer to separate such interconnects from other features. Barrier layers for aluminum interconnects are commonly made from materials that include tantalum (Ta), tantalum nitride (TaN), titanium (Ti), and titanium nitride (TiN). It is also known to use a barrier layer to separate copper interconnects from other features. Barrier layers used to separate copper interconnects from other features include those listed above for use with aluminum interconnects. However, while the interaction between these barrier layers and aluminum has been intensively studied, the interaction with Cu may be different. In particular, there is often poor adhesion between barrier layers and the copper interconnects deposited on the barrier layers, which may lead to dewetting and device failures due to high via resistance and poor electromigration resistance. This problem is particularly pronounced with Cu interconnects deposited by CVD, but may also exist to a lesser extent with Cu deposited by other methods, such as PVD, electroplating, and electroless plating. In addition, an improper selection of a barrier layer may lead to problems with the growth of the copper interconnect, interfacial contamination, and/or an undesirable microstructure in the copper. With respect to CVD, efforts at solving these problems have largely been directed to attempts to prevent chlorine and fluorine present in the precursors from incorporating into the copper films. [0017]
  • Layers of Cu deposited by PVD have typically demonstrated better adhesion to conventional barrier layers than layers of Cu deposited by CVD. However, CVD is preferred over PVD for other reasons, such as superior trench and via fill. To take advantage of the favorable properties of both CVD and PVD, it is known to deposit a seed layer of Cu by PVD for good adhesion to the underlying barrier layer, followed by the deposition of Cu by CVD to achieve superior trench and via fill. However, using both CVD and PVD requires extra process steps which increases manufacturing time and cost. It is also known to anneal CVD deposited Cu after deposition to enhance adhesion. See id. [0018]
  • SUMMARY OF THE INVENTION
  • The present invention provides a method for improving the adhesion of copper and other conductive metals to a substrate, such as a barrier layer. A barrier is provided that has a first surface that is substantially unoxidized. A copper layer is then deposited onto the first surface of the barrier layer. The substantially unoxidized state of the first surface enhances the adhesion of the copper layer to the barrier layer. The substantially unoxidized first surface of the barrier layer may be provided by preventing oxidation of the barrier layer subsequent to its deposition, or by removing or displacing oxidation from at least a portion of the barrier layer surface prior to deposition of the conductive metal. Further, an adhesion promoting material may be added to the barrier layer which ensures that at least a portion of the barrier surface remains free from oxidation. In the case of copper, the copper may be deposited by a variety of processes, including chemical vapor deposition (CVD), physical vapor deposition (PVD), electroless plating, and electroplating, for example. [0019]
  • The substantially unoxidized first surface of a barrier layer may be provided by including a noble metal in the barrier layer. This noble metal may be selected from the group consisting of gold (Au), silver (Ag), platinum (Pt), chromium (Cr), nickel (Ni), and palladium (Pd), for example. The barrier layer may consist essentially of the noble metal, or may be doped with the noble metal, so that at least a portion of the surface of the barrier layer will not be oxidized. The barrier layer may include an adhesion promotion layer of the noble metal. The noble metal may be added to the barrier layer by ion implantation and other techniques known in the art. [0020]
  • The substantially unoxidized first surface may also be provided by including a refractory metal that forms a volatile oxide at the barrier layer surface, using the techniques described above with reference to noble metals. This refractory metal may be selected from the group consisting of tungsten (W) and molybdenum (Mo), for example, but not by way of limitation. [0021]
  • The environment to which the barrier layer is exposed may also be controlled to minimize oxidation prior to application of the metal-comprising interconnect material. For example, the deposition of copper may be started while the deposition of the barrier layer is still proceeding. When the copper is deposited by CVD, the material of the barrier layer may be incorporated into the precursor during at least the first portion of the CVD deposition. Oxidation of the barrier layer during the deposition of copper by chemical vapor deposition (CVD) may be avoided by using a precursor that is substantially free of water. [0022]
  • The substantially unoxidized first surface may be provided by removing oxide from the surface of barrier layer using techniques such as ion bombardment, chemical reaction to produce a volatile species, and contact with a displacing material, for example, and not by way of limitation.[0023]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows a metallization geometry useful for Cu interconnects in accordance with the present invention. [0024]
  • FIG. 2 shows a diagram'of an exemplary integrated cluster tool of the kind useful in controlling the ambient to which a substrate surface is exposed during the PVD deposition of barrier layers and the CVD deposition of metal-comprising interconnect layers. [0025]
  • FIG. 3 shows an XPS peak for tantalum for a sample having a Ta barrier layer onto which Cu was deposited using a [0026] Cupra 2500 precursor.
  • FIG. 4 shows an XPS peak for oxygen for the sample of FIG. 3. [0027]
  • FIG. 5 shows an XPS peak for tantalum in a sample similar to that of FIG. 3, but where the Cu was deposited using a [0028] Cupra 2504 precursor.
  • FIG. 6 shows an XPS peak for oxygen for the sample of FIG. 5. [0029]
  • FIG. 7 shows a SIMS profile for a sample having a Ta barrier layer. [0030]
  • FIG. 8 shows a SIMS profile for a sample having a Ni barrier layer. [0031]
  • FIG. 9 shows a SIMS profile for a sample having a Pt barrier layer.[0032]
  • DETAILED DESCRIPTION OF THE INVENTION
  • The present invention provides a copper interconnect having excellent adhesion to an underlying barrier layer. While not intending to be limited by the theory as to how the present invention works, the inventors believe that poor adhesion between metal-comprising interconnect depositions and barrier layers is typically caused by oxidation present on the surface of conventional barrier layers fabricated by conventional methods. This oxidation appears to be especially harmful when copper is the metal-comprising interconnect material. [0033]
  • 1. Definitions [0034]
  • As a preface to the detailed description, it should be noted that, as used in this specification and the appended claims, the singular forms “a”, “an”, and “the” include plural referents, unless the context clearly dictates otherwise. Thus, for example, the term “a semiconductor” includes a variety of different materials which are known to have the behavioral characteristics of a semiconductor, and reference to “copper” includes alloys thereof. [0035]
  • Specific terminology of particular importance to the description of the present invention is defined below. [0036]
  • The term “aspect ratio” refers to, but is not limited to, the ratio of the height dimension to the width dimension of a particular feature. When the feature has more than one width dimension, the aspect ratio is typically calculated using the smallest width dimension of the feature. For example, a contact via opening which typically extends in a tubular form through multiple layers has a height and a diameter, and the aspect ratio would be the height of the tubular divided by the diameter. The aspect ratio of a trench would be the height of the trench divided by the minimal width of the trench, which typically occurs at its base. [0037]
  • The term “copper” refers to copper and alloys thereof, wherein the copper content of the alloy is at least 80 atomic %. The alloy may comprise more than two elemental components. [0038]
  • The term “decoupled plasma source” refers to a plasma generation apparatus which has separate controls for power input to a plasma source generator and to a substrate bias device. The substrate bias voltage affects the ion bombardment energy on the substrate surface. This decoupled plasma source typically incorporates measures to separate (decouple) the influence of the plasma source power and bias power on one another. [0039]
  • The term “feature” refers to, but is not limited to, contacts, vias, trenches, and other structures which make up the topography of the substrate surface. [0040]
  • The term “FWHM” refers to a conmnonly reported indication of aluminum texture. The FWHM is obtained from an X-ray diffraction “Rocking Curve”, which is a measurement obtained by rotating (rocking) a sample through the specified Bragg angles of its phases while the X-ray detector is fixed at 2θ. The FWHM, expressed in degrees, represents the number of degrees spanned by the width of the curve at half its maximum height. A wider curve, spanning a larger number of degrees, indicates that the crystallographic orientation of interest is not highly textured. A narrow curve, spanning a limited number of degrees is a strong signal, indicating a larger quantity of the crystallographic orientation of interest (a high texture). The FWHM measurement is preferred over the diffraction intensity, since it is less sensitive to the measurement variables and is a direct indicator of the degree of texture for a given sample. The Rocking Curve has become a standard indicator of electromigration resistance for a deposited aluminum film, since electromigration is directly related to crystallographic orientation of the aluminum. [0041]
  • The term “high density plasma sputter deposition” refers to, but is not limited to a sputter deposition (preferably a magnetron sputter deposition), where a high density, inductively coupled RF plasma is created between the sputtering cathode and the substrate support electrode, whereby at least a portion of the sputtered emission is in the form of ions at the time it reaches the substrate surface. [0042]
  • The term “SIMS” refers to a secondary ion mass spectrometer. [0043]
  • The term “traditional sputtering” refers to a method of forming a film layer on a substrate wherein a target is sputtered and the material sputtered from the target passes between the target and the substrate to form a film layer on the substrate, and no means is provided to ionize a substantial portion of the target material sputtered from the target before it reaches the substrate. One apparatus configured to provide traditional sputtering is disclosed in U.S. Pat. No. 5,320,728, the disclosure of which is incorporated herein by reference. In such a traditional sputtering configuration, the percentage of target material which is ionized is less than 10%, more typically less than 1%, of that sputtered from the target. [0044]
  • The term “XPS” refers to X-ray photo electron spectroscopy. [0045]
  • 2. An Apparatus for Practicing the Invention [0046]
  • A process system which can be used to carry out substrate pre-cleaning steps (typically ion bombardment), the deposition of barrier layers and the deposition of copper seed layers is the ENDURA® Integrated Processing System available from Applied Materials, Inc. (Santa Clara, Calif.) The system is shown and described in U.S. Pat. Nos. 5,186,718 and 5,236,868, the disclosures of which are incorporated by reference. FIG. 2 shows one configuration of an ENDURA® Integrated Processing System [0047]
  • 3. Preferred Embodiments of the Present Invention [0048]
  • With respect to Cu deposited by CVD using copper[0049] +1(hfac)(tmvs) as a precursor in particular, the inventors believe that a metallic or electrically conductive barrier layer having a non-oxidized surface facilitates the electron transfer that occurs in step (3) of the deposition reaction described in the background section, while an oxidized barrier layer surface inhibits this electron transfer. It is believed that such oxidation similarly inhibits steps necessary for good adhesion in other deposition processes for Cu, such as PVD, electroplating, and electroless plating. The present invention provides a barrier layer having a substantially unoxidized surface, onto which Cu can be deposited such that there is goos adhesion between the Cu and the barrier layer. “Substantially unoxidized” means having a metallic surface that facilitates the deposition of Cu, relative to an oxidized surface. Preferably, the substantially unoxidized surface has less than a single monolayer of oxide. At a minimum, at least portions of the barrier layer surface are free from the presence of oxygen atoms.
  • There are several ways to provide a barrier layer having a substantially unoxidized surface. A barrier layer material may be chosen that does not oxidize under the conditions to which it will be exposed, using thermodynamic, kinetic, or other criteria. The barrier material may be a noble metal known to be resistant to oxidation under many conditions, such as gold (Au), silver (Ag), platinum (Pt), chromium (Cr), nickel (Ni), and palladium (Pd). The barrier material may be a refractory metal, such as tungsten (W) and molybdenum (Mo), that forms a volatile oxide, i.e., an oxide that vaporizes under vacuum conditions,. The barrier may be made of a material that is not necessarily resistant to oxidation, but is doped with a material resistant to oxidation to improve the oxidation resistance of the barrier layer and to ensure the presence of non-oxidized surface areas on the barrier layer. A material resistant to oxidation may also be incorporated into at least the initial portion of the Cu deposition process to enhance adhesion. For example, a noble metal may be incorporated into a precursor used to deposit Cu by CVD. [0050]
  • The environment to which the barrier layer is exposed may also be controlled to minimize oxidation. The preferred embodiment of the present invention includes the use of materials that are not necessarily resistant to oxidation, but where the environment can be controlled to produce a surface substantially free of oxide at the time of Cu deposition. Cu may be deposited shortly after depositing a barrier material, such that there is not time for the barrier material to oxidize. The deposition of Cu may be started before the deposition of the barrier layer is complete, such that the barrier layer has no time to form an oxide, and there is an interface at which Cu is intimately mixed with the material of the barrier layer. A vacuum or a controlled non-oxidizing environment is preferably maintained over the barrier layer until the Cu is deposited, for example by performing the deposition of the barrier layer and the Cu in the same vacuum chamber or in connected vacuum chambers, where the chamber ambients are non-oxidizing and may include a flow-through nonreactive gas which sweeps across the barrier layer surface to prevent oxidation of such surface. [0051]
  • The barrier layer may comprise multiple layers, where the top layer is an adhesion promotion layer onto which the Cu is to be deposited, such that the underlying layers may be fabricated of materials to which Cu may not adhere as well, but which have other desirable properties. Such an adhesion promotion top layer may be fabricated by depositing layers of barrier material in sequence. Alternatively, the barrier layer may include an adhesion-promoting dopant that preferentially migrates to the surface of the barrier layer. An annealing step may be performed to segregate such a dopant to the surface. [0052]
  • The material and method of fabricating the barrier layer are preferably chosen such that the barrier is a conformal layer that prevents diffusion of Cu into surrounding materials, adheres well to the underlying material, has good conductivity, and does not adversely affect the properties of the underlying materials. As a result, some barrier layers and methods that provide a surface substantially free of oxide onto which Cu may be deposited may not be suitable for use in some devices, yet may be suitable for use in others. [0053]
  • Thermodynamic Selection of Suitable Barrier Materials
  • Some metals are known to be resistant to oxidation under a variety of environments, including environments to which barrier layers are typically exposed. These metals include gold (Au), silver (Ag), platinum (Pt), chromium (Cr), nickel (Ni), and palladium (Pd). Other metals are known to have oxides that are volatile under the vacuum conditions to which barrier layers are exposed, such as tungsten (W) and molybdenum (Mo). Depending upon criteria unrelated to adhesion, such as compatibility with the rest of the device and processing complexity and cost, any of these metals may be preferred for use as a barrier layer, a dopant or component in a barrier layer, and/or an adhesion promotion top layer of a barrier layer. [0054]
  • Thermodynamic criteria may be used to select a material resistant to oxidation for use as a barrier layer. For example, Table 1 shows the Heat of oxide formation for various oxides of materials used in semiconductor fabrication. [0055]
    TABLE 1
    Heat of oxide formation
    Compound Heat of Formation
    Al2O3 −399 K cal/mole
    Au2O3 11 K cal/mole
    CuO −38.5 K cal/mole
    Cu2O −43 K cal/mole
    MgO −143.84 K cal/mole
    NiO −58.4 K cal/mole
    PdO −20.4 K cal/mole
    SiO2 −202 K cal/mole
    TiO2 −214 K cal/mole
    Ta2O5 −486 K cal/mole
  • In addition to resistance to oxidation, the conductivity of the barrier layer material may also be considered. Proposed materials and their resistivities include: Au (2.4 μΩ-cm), Co (9 μΩ-cm), Ni (7 μΩ-cm), Pt (10.5 μΩQ-cm), Pd (10.8 μΩ-cm). Typically an acceptable material will have a resistivity of less than about 50 μΩ-cm. [0056]
  • Environmental Control to Reduce Oxidation of the Barrier Layer
  • Depending upon the barrier material used, the amount of oxide that forms on the barrier layer may be sensitive to the environment to which the barrier layer is exposed. Preferably, the barrier material is selected such that significant amounts of oxide do not form under a variety of environments, such that careful control of the environment is not necessary. However, barrier materials that do form oxides may be used within the present invention by controlling the environment to which the barrier layer is exposed: Relevant parameters include the partial pressure of oxygen and/or amount of moisture to which the barrier layer is exposed, the temperature during such exposure, the presence of a non-oxidizing purge gas for removal of oxygen which may be available within the process chamber from various sources, and the duration of exposure prior to the deposition of Cu. [0057]
  • For Cu deposited by CVD, the precursor used to deposit the Cu may affect the oxidation of the barrier material. For example, the commonly used β-diketonate ligand, hfac, is a potential contamination source of oxygen and/or water (as well as fluorine and carbon). Indeed, water is conventionally added to precursors such as copper[0058] +1(hfac)(tmvs), for example by hydrating the hfac, to increase the Cu deposition rate. The inventors' analysis shows that addition of such water is detrimental to the adhesion of Cu to the barrier layer, because the water oxidizes the surface of the barrier layer onto which the Cu is to be deposited. A precursor that contains only very low amounts of water and oxygen, and that is preferably essentially free of those substances, may be used to reduce oxidation.
  • The use of a precursor having a low amount of water is contrary to the conventional use of water to enhance CVD deposition rate. However, any oxidation of the barrier layer due to water in the precursor happens before or during the deposition of the first few atomic layers of Cu, i.e., during the first few seconds of the Cu deposition. As a result, good adhesion may be obtained by using a precursor having a reduced amount of water to deposit a nucleation layer of Cu by CVD. Good deposition rates may be then obtained by depositing Cu by CVD using a precursor having more water, or adding water to the same precursor which is used to deposit the nucleation layer of Cu. This process preferable to the deposition of a nucleation layer by PVD, followed by CVD deposition, because the deposition of Cu is by CVD only, which reduces manufacturing complexity. [0059]
  • Use of Ion Bombardment for Removal of Oxidation from the Barrier Layer Surface
  • Ion bombardment may be used for “plasma cleaning” or “sputter cleaning” of a barrier layer surface just prior to deposition of a copper nucleation layer. Techniques for ion bombardment of a semiconductor substrate surface are well known in the art and will not be discussed in detail herein. It is also possible to use ion bombardment during the initial application of the copper nucleation layer to further facilitate adhesion of this nucleation layer to an underlying barrier layer surface. [0060]
  • Metallization Geometry
  • FIG. 1 shows a metallization geometry useful for Cu interconnects in accordance with the present invention. The metallization geometry of FIG. 1 is preferably fabricated in accordance with a DRY FILL™ process provided by Applied Material, Inc. of Santa Clara, Calif., which includes CVD followed by PVD. A [0061] substrate 12, preferably made of a dielectric material, has a via 14 with a high aspect ratio. However, the present invention may be beneficial in cooperation with vias having any aspect ratio. Via 14 has walls 18 and a floor 20. A thin barrier layer 16 is deposited directly onto substrate 12 covering substantially all surfaces, including walls 18 and floor 20 of via 14. The thin barrier layer 16 will generally have a thickness of between about 150 Å and about 1,000 Å. However, because the barrier layer contributes to the overall resistivity of the interconnect, the preferred thickness is in a range of between about 150 Å and about 350 Å. A conformal CVD Cu layer 22 is deposited on the barrier layer 16 to a desired thickness not to exceed the thickness which would seal the top of the contact or via. Barrier layer 16 is fabricated using a material and/or process such that the surface between barrier layer 16 and Cu layer 22 is substantially free of oxide when Cu layer 22 is deposited. A PVD Cu layer 23 is then deposited onto the CVD Cu layer 22. The interface between CVD Cu layer 22 and PVD Cu layer 23 is shown as a dotted line, because the interface should not be apparent after PVD Cu layer 23 is deposited, i.e., CVD Cu layer 22 and PVD Cu layer 23 form a single integrated Cu layer. Top surface 26 of PVD Cu layer 23 may then planarized by known methods, such as chemical mechanical polishing (CMP). The Mirra System available from Applied Materials of Santa Clara, Calif. is one CMP apparatus which may be used to advantage. PVD Cu layer 23 may be doped with dopants such as tin (Sn) to alter the electrical properties of PVD Cu layer 23. The process may be controlled such that these dopants disperse into CVD Cu layer 22 as well, thereby altering the electrical properties of the integrated Cu layer. In general, however, PVD Cu layer 23 does not need to be doped.
  • Preferred Embodiment-Fabrication Apparatus
  • The methods of the present invention are preferably carried out in an integrated cluster tool that has been programmed to process a substrate accordingly. For example, U.S. Pat. No. 5,186,718, entitled “Staged-Vacuum Wafer Processing System and Method,” Tepman et al., issued on Feb. 16, 1993, which is incorporated herein by reference, discloses a one staged-vacuum wafer processing system. [0062]
  • FIG. 2 shows a diagram of an exemplary [0063] integrated cluster tool 60. Cluster tool 60 is preferably equipped with a microprocessor controller programmed to carry out the processing methods. Substrates may be introduced into cluster tool through a cassette loadlock 62. A robot 64 having a blade 67 transfers the substrate from cassette loadlock 62 through a buffer chamber 68 to a degas wafer orientation chamber 70 and then to a preclean chamber 72. Degassing and precleaning may be performed in these chambers using techniques known to the art.
  • [0064] Robot 64 then transfers the substrate to a robot 78 located in a transfer chamber 80.
  • [0065] Robot 78 positions the substrate in chamber 82, where a barrier layer is deposited in accordance with the present invention. Robot 78 then positions the substrate in a CVD chamber 84, where a Cu layer such as Cu layer 22 of FIG. 1 is deposited by CVD. Robot 78 then positions the substrate in a PVD chamber 86, where a PVD Cu layer such as PVD Cu layer 23 of FIG. 1 is deposited by PVD. The substrate is then passed back through the transfer chamber 80, cooldown chamber 76 and buffer chamber 68 for removal through loadlock 62. The substrate may then be polished in a chemical mechanical polishing apparatus (not shown) for planarization, using techniques known to the art.
  • During the above described fabrication steps, the substrate may be processed or cooled in one or more chambers any number of times in any order to accomplish fabrication of the desired structure on the substrate. The exact arrangement and combination of chambers may be altered for purposes of performing specific steps of a fabrication process. [0066]
  • The foregoing is merely illustrative of a possible processing sequence, other sequences may be preformed according to the present invention. For example, for the fabrication of a traditional barrier layer such as a Ta barrier layer, having an adhesion promotion layer in accordance with the present invention, the substrate may be delivered to an [0067] IMP chamber 88 for deposition of Ta, then to PVD chamber 86 for deposition of an adhesion promotion layer of Ni or Pt, for example, prior to the deposition of Cu layers.
  • Cu Deposited by PVD, Electroless Plating, and Electroplating
  • The foregoing description has focused primarily on barrier layers for use with CVD Cu. However, the barrier layers of the present invention may be used to advantage with any method of depositing Cu where the oxidation or conductivity of the surface onto which the Cu is deposited affects the deposition or adhesion of the Cu, or an electron transfer on a conductive surface occurs in one of the reaction steps. These methods include PVD, electroplating, and electroless plating. For example, electroplating Cu fill and electroless plating of Cu both involve electron transfer on a conducting surface. [0068]
  • In electroless Cu plating, Cu atoms are supplied to a film surface by catalytic reduction of aqueous Cu ions. The electrons for the Cu reduction are provided by the oxidation of a reducing agent in the deposition bath. The oxidation of the reducing agent, in turn, is catalyzed only on conductive surfaces. A typical Cu electroless process is represented by the following equation:[0069]
  • Cu+2+2HCHO+4OH→Cu0+H2+2HCOO+2H2O
  • where Cu ions are supplied from a Cu sulfate pentahydrate solution (CuS[0070] 4O.5H2O). The conductive surface may be any of the barrier layers of the present invention deposited by any conventional method and as described above.
  • Cu electroplating affords a number of advantages over electroless plating including superior trench and via fill because the deposition parameters are easily controlled. Cu electroplating typically involves a sulfuric acid plating bath and a Cu sulfate solution. The reaction is a simple dissociation of Cu sulfate and a reduction of Cu ions:[0071]
  • CuSO4→Cu2++SO4 2−
  • As with CVD Cu and electroless Cu, the reduction of the Cu ions in electroplating requires a conductive surface. The barrier layers of the present invention are ideally suited for this purpose and may be deposited by any known method and as described above. High density plasma sputter deposition is a preferred method of deposition. [0072]
  • EXAMPLES Example 1
  • Oxidation of Ta [0073]
  • Several samples were prepared, each having a 200 Å barrier layer of Ta deposited on a substrate having a structured SiO[0074] 2 layer. The Ta was deposited using a high density plasma sputtering process. Cu was then deposited onto the barrier layers by CVD to a thickness of about 1000 Å. Another Cu layer was then deposited by PVD to a thickness of about 1 micron.
  • In particular, the Ta barrier layer was deposited using a Vectra™ (Applied Materials, Inc. high density plasma source. The CVD Cu was deposited using Cupra select (hfac), 2504 blend, Cu (tmvs) precursor supplied by Schumacher, which was delivered to a “shower head” distributor using a direct liquid injection system. The substrate platen (cathode) heater temperature was maintained between 180° C. and about 200° C. The CVD reactor process pressure was maintained at about 1.5 Torr with helium as the carrier gas. The PVD Cu deposition was carried out using a 280 mm target-substrate spacing Cu sputtering source (a long-throw or γ copper source) developed by Applied Materials, Inc. [0075]
  • The conditions to which the Ta barrier later was exposed before the Cu was deposited by CVD were controlled. In particular, the samples were not removed from the vacuum chamber in between processes, and the time between processes and the amount of oxygen in the chamber during that time were controlled as indicated in Table 2. After the PVD Cu was deposited, the adhesion between the CVD Cu and the Ta barrier layer was tested using the common tape test method, using both the blank and scribe tape tests. “Blank” indicates an undisturbed deposition layer, while “scribe” indicates that the layer has been purposefully scarred in order to determine the localized adhesion strength of the CVD Cu layer to the barrier layer. Descriptions of the blank and scribe tape tests are presented in the following articles: B. N. Chapman, J. Vac. Sci. Technol 11 (1974), 106; and P. A. Steinmann and H. E. Hintermann, J. Vac. Sci. Technol. A 7 (1989), 2267. [0076]
  • Table 2 summarizes the results of those tests. [0077]
    TABLE 2
    Effect of Ta Exposure to Various Ambients
    Exposure Tape Test
    Sample Ambient Time Blank Scribe
    1. IMP Ta (200 Å)/CVD Cu 1E-09 Torr 0 min Pass Pass
    (1 KÅ)/PVD Cu (1 μm)
    2. IMP Ta (200 Å)/CVD Cu 1E-09 Torr 5 min Pass Fail
    (1 KÅ)/PVD Cu (1 μm)
    3. IMP Ta (200 Å)/CVD Cu 3E-08 Torr 5 min Pass Fail
    (1 KÅ)/PVD Cu (1 μm)
    4. IMP Ta (200 Å)/CVD Cu 3E-07 Torr 5 min Pass Fail
    (1 KÅ)/PVD Cu (1 μm)
    5. IMP Ta (200 Å)/CVD Cu 1 m Torr 5 min Pass Fail
    (1 KÅ)/PVD Cu (1 μm)
    6. IMP Ta (200 Å)/CVD Cu 100 m 5 min Fail Fail
    (1 KÅ)/PVD Cu (1 μm) Torr
  • Table 2 shows that increasing the amount of oxygen to which the Ta barrier layer is exposed weakens the adhesion between the Ta and the CVD Cu, and that oxidation on the surface of the barrier layer decreases the adhesion of a subsequently deposited Cu layer. Table 2 also shows that the adhesion of Cu to Ta may be improved by controlling the conditions to which the Ta barrier layer is exposed prior to the deposition of Cu to minimize the amount of oxide that forms on the surface of the Ta layer. In particular, the adhesion of Cu to Ta was particularly good in [0078] Sample 1. While replication of the exact conditions used to fabricate Sample 1 may not be practical in large scale production, the present invention contemplates other, more practical ways of providing a surface of a barrier layer substantially free of oxide onto which Cu may be deposited.
  • Example 2
  • Barrier Layer Materials [0079]
  • Several samples were prepared, each having a barrier layer deposited on a substrate having a structured SiO2 layer. The barrier layers were deposited to a thickness of about 200 Å, and were made of various materials as shown in Table 3. The Ta and TaN barrier layers were deposited in an ionized metal plasma chamber (IMP). The TiN barrier layer was deposited by CVD. The Ni and Pt were deposited using standard, traditional sputtering technique on a DC magnetron Endura® platform of the kind known in the art. The Ni and Pt substrates were exposed to ambient atmospheric conditions for approximately 2 days prior to CVD Cu deposition. [0080]
  • Cu was then deposited onto the barrier layers by CVD using the same general process parameters and materials described with respect to Example 1. The samples were then tested by the conventional tape test method, as described with respect to Example 1. [0081]
    TABLE 3
    Tape Test Results on Traditional Barrier Layers
    vs. Barrier Layers of the Present Invention
    Sample Tape Test
    1. IMP Ta (200 Å)/CVD Cu (1 KÅ) Fail Fail
    2. IMP TaN (200 Å)/CVD Cu (1 KÅ) Fail Fail
    3. CVD TiN (200 Å)/CVD Cu (1 KÅ) Fail Fail
    4. Ni (200 Å)/(CVD Cu (1 KÅ) Pass Pass
    5. Ni (200 Å)/(CVD Cu (4 KÅ) Pass Pass
    6. Pt (200 Å)/CVD Cu (1 KÅ) Pass Pass
    7. Pt (200 Å)/CVD Cu (4 KÅ) Pass Pass
    8. Pt (200 Å)/CVD Cu (8 KÅ) Pass Pass
    9. IMP Ta (200 Å)/PVD Cu (200 Å)/CVD Cu (1 KÅ) Pass Pass
  • As can be seen from Table 3, the samples in which Cu was deposited by CVD onto barrier layers made of conventional materials used with Al, such as Ta, TaN, and TiN, failed the tape test. The inventors believe that this failure may be attributed to the formation of an oxidation layer on the barrier layer. Conversely, the samples having barrier layers made of materials that, according to the inventor's analysis, are unlikely to form significant oxide layers passed the tape test. In particular, samples having Ni and Pt barrier layers passed the tape test. [0082]
  • Cu deposited by PVD is apparently less sensitive to oxidation on the surface of the barrier layer to which the Cu is deposited, as shown by Sample 9, in which a PVD Cu layer passed the tape test. However, the inventor's analysis shows that the adhesion of Cu deposited by PVD may also be enhanced by the present invention. [0083]
  • Example 3
  • Adhesion of CVD Cu Using a Low Moisture Precursor [0084]
  • Several samples were prepared, each having a barrier layer deposited on a substrate having a structured SiO[0085] 2 layer. The barrier layers were deposited to a thickness of about 200 Å, and were made of various materials as shown in Table 4. The Ta, TaN, and TiN barrier layers were deposited in a manner similar to that described for Example 2. The substrates were then exposed to clean room ambient conditions for about 3 minutes while the substrates were transferred from one chamber loadlock to another chamber loadlock through ambient air.
  • Cu was then deposited onto the barrier layers by CVD from a [0086] Cupra Select 2500 blend precursor, available from Schumacher, Carlsbad, Calif. This precursor is formulated to have a low moisture content (below about 2,500 ppm). The temperature during the deposition of Cu was between about 200 and 260° C. The CVD apparatus and general process parameters used were the same as that described with reference to the previous examples. The samples were then tested by the conventional tape test method, as described with respect to Example 1. The results of these tests are summarized in Table 4. Note that when there is no mention of “air” in Table 4, the substrates were moved under a controlled environment at about 10−7 Torr, at room temperature, over a time period of less than about one minute. Whenever there is a mention of “air”, the barrier layers were exposed to clean room ambient for about e minutes during transfer from one chamber to another.
    TABLE 4
    Tape Test Results Cu Deposited from a Low Moisture Precursor
    Sample Tape Test
    1. IMP Ta (200 Å)/CVD Cu (1 KÅ) Pass Pass
    2. IMP TaN (200 Å)/CVD Cu (1 KÅ) Pass Pass
    3. CVD TiN (200 Å)/air/CVD Cu (1 KÅ) Pass Pass
    4. IMP TaN (200 Å)/air/CVD Cu (1 KÅ) Pass Fail
    5. IMP Ta (200 Å)/air/CVD Cu (1 KÅ) Pass Fail
    6. IMP Ta (200 Å)/CVD Cu (3 KÅ) Pass Pass
    7. IMP TaN (200 Å)/CVD Cu (3 KÅ) Pass Pass
    8. IMP Ta (200 Å)/PVD Cu (200 Å)/CVD Cu (8 KÅ) Pass Pass
  • As can be seen from Table 4, CVD Cu deposited from a low moisture precursor has adhesion to the barrier layer sufficient to pass the tape test under most of the circumstances tested. The only failures were for the scribe test, where the barrier layer had been exposed to air prior to the deposition of Cu. This failure probably occurred because the exposure to air formed enough oxide to inhibit the adhesion of copper, regardless of how little oxide was formed due to moisture in the precursor. It is believed that TiN forms oxide at a lower rate than Ti and TaN, which explains why the TiN sample exposed to air passed the scribe test, while the Ti and TaN samples failed. [0087]
  • Example 4
  • XPS Analysis of Ta/CVD Cu Interfaces [0088]
  • Two samples were prepared, each having a Ta barrier layer deposited on a substrate having a structured SiO[0089] 2 layer. The barrier layers were deposited to a thickness of about 250 Å. The Ta barrier layers were deposited in a manner similar to that described for Example 3. The substrates were then transferred to the CVD deposition chamber by passing them through clean room ambient on a wafer holder over a time period of less than about 3 minutes, as described with reference to Example 3. The CVD apparatus and process parameters used for CVD Cu deposition were the same as described with reference to previous examples.
  • Cu was then deposited onto the barrier layers by CVD using a [0090] Cupra Select 2500 blend precursor for one sample, and a Cupra Select 2504 blend for the other, both available from Schumacher.
  • The primary difference between [0091] Cupra 2500 and Cupra 2504 is that Cupra 2500 has a lower moisture content, i.e., Cupra 2504 is basically Cupra 2500 which has been hydrated using a proprietary method of Schumacher. The precise moisture content is not known, but is greater than 2,500 ppm. The sample prepared using the Cupra 2500 precursor passed the both the scribe and blank adhesion tests. The sample prepared using the Cupra 2504 precursor failed that test, showing that the sample prepared with the low moisture precursor had better adhesion between the barrier layer and the Cu.
  • FIGS. 3, 4, [0092] 5 and 6 shows X-ray photoelectron spectroscopy (XPS) plots for the two samples. FIG. 3 shows an XPS peak for tantalum in the sample prepared using the Cupra 2500 precursor. FIG. 4 shows an XPS peak for oxygen in the sample prepared using the Cupra 2500 precursor. FIG. 5 shows an XPS peak for tantalum in the sample prepared using the Cupra 2504 precursor. FIG. 6 shows an XPS peak for oxygen in the sample prepared using the Cupra 2504 precursor. The “x” axis is the binding energy in eV; the “y” axis is the counts per second; and, the “z” axis is the sputter time in minutes. The plotted tantalum peaks of FIGS. 3 and 5 are Ta4d5 peaks, which are caused by Ta in contact with Cu. The plotted oxygen peaks of FIGS. 4 and 6 are O1s peaks, caused by the presence of oxygen.
  • A comparison of FIGS. 3 and 4 to FIGS. 5 and 6 show that there is less oxidation at the interface between the copper and the tantalum in the sample prepared with the low moisture precursor Cupra 2500 (FIGS. 3 and 4) than in the sample prepared with the higher moisture precursor Cupra 2504 (FIGS. 5 and 6). In particular, the FWHM signal of FIG. 5 is broader than that of FIG. 3, the oxygen peak of FIG. 4 is shifted with respect to that of FIG. 6. In particular, the FWHM for tantalum from the 50 minute sputtering time to the 80 minute sputtering time for the 2500 Blend is about 60°, where the FWHM for tantalum from the 25 minute sputtering time to the 50 minute sputtering time for the 2504 Blend is about 40°. This broadening of the Ta signal FWHM for the Ta surface in contact with the CVD Cu from the 2500 Blend indicates that the Ta surface in contact with CVD Cu from the 2500 Blend is less oxidized than the Ta surface in contact with the 2504 Blend. The height of the oxygen peak for the corresponding time for the 2500 Blend shows the Oxygen counts per second (c/s) to be about zero; much smaller than for the 2504 Blend which shows a c/s of about 0.25 for the Oxygen signal, indicating less tantalum oxidation for the 2500 Blend. [0093]
  • Example 5
  • SIMS Analysis of CVD Cu on Pt, Ni. and Ta Barrier Layers [0094]
  • Several samples were prepared, each having a barrier layer deposited on a substrate having a structured SiO2 layer. The barrier layers were deposited to a thickness of about 200 Å. Three samples were prepared, having Pt, Ni, and Ta barrier layers, respectively. The barrier layers were fabricated as described in Example 2. The environment to which the barrier layers were exposed prior to CVD Cu was the same as previously described (The samples were placed in a box located in ambient atmospheric conditions at room temperature for approximately 2 days prior to CVD Cu deposition). [0095]
  • Cu was then deposited onto the barrier layers by CVD using the apparatus and general process parameters described with respect to previous examples and Cupra select 2504 Blend. The samples were then tested using both the scribe and blank conventional tape test methods, as described with respect to Example 1. The sample having a Ta barrier layer failed the tape test, while the sample having a Ni barrier layer and the sample having a Pt barrier layer passed the tape test. [0096]
  • FIGS. 7, 8 and [0097] 9 show SIMS profiles for the samples having a Ta, Ni, and Pt barrier layer, respectively. The x axis represents position in a direction perpendicular to the plane of the barrier layer. The y axis represents the concentration of various elements, in atoms per cubic centimeter. Plots 710, 720, 730, 740, 750 and 760 of FIG. 7 show the concentration of Cu, fluorine, carbon, oxygen, silicon and Ta, respectively, for the sample having a Ta barrier layer. Plots 810, 820, 830, 840, 850 and 860 of FIG. 8 show the concentration of Cu, fluorine, carbon, oxygen, silicon and Ni, respectively, for the sample having a Ni barrier layer. Plots 910, 920, 930, 940, 950 and 960 of FIG. 9 show the concentration of Cu, fluorine, carbon, oxygen, silicon and Pt, respectively, for the sample having a Pt barrier layer.
  • The interface between the Cu and the barrier layer is located approximately at the peak in the fluorine and carbon concentrations, where the Cu concentration begins to drop off. The similarity in the fluorine and carbon profiles, i.e., compare plots [0098] 720, 820 and 920, for fluorine and plots 730, 830 and 930 for carbon, combined with the different tape test results, show that differences due to fluorine and carbon, either at the interface between the Cu and the barrier layer or in the Cu matrix, are probably not responsible for the superior adhesion of the samples having Pt and Ni barrier layers.
  • The sample having a Ta barrier layer shows an oxygen peak at the interface between the Cu and the Ta barrier layer, see FIG. 7, plot [0099] 740 of FIG. 7, suggesting that the Ta oxidized prior to or during the deposition of Cu. The samples having Ni and Pt barrier layers do not have a corresponding peak, see FIGS. 8 and 9, plots 840 and 940, respectively, suggesting that the Ni and Pt did not significantly oxidize prior to or during the deposition of Cu. According to the inventors'analysis, this difference in the oxidation of the barrier layer is responsible for the superior adhesion properties of the samples having Pt and Ni barrier layers, relative to the sample having a Ta barrier layer.
  • Example 6
  • X-TEM Analysis of CVD Cu Deposited on Ex-Situ Pt and Ni [0100]
  • Two samples were prepared, each having a barrier layer deposited on a substrate having a structured SiO[0101] 2 layer. The barrier layers were deposited to a thickness of about 200 Å. One sample had a Pt barrier layer, and the other had a Ni barrier layer. The barrier layers were fabricated as described in Example 2. The environment to which the barrier layers were exposed prior to CVD Cu was the same as previously described (The samples were placed in a box located in ambient atmospheric conditions at room temperature for approximately 2 days prior to CVD Cu deposition).
  • Cu was then deposited onto the barrier layers by CVD using the apparatus and general process parameters described with respect to previous examples and Cupra select 2504 Blend. The samples were then tested using both the scribe and blank conventional tape test methods, as described with respect to Example 1. All samples passed the tape testing. [0102]
  • The samples were cross-sectioned and examined using X-ray transmission electron microscopy (X-TEM). There was no visible oxide layer on the Pt barrier layer, and the interface between the Pt and Cu was well defined. Patches of oxide were observed on the surface of the Ni barrier layer. In the regions where there was no visible oxide, the interface between the Ni and Cu appeared sharp and well defined. Evidently the small scattered portion of the interface between Ni and Cu which exhibited visible oxide presence was inadequate to cause failure during the tape testing of this sample. [0103]
  • While the foregoing is directed to preferred embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof. The scope of the invention is determined by the claims which follow. [0104]

Claims (37)

What is claimed is:
1. A method for depositing copper, comprising:
(a) providing a barrier layer having a first surface that is substantially unoxidized; and
(b) depositing a first copper layer onto the first surface of the barrier layer.
2. The method of claim 1, wherein the barrier layer has an adhesion promoting top layer on which the first surface is disposed.
3. The method of claim 1, wherein the first copper layer is deposited by chemical vapor deposition (CVD).
4. The method of claim 1, wherein the first copper layer is deposited by physical vapor deposition (PVD).
5. The method of claim 1, wherein the first copper layer is deposited by electroless plating.
6. The method of claim 1, wherein the first copper layer is deposited by electroplating.
7. The method of claim 1, wherein the first surface of the barrier layer includes a noble metal, in a quantity sufficient to affect the tendency of the first surface of the barrier layer to oxidize.
8. The method of claim 7, wherein the first surface of the barrier layer includes one or more metals selected from the group consisting of gold (Au), silver (Ag), platinum (Pt), chromium (Cr), nickel (Ni), and palladium (Pd), in a quantity sufficient to affect the tendency of the first surface of the barrier layer to oxidize.
9. The method of claim 8, wherein the first surface of the barrier layer consists essentially of one or more metals selected from the group consisting of gold (Au), silver (Ag), platinum (Pt), chromium (Cr), nickel (Ni), and palladium (Pd), in a quantity sufficient to affect the tendency of the first surface of the barrier layer to oxidize.
10. The method of claim 9, wherein said barrier layer is subsequently used as a seed layer for the electroless plating of copper.
11. The method of claim 9, wherein the first surface of the barrier layer consists essentially of gold (Au).
12. The method of claim 9, wherein the first surface of the barrier layer consists essentially of silver (Ag).
13. The method of claim 9, wherein the first surface of the barrier layer consists essentially of platinum (Pt).
14. The method of claim 9, wherein the first surface of the barrier layer consists essentially of Nickel (Ni).
15. The method of claim 9, wherein the first surface of the barrier layer consists essentially of palladium (Pd).
16. The method of claim 7, wherein the noble metal is added to the barrier layer by ion implantation.
17. The method of claim 1, wherein the first surface of the barrier layer includes a refractory metal that forms a volatile oxide, in a quantity sufficient to affect the tendency of the first surface of the barrier layer to oxidize.
18. The method of claim 17, wherein the first surface of the barrier layer includes one or more metals selected from the group consisting of tungsten (W) and molybdenum (Mo), in a quantity sufficient to affect the tendency of the first surface of the barrier layer to oxidize.
19. The method of claim 18, wherein the first surface of the barrier layer consists essentially of one or more metals selected from the group consisting of tungsten (W) and molybdenum (Mo), in a quantity sufficient to affect the tendency of the first surface of the barrier layer to oxidize.
20. The method of claim 19, wherein the first surface of the barrier layer consists essentially of tungsten (W).
21. The method of claim 19, wherein the first surface of the barrier layer consists essentially of molybdenum (Mo).
22. The method of claim 1, wherein the first copper layer is deposited from a precursor containing less than about 2,000 ppm of water.
23. The method of claim 1, wherein the first surface that is substantially unoxidized is provided by removing oxide from the surface of barrier layer.
24. The method of claim 23, wherein the oxide is removed using a process selected from the group consisting of ion bombardment, reactive cleaning by contact with a gas which reacts with the oxide to produce a volatile reaction product, and reactive cleaning by contact with a plasma species which reacts with the oxide to produce a volatile reaction product.
25. The method of claim 1, wherein the environment to which the first surface of the barrier layer is exposed, and the period of time that is allowed to elapse, between the completion of step (a) and the beginning of step (b), are controlled such that a substantial amount of oxide does not form on the first surface of the barrier layer.
26. The method of claim 1, wherein the deposition of Cu is started while the deposition o the barrier layer is still proceeding, and wherein the first surface refers to the part of the barrier layer deposited just before the deposition of Cu is started.
27. The method of claim 1, wherein the copper layer is deposited by CVD, and wherein a precursor used to deposit the first copper layer is combined with a material of the barrier layer prior to deposition during the period of time after step (b) is started and before step (a) is completed.
28. The method of claim 1, further comprising the step of depositing a second copper layer onto the first copper layer by chemical vapor deposition (CVD) using process parameters different than those used in step (b).
29. The method of claim 1, further comprising the step of depositing a second copper layer onto the first copper layer by physical vapor deposition (PVD) using process parameter different than those used in step (b).
30. A method for depositing layers onto a substrate, comprising:
(a) depositing a barrier layer onto a substrate, wherein a first surface of the barrier layer includes a noble metal in a quantity sufficient to affect the tendency of the first surface of the barrier layer to oxidize;
(b) depositing a first copper layer by chemical vapor deposition (CVD) onto the first surface of the barrier layer.
31. A method for depositing layers onto a substrate, comprising:
(a) depositing a barrier layer onto a substrate, wherein a first surface of the barrier layer includes refractory metals that form volatile oxides, in a quantity sufficient to affect the tendency of the first surface of the barrier layer to oxidize;
(b) depositing a first copper layer by chemical vapor deposition (CVD) onto a first surface of the barrier layer.
32. A method for depositing layers onto a substrate, comprising:
(a) depositing a barrier layer onto a substrate;
(b) depositing a first copper layer by chemical vapor deposition (CVD) onto a first surface of the barrier layer, wherein the first copper layer is deposited from a precursor that contains less than about 2,000 ppm of water.
33. A method for depositing layers onto a substrate, comprising:
(a) depositing a barrier layer onto a substrate;
(b) removing oxide from a surface of barrier layer;.
(c) depositing a first copper layer by chemical vapor deposition (CVD) onto the surface of the barrier layer.
34. A method for depositing layers onto a substrate, comprising:
(a) depositing a barrier layer onto a substrate; and
(c) depositing a first copper layer by chemical vapor deposition (CVD) onto the surface of the barrier layer;
wherein the environment to which the first surface of the barrier layer is exposed, and the period of time that is allowed to elapse, between the completion of step (a) and the beginning of step (b), are controlled such that a substantial amount of oxide does not form on the first surface of the barrier layer.
35. A method for depositing layers onto a substrate, comprising:
(a) depositing a barrier layer onto a substrate;
(b) depositing a first copper layer by chemical vapor deposition (CVD) onto the surface of the barrier layer, wherein, wherein the deposition of Cu is started while the deposition of the barrier layer is still proceeding.
36. A semiconductor wafer comprising:
a barrier layer; and
a copper layer deposited onto the barrier layer;
wherein the interface between the barrier layer and the copper layer is substantially free of oxides.
37. A method of preventing particulate formation during processing of a semiconductor substrate, wherein said processing includes deposition of a copper layer either by CVD or by electroless plating of copper, said method comprising depositing a non-oxidizing layer of material upon process apparatus surfaces prior to initiation of said deposition of said copper layer, whereby excess copper which is not deposited upon said semiconductor substrate is adhered to said non-oxidized layer of material which is present upon said process apparatus surfaces.
US10/024,689 1999-03-09 2001-12-17 Method for enhancing the adhesion of copper deposited by chemical vapor deposition Abandoned US20020119657A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/024,689 US20020119657A1 (en) 1999-03-09 2001-12-17 Method for enhancing the adhesion of copper deposited by chemical vapor deposition

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/265,290 US6362099B1 (en) 1999-03-09 1999-03-09 Method for enhancing the adhesion of copper deposited by chemical vapor deposition
US10/024,689 US20020119657A1 (en) 1999-03-09 2001-12-17 Method for enhancing the adhesion of copper deposited by chemical vapor deposition

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/265,290 Continuation US6362099B1 (en) 1999-03-09 1999-03-09 Method for enhancing the adhesion of copper deposited by chemical vapor deposition

Publications (1)

Publication Number Publication Date
US20020119657A1 true US20020119657A1 (en) 2002-08-29

Family

ID=23009845

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/265,290 Expired - Fee Related US6362099B1 (en) 1999-03-09 1999-03-09 Method for enhancing the adhesion of copper deposited by chemical vapor deposition
US10/024,689 Abandoned US20020119657A1 (en) 1999-03-09 2001-12-17 Method for enhancing the adhesion of copper deposited by chemical vapor deposition

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/265,290 Expired - Fee Related US6362099B1 (en) 1999-03-09 1999-03-09 Method for enhancing the adhesion of copper deposited by chemical vapor deposition

Country Status (3)

Country Link
US (2) US6362099B1 (en)
JP (1) JP2001023989A (en)
KR (1) KR100717086B1 (en)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6872657B2 (en) 2003-08-08 2005-03-29 Agency For Science, Technology And Research Method to form copper seed layer for copper interconnect
EP1261021A3 (en) * 2001-05-21 2006-02-08 Shinko Electric Industries Co. Ltd. Method of production of circuit board, semiconductor device, and plating system
US20080146025A1 (en) * 2006-12-18 2008-06-19 Yezdi Dordi Methods and systems for barrier layer surface passivation
US20080142972A1 (en) * 2006-12-18 2008-06-19 Fritz Redeker Methods and systems for low interfacial oxide contact between barrier and copper metallization
US20090032950A1 (en) * 2004-10-27 2009-02-05 Tokyo Electron Limited Film forming method, semiconductor device manufacturing method, semiconductor device, program and recording medium
US20090224391A1 (en) * 2008-03-04 2009-09-10 Stats Chippac, Ltd. Wafer Level Die Integration and Method Therefor
US20110204518A1 (en) * 2010-02-23 2011-08-25 Globalfoundries Inc. Scalability with reduced contact resistance
US20110240846A1 (en) * 2009-01-16 2011-10-06 Toyota Jidosha Kabushiki Kaisha Semiconductor device, method for manufacturing semiconductor device, apparatus for manufacturing semiconductor device, and method for evaluating semiconductor device
US20140145334A1 (en) * 2007-06-20 2014-05-29 Lam Research Corporation Methods and apparatuses for three dimensional integrated circuits
WO2022005504A1 (en) * 2020-06-30 2022-01-06 Qorvo Biotechnologies, Llc System for depositing piezoelectric materials, methods for using the same, and materials deposited with the same
US11401601B2 (en) 2019-09-13 2022-08-02 Qorvo Us, Inc. Piezoelectric bulk layers with tilted c-axis orientation and methods for making the same
DE112014001729B4 (en) 2013-03-29 2022-08-18 Korea Institute Of Industrial Technology A method of forming a seed layer on a high aspect ratio via and a semiconductor device having a high aspect ratio via
US11824511B2 (en) 2018-03-21 2023-11-21 Qorvo Us, Inc. Method for manufacturing piezoelectric bulk layers with tilted c-axis orientation

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19922557B4 (en) * 1999-05-17 2004-11-04 Infineon Technologies Ag Process for depositing a TaN / Ta two-layer diffusion barrier
US6413858B1 (en) 1999-08-27 2002-07-02 Micron Technology, Inc. Barrier and electroplating seed layer
US7105434B2 (en) * 1999-10-02 2006-09-12 Uri Cohen Advanced seed layery for metallic interconnects
US20050006245A1 (en) * 2003-07-08 2005-01-13 Applied Materials, Inc. Multiple-step electrodeposition process for direct copper plating on barrier metals
JP2002105639A (en) * 2000-09-25 2002-04-10 L'air Liquide Copperr raw material solution for mocvd treatment and its manufacturing method
JP3848080B2 (en) * 2000-12-19 2006-11-22 富士通株式会社 Manufacturing method of semiconductor device
US6579793B2 (en) * 2001-03-27 2003-06-17 Sharp Laboratories Of America, Inc. Method of achieving high adhesion of CVD copper thin films on TaN Substrates
KR100413481B1 (en) * 2001-06-12 2003-12-31 주식회사 하이닉스반도체 Cu film deposition equipment of semiconductor device
KR100424388B1 (en) * 2001-06-28 2004-03-25 동부전자 주식회사 Patterning method by using copper
US6911229B2 (en) * 2002-08-09 2005-06-28 International Business Machines Corporation Structure comprising an interlayer of palladium and/or platinum and method for fabrication thereof
JP2006505127A (en) * 2002-10-29 2006-02-09 エーエスエム インターナショナル エヌ.ヴェー. Oxygen cross-linking structure and method
US6974768B1 (en) * 2003-01-15 2005-12-13 Novellus Systems, Inc. Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US20040229453A1 (en) * 2003-05-15 2004-11-18 Jsr Micro, Inc. Methods of pore sealing and metal encapsulation in porous low k interconnect
US20050274621A1 (en) * 2004-06-10 2005-12-15 Zhi-Wen Sun Method of barrier layer surface treatment to enable direct copper plating on barrier metal
US7198675B2 (en) 2003-09-30 2007-04-03 Advanced Cardiovascular Systems Stent mandrel fixture and method for selectively coating surfaces of a stent
US20050098605A1 (en) * 2003-11-06 2005-05-12 International Business Machines Corporation Apparatus and method for low pressure wirebond
US20060075968A1 (en) * 2004-10-12 2006-04-13 Applied Materials, Inc. Leak detector and process gas monitor
US7867547B2 (en) 2005-12-19 2011-01-11 Advanced Cardiovascular Systems, Inc. Selectively coating luminal surfaces of stents
US8003156B2 (en) 2006-05-04 2011-08-23 Advanced Cardiovascular Systems, Inc. Rotatable support elements for stents
US8603530B2 (en) 2006-06-14 2013-12-10 Abbott Cardiovascular Systems Inc. Nanoshell therapy
US8048448B2 (en) 2006-06-15 2011-11-01 Abbott Cardiovascular Systems Inc. Nanoshells for drug delivery
US8017237B2 (en) 2006-06-23 2011-09-13 Abbott Cardiovascular Systems, Inc. Nanoshells on polymers
US7694413B2 (en) * 2006-06-30 2010-04-13 Intel Corporation Method of making a bottomless via
KR101487564B1 (en) * 2006-08-30 2015-01-29 램 리써치 코포레이션 Methods and apparatus for barrier interface preparation of copper interconnect
US8916232B2 (en) 2006-08-30 2014-12-23 Lam Research Corporation Method for barrier interface preparation of copper interconnect
US8048441B2 (en) 2007-06-25 2011-11-01 Abbott Cardiovascular Systems, Inc. Nanobead releasing medical devices
US7905994B2 (en) * 2007-10-03 2011-03-15 Moses Lake Industries, Inc. Substrate holder and electroplating system
US20090188553A1 (en) * 2008-01-25 2009-07-30 Emat Technology, Llc Methods of fabricating solar-cell structures and resulting solar-cell structures
JP4441658B1 (en) * 2008-12-19 2010-03-31 国立大学法人東北大学 Copper wiring forming method, copper wiring, and semiconductor device
US8262894B2 (en) 2009-04-30 2012-09-11 Moses Lake Industries, Inc. High speed copper plating bath
WO2011154493A1 (en) * 2010-06-11 2011-12-15 Alchimer Copper-electroplating composition and process for filling a cavity in a semiconductor substrate using this composition

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5320728A (en) 1990-03-30 1994-06-14 Applied Materials, Inc. Planar magnetron sputtering source producing improved coating thickness uniformity, step coverage and step coverage uniformity
US5236868A (en) 1990-04-20 1993-08-17 Applied Materials, Inc. Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system
US5714418A (en) * 1995-11-08 1998-02-03 Intel Corporation Diffusion barrier for electrical interconnects in an integrated circuit
KR100414746B1 (en) * 1996-12-31 2004-03-31 주식회사 하이닉스반도체 Method for forming metal interconnection of semiconductor device
US6139697A (en) * 1997-01-31 2000-10-31 Applied Materials, Inc. Low temperature integrated via and trench fill process and apparatus
US6037257A (en) * 1997-05-08 2000-03-14 Applied Materials, Inc. Sputter deposition and annealing of copper alloy metallization
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US6147000A (en) * 1998-08-11 2000-11-14 Advanced Micro Devices, Inc. Method for forming low dielectric passivation of copper interconnects
KR100332364B1 (en) * 1998-09-01 2002-09-18 지니텍 주식회사 method of forming metal film
KR100493013B1 (en) * 1998-11-30 2005-08-01 삼성전자주식회사 Metal wiring layer formation method of semiconductor device_
US6140241A (en) * 1999-03-18 2000-10-31 Taiwan Semiconductor Manufacturing Company Multi-step electrochemical copper deposition process with improved filling capability
US6121149A (en) * 1999-04-22 2000-09-19 Advanced Micro Devices, Inc. Optimized trench/via profile for damascene filling

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1261021A3 (en) * 2001-05-21 2006-02-08 Shinko Electric Industries Co. Ltd. Method of production of circuit board, semiconductor device, and plating system
US6872657B2 (en) 2003-08-08 2005-03-29 Agency For Science, Technology And Research Method to form copper seed layer for copper interconnect
US20090032950A1 (en) * 2004-10-27 2009-02-05 Tokyo Electron Limited Film forming method, semiconductor device manufacturing method, semiconductor device, program and recording medium
US7846839B2 (en) 2004-10-27 2010-12-07 Tokyo Electron Limited Film forming method, semiconductor device manufacturing method, semiconductor device, program and recording medium
KR101078627B1 (en) * 2006-12-18 2011-11-01 램 리써치 코포레이션 Methods and systems for barrier layer surface passivation
US20080146025A1 (en) * 2006-12-18 2008-06-19 Yezdi Dordi Methods and systems for barrier layer surface passivation
US20080142972A1 (en) * 2006-12-18 2008-06-19 Fritz Redeker Methods and systems for low interfacial oxide contact between barrier and copper metallization
US7592259B2 (en) * 2006-12-18 2009-09-22 Lam Research Corporation Methods and systems for barrier layer surface passivation
US7749893B2 (en) 2006-12-18 2010-07-06 Lam Research Corporation Methods and systems for low interfacial oxide contact between barrier and copper metallization
US20140145334A1 (en) * 2007-06-20 2014-05-29 Lam Research Corporation Methods and apparatuses for three dimensional integrated circuits
US8975111B2 (en) 2008-03-04 2015-03-10 Stats Chippac, Ltd. Wafer level die integration and method therefor
US7993972B2 (en) * 2008-03-04 2011-08-09 Stats Chippac, Ltd. Wafer level die integration and method therefor
US20090224391A1 (en) * 2008-03-04 2009-09-10 Stats Chippac, Ltd. Wafer Level Die Integration and Method Therefor
US20110240846A1 (en) * 2009-01-16 2011-10-06 Toyota Jidosha Kabushiki Kaisha Semiconductor device, method for manufacturing semiconductor device, apparatus for manufacturing semiconductor device, and method for evaluating semiconductor device
US8410427B2 (en) * 2009-01-16 2013-04-02 Toyota Jidosha Kabushiki Kaisha Semiconductor device, method for manufacturing semiconductor device, apparatus for manufacturing semiconductor device, and method for evaluating semiconductor device
US20110204518A1 (en) * 2010-02-23 2011-08-25 Globalfoundries Inc. Scalability with reduced contact resistance
DE112014001729B4 (en) 2013-03-29 2022-08-18 Korea Institute Of Industrial Technology A method of forming a seed layer on a high aspect ratio via and a semiconductor device having a high aspect ratio via
US11824511B2 (en) 2018-03-21 2023-11-21 Qorvo Us, Inc. Method for manufacturing piezoelectric bulk layers with tilted c-axis orientation
US11401601B2 (en) 2019-09-13 2022-08-02 Qorvo Us, Inc. Piezoelectric bulk layers with tilted c-axis orientation and methods for making the same
US11885007B2 (en) 2019-09-13 2024-01-30 Qorvo Us, Inc. Piezoelectric bulk layers with tilted c-axis orientation and methods for making the same
WO2022005504A1 (en) * 2020-06-30 2022-01-06 Qorvo Biotechnologies, Llc System for depositing piezoelectric materials, methods for using the same, and materials deposited with the same

Also Published As

Publication number Publication date
JP2001023989A (en) 2001-01-26
KR20000062801A (en) 2000-10-25
US6362099B1 (en) 2002-03-26
KR100717086B1 (en) 2007-05-29

Similar Documents

Publication Publication Date Title
US6362099B1 (en) Method for enhancing the adhesion of copper deposited by chemical vapor deposition
US6464779B1 (en) Copper atomic layer chemical vapor desposition
US6554914B1 (en) Passivation of copper in dual damascene metalization
EP1021827B1 (en) Dual damascene metallization
US8076241B2 (en) Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US7425506B1 (en) Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US6607982B1 (en) High magnesium content copper magnesium alloys as diffusion barriers
US6821909B2 (en) Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US5273775A (en) Process for selectively depositing copper aluminum alloy onto a substrate
US20050124154A1 (en) Method of forming copper interconnections for semiconductor integrated circuits on a substrate
US6440854B1 (en) Anti-agglomeration of copper seed layers in integrated circuit metalization
US20050085031A1 (en) Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US20110049718A1 (en) Method of manufacturing semiconductor device, semiconductor device, electronic instrument, semiconductor manufacturing apparatus, and storage medium
EP1122775A2 (en) Treatment of a metal nitride/metal stack
US20010005629A1 (en) Barrier applications for aluminum planarization
US7566661B2 (en) Electroless treatment of noble metal barrier and adhesion layer
JP2010525159A (en) Production of rhodium structure for contacts by electroplating and composition for electroplating
JP2002083813A (en) Metal wiring formation method of semiconductor device
US20070207611A1 (en) Noble metal precursors for copper barrier and seed layer
JP4790156B2 (en) Method for forming copper metal wiring of semiconductor element
US7014709B1 (en) Thin layer metal chemical vapor deposition
US11532474B2 (en) Deposition of rhenium-containing thin films
Kobayashi et al. Gap-filling property of Cu film by chemical vapor deposition
KR100451767B1 (en) Method for forming interconnect structures of semiconductor device
US6509268B1 (en) Thermal densification in the early stages of copper MOCVD for depositing high quality Cu films with good adhesion and trench filling characteristics

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION