US20020053711A1 - Device structure and method for reducing silicide encroachment - Google Patents

Device structure and method for reducing silicide encroachment Download PDF

Info

Publication number
US20020053711A1
US20020053711A1 US10/010,525 US1052501A US2002053711A1 US 20020053711 A1 US20020053711 A1 US 20020053711A1 US 1052501 A US1052501 A US 1052501A US 2002053711 A1 US2002053711 A1 US 2002053711A1
Authority
US
United States
Prior art keywords
forming
thickness
layer
silicon
silicide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/010,525
Inventor
Robert Chau
Ebrahim Andideh
Mitch Taylor
Chia-Hong Jan
Julie Tsai
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US08/884,912 external-priority patent/US6518155B1/en
Application filed by Individual filed Critical Individual
Priority to US10/010,525 priority Critical patent/US20020053711A1/en
Publication of US20020053711A1 publication Critical patent/US20020053711A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66515Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned selective metal deposition simultaneously on the gate and on source or drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled

Definitions

  • the present invention relates to the field of semiconductor device fabrication, and more specifically to a method and structure for reducing suicide encroachment in an integrated circuit.
  • FIG. 1A is an illustration of a portion of a complementary metal oxide semiconductor (CMOS) integrated circuit.
  • CMOS complementary metal oxide semiconductor
  • Integrated circuit 100 includes a PMOS transistor 102 and an NMOS transistor 104 separated by an isolation region 103 .
  • NMOS and PMOS transistor 102 and 104 each include a pair of source/drain regions 106 , a polysilicon gate electrode 107 , and a gate dielectric layer 101 .
  • Insulative sidewall spacers 108 are formed along opposite sidewalls of gate electrode 107 as shown in FIG. 1A.
  • low resistance silicide is formed on gate electrode 107 and source/drain regions 106 .
  • One method of forming a low resistance silicide is a self-aligned silicide process known as a SALICIDE process.
  • a refractory metal layer 109 such as titanium, tungsten, cobalt, nickel or palladium, is blanket deposited over the substrate and MOS devices 102 and 104 as shown in FIG. 1B.
  • the substrate is then heated to cause a reaction between metal layer 109 and exposed silicon surfaces such as source/drain regions 106 and gate electrode 107 to form a low resistance silicide 110 as shown in FIG. 1C.
  • Locations where no silicon is available for reaction, such as oxide spacers 108 and isolation region 103 metal layer 109 remains unreacted. Unreacted metal 109 can then be etched away leaving silicide only on source/drain regions 106 and on gate electrode 107 as shown in FIG. 1D.
  • a problem with the above described process is that circuits fabricated with the process are vulnerable to short circuits due to silicide encroachment. That is, during the high temperature anneal used to form silicide layer 110 or during subsequent anneal steps, silicide can diffuse or spill over from polysilicon gate electrode 107 and source/drain regions 106 and form an undesired silicide bridge 112 over sidewall spacers 108 and cause shorting of gate electrode 107 to source/drain region 106 . Silcide encroachment is further compounded by silicides, such as nickel silicide (NiSi), which experience silcide volume increases over the combined volume of the consumed silicon and metal layer.
  • silicides such as nickel silicide (NiSi)
  • the reaction of nickel and silicon creates a nickel silicide/polysilicon gate electrode layer having an approximately 18% volume increase over the silicon electrode shown in FIG. 1A. As such is shown in FIG. 1C to silicide 110 reaches above spacer 108 .
  • Silicide encroachment can also cause short circuits between source/drain regions of adjacent devices which are separated by planar isolation regions. For example, as also shown in FIG. 1E, as isolation regions are made more planar and made more compact (less than 0.4 microns wide), such as with shallow trench isolation (STI), silicide from adjacent transistor source/drain regions 106 can diffuse or spill over isolation region 103 and cause silicide shorts 114 between adjacent devices.
  • STI shallow trench isolation
  • polysilicon layer 107 is formed thick, (i.e., greater than 2000 ⁇ ), in order to ensure that silicide 110 has a large distance to bridge over spacers 108 .
  • the ion implantation technique used to dope gate electrode 107 (typically the source/drain implantation) is unable to drive dopants sufficiently deep into the electrode 107 to provide a uniformly doped low conductivity gate electrode.
  • the lower portion (portion near gate dielectric layer 101 ) of the gate electrode has no or reduced doping, the device has increased gate resistance which detrimentally affects the drive current. This non uniform gate electrode doping is commonly referred to as “polysilicon depletion effects”.
  • silicide layer 110 is generally kept thin (i.e., thinner than the thickness of the polysilicon gate electrode). It would be desirable to be able to form silicide layers which are thicker than the polysilicon layer so that lower resistance electrodes can be fabricated and device performance improved.
  • a semiconductor device having a novel spacer structure and its method of fabrication is described.
  • a semiconductor device having an electrode with a first thickness is formed.
  • a silicide layer having a second thickness is formed on the electrode.
  • regions of a device which are to receive silicide are etched below the top surface of isolation regions prior to silicide deposition. In this way silicide regions are formed below the top surface of the isolation regions.
  • FIG. 1A is an illustration of a cross-sectional view of a conventional CMOS integrated circuit.
  • FIG. 1B is an illustration of a cross-sectional view showing the formation of a metal layer over the substrate at FIG. 1A.
  • FIG. 1C is an illustration of a cross-sectional view showing the formation of a silicide layer from the metal layer on the substrate at FIG. 1B.
  • FIG. 1D is an illustration of a cross-sectional view showing the removal of unreacted metal from the substrate of FIG. 1C.
  • FIG. 1E is an illustration of a cross-sectional view showing silicide encroachment on the substrate of FIG. 1D.
  • FIG. 2 is an illustration of a cross-sectional view of a semiconductor substrate having silicide regions formed in accordance with the present invention.
  • FIG. 3A is an illustration of a cross-sectional view showing the formation of a isolation region in a semiconductor substrate.
  • FIG. 3B is an illustration of a cross-sectional view showing the formation of p type and n type conductivity regions in a semiconductor substrate.
  • FIG. 3C is an illustration of a cross-section view showing the formation of a gate dielectric layer, a polysilicon layer, and a sacrificial layer on the substrate of FIG. 3B.
  • FIG. 3D is an illustration of a cross-sectional view showing the formation of an intermediate gate electrode on the substrate of FIG. 3C.
  • FIG. 3E is an illustration of a cross-sectional view showing the formation of sidewall spacers on the substrate of FIG. 3D.
  • FIG. 3F is an illustration of a cross-sectional view showing the formation of recesses and source/drain regions in the substrate of FIG. 3E.
  • FIG. 3G is an illustration of a cross-section view showing the removal of the sacrificial layer from the substrate of FIG. 3F.
  • FIG. 3H is an illustration of a cross-sectional view showing the formation of a metal layer over the substrate of FIG. 3G.
  • FIG. 3I is an illustration of a cross-sectional view showing the formation of silicide regions.
  • FIG. 4A is an illustration of a cross-sectional view showing the formation of semiconductor material onto a semiconductor substrate.
  • FIG. 4B is an illustration of a cross-sectional view showing the formation of silicide on the substrate of FIG. 4A.
  • the present invention is a novel device structure and method for preventing silicide encroachment in an integrated circuit.
  • a sidewall spacer is formed adjacent to an electrode of a device onto which a silicide layer is to be formed.
  • the spacer is fabricated so that it has a height which is greater than the combined thickness or height of the electrode plus the silicide layer. In this way the spacer extends above the height of the silicided electrode and prevents silicide from expanding or diffusing from the electrode and causing shorts with adjacent devices or regions.
  • isolation regions are used to isolate adjacent devices
  • devices are fabricated in such a manner that the isolation region extends above the silicided regions.
  • regions which are to receive silicide are etched below the top surface of the isolation region prior to silicide deposition. In this way, silicide is unable to expand or diffuse over the isolation region and cause electrical coupling or shorts between adjacent devices.
  • FIG. 2 An example of an integrated circuit 200 which incorporates the structures and methods of the present invention is illustrated in FIG. 2.
  • Integrated circuit 200 includes a first metal oxide semiconductor device 202 and a second metal oxide semiconductor device 206 separated by an isolation region 204 .
  • Each MOS device includes a gate electrode 208 formed on a gate dielectric layer 210 which is formed on a first surface 212 of a substrate 214 .
  • Each gate electrode has a silicide layer 216 formed thereon.
  • Semiconductor devices 202 and 206 each also include a pair of sidewall spacers 218 formed adjacent to and along opposite sides of the silicided gate electrodes 220 as shown in FIG. 2. Sidewall spacers 218 extend a spacer height (T sp ) above substrate surface 212 .
  • Spacers 218 have a height (T sp )which is greater than the height (T sg ) which the silicided gate electrode 220 extends above substrate surface 212 (i.e., T sp >T sg ). That is, spacer height (T sp ) is greater than the sum total of the gate electrode 208 thickness (T g ) and the silicide 216 thickness (T s ) (i.e., T sp >T g +T s ). Spacers 218 preferably extend at least 200 ⁇ above silicided gate electrode 220 . Additionally, in an embodiment of the present invention, silicide layer 216 can be made much thicker, more than two times thicker, than the gate electrode 208 . (i.e., T s >T g ) In this way gate electrode resistance is substantially reduced and poly depletion effects eliminated.
  • integrated circuit 200 utilizes planar isolation regions 204 , such as, shallow trench isolation (STI), as shown in FIG. 2.
  • a planar isolation region 204 is characterized by the fact that the top surface 221 of isolation region 204 is substantially planar with substrate surface 212 on which gate dielectric layers 210 are formed. That is, the top surface 221 of isolation region 204 extends less than 1500 ⁇ above substrate surface 212 . Shallow trench isolation regions are desirable because they can be formed planar and compact which dramatically improves device packing density.
  • planar isolation regions e.g., STI
  • source/drain regions 222 are etched or recessed prior to depositing silicide 224 on to the source/drain regions.
  • top surface 221 of isolation region 204 extends above the top surface 226 of silicide regions 224 .
  • silicide is confined to the source/drain regions and is prevented from diffusing or expanding over the isolation region 204 and causing short circuits between the source/drain regions 224 of adjacent devices 202 and 206 .
  • CMOS integrated circuit An example of a method of fabricating an integrated circuit according to methods of the present invention will be described with respect to the fabrication of a CMOS integrated circuit.
  • the example describes a method of preventing silicide encroachment and thereby preventing shorts between a source/drain region and a gate electrode when forming a silicide on the gate electrode.
  • the present example illustrates a method of preventing silicide encroachment between source/drain regions of adjacent transistors separated by a planar isolation region.
  • the methods described herein can be used independently or integrated together to reduce or eliminate reliability issues associated with silicide encroachment.
  • the illustrated method of preventing silicide encroachment on a gate electrode is equally applicable to forming silicide layers on other electrodes such as but not limited to emitter electrodes of bipolar transistors and capacitor electrodes of DRAM cells.
  • the illustrated method of preventing silicide encroachment between source/drain regions of adjacent transistors is equally applicable to preventing silicide encroachment over any isolation region separating other device regions such as base and collector contact regions and burried interconnects. The isolation process is especially useful when planar, compact isolation regions are used.
  • a substrate 300 such as shown in FIG. 3A, is provided.
  • Substrate 300 is generally a semiconductor substrate such as but not limited to a silicon substrate, a gallium arsenide substrate, a silicon germanium substrate, or a silicon on insulator (SOI) substrate. Additionally substrate 300 may or may not include additional epitaxial layers deposited thereon. Still further substrate need not necessarily be semiconductor substrate and can be other types of substrates such as those used for flat panel displays.
  • a substrate is defined as a starting material on which devices of the present invention are fabricated.
  • an isolation region 302 is formed on substrate 300 .
  • isolation region 302 is preferably a planar isolation region such as a shallow trench isolation (STI).
  • An STI region 302 can be fabricated by a well known technique such as by blanket depositing a pad oxide layer 304 of about 100 ⁇ onto surface 301 of substrate 300 and a nitride layer 306 of about 1000 ⁇ onto pad oxide layer 304 . Using standard photolithography and etching techniques, an opening can be formed through pad oxide layer 304 and silicon nitride layer 306 at locations where isolation regions are desired. Substrate 300 is then etched to form a trench in substrate 300 with well known techniques.
  • a thin (approximately 100-300 ⁇ ) thermal oxide is grown within the trench.
  • a fill material such as silicon dioxide deposited by chemical vapor deposition (CVD), is then blanket deposited over silicon nitride layer 306 and into the trench. The fill material can then be polished back with chemical mechanical polishing until the top surface 312 of isolation region 302 is substantially planar with silicon nitride layer 306 as shown in FIG. 3A.
  • Isolation region 302 is said to be a planar isolation region when the top surface 312 of isolation region 302 has a height (T iso ) which is less than 1500 ⁇ above substrate surface 301 . Additionally with the technique described above compact isolation regions having a width of less than 0.4 microns can be fabricated. It is to be appreciated that the use of small and planar isolation regions enables the fabrication of high density integrated circuits. Although STI regions are preferred, other types of planar isolation regions, such as recessed LOCOS and deep trench isolation may be utilized as well as non planar isolation regions, such as LOCOS isolation, if desired.
  • a thin, less than 100 ⁇ , gate dielectric layer 318 such as but not limited to silicon dioxide, silicon nitride or silicon oxinitride is formed on surface 301 of substrate 300 .
  • a silicon film 320 is then deposited over gate dielectric layer 318 as shown in FIG. 3C.
  • Silicon film 320 is generally polycrystalline silicon but may be other forms of silicon such as amorphous silicon.
  • Polysilicon layer 320 can be planarized at this time by chemical mechanical polishing. In order to reduce polysilicon depletion effects as well as improve photolithography and etch processes, polysilicon layer 320 is formed as thin as possible.
  • polysilicon layer 320 must be formed thick enough to prevent channel doping during subsequent source/drain doping.
  • a planarized polysilicon layer having a thickness (T g ) of between 100-1500 ⁇ over substrate surface 301 is suitable.
  • gate thickness T g over substrate surface 301 includes the nominal thickness of gate dielectric layer 318 ).
  • a sacrificial layer 322 is deposited over polysilicon layer 320 .
  • Sacrificial layer 320 can be any suitable material which can be selectively etched with respect to subsequently formed spacers and polysilicon layer 320 .
  • Sacrificial layer 320 can be for example, a grown or CVD deposited oxide layer, a fluorine, phosphorous, or boron doped oxide layer, formed by any well known technique.
  • sacrificial layer 320 can be a silicon/germanium semiconductor alloy.
  • a silicon/germanium semiconductor alloy can be formed by a decomposition of SiH 2 Cl 2 and GeH 4 in H 2 ambient at a temperature between 500-800° C. with 600° C. being preferred.
  • the thickness of sacrificial layer 322 sets the upper limit on the amount of silicide that can be subsequently formed on polysilicon layer 320 .
  • Sacrificial layer 322 is preferably made thicker than polysilicon layer 320 and ideally at least twice as thick. In this way the electrode can have a silicide layer which is thicker than the polysilicon layer which will allow for the formation of a low resistance electrode.
  • sacrificial layer 322 , polysilicon layer 320 and gate dielectric layer 318 are patterned with well known photolithography and etching techniques to form intermediate electrodes 324 .
  • tip regions or lightly doped regions can be formed.
  • well known photolithography and ion implantation techniques can be used to form n type conductivity tip regions 326 in p type conductivity region 314 and p type conductivity tip regions 328 and n type conductivity region 316 in alignment with the outside edges of intermediate gate electrodes 324 , as shown in FIG. 3D.
  • a pair of sidewall spacers 330 are formed along opposite sides of intermediate gate electrode 324 as shown in FIG. 3E.
  • Sidewall spacers 330 can be formed by any well known method such as by blanket depositing a 100-1000 ⁇ thick conformal layer of silicon nitride over substrate 300 and then anisotropically etching the film to form sidewall spacers 330 .
  • Sidewall spacers 330 should be formed of a material which can be selectively etched with respect to sacrificial layer 322 .
  • Sidewall spacers 330 need not necessarily be single material spacers and can be composite spacers such as silicon nitride spacers with a thin oxide layer formed adjacent to the intermediate gate electrode 324 .
  • Sidewall spacers 330 have a height (T sp ) over substrate surface 301 which is equal to the combined thickness of polysilicon layer 320 (T g ) and sacrificial layer 322 (T sac ).
  • substrate 300 can be etched in alignment with sidewall spacers 330 to form recesses 332 as illustrated in FIG. 3F.
  • Recesses 332 are desirable when planar isolation regions 302 are used to isolate source/drain regions of adjacent devices. Recesses 332 can also be used when non planar isolation regions are used in order to provide increased margins for preventing silicide encroachment over the isolation region. Recesses 332 are formed to a depth beneath surface 301 sufficient to keep subsequently formed silicide beneath the top surface of isolation region 302 . Recesses having a depth beneath surface 301 of between 100-1000 ⁇ will generally be sufficient.
  • Recesses 332 can be formed by any well known technique such as but not limited to reactive ion etching (RIE) with the chemistry comprising C 2 H 6 and He at a ratio of 2:1. If a suitable spacer material is used, such as silicon nitride, recesses 322 can be formed by over etching into the silicon substrate 300 during the spacer etch.
  • RIE reactive ion etching
  • sacrificial layer 322 is removed from polysilicon layer 320 . If sacrificial layer 322 is an oxide, it can be removed with a diluted HF solution (50:1 H 2 O to HF). If sacrificial layer 322 is silicon germanium it can be removed with a mixture of NH 4 O OH/H 2 O 2 or sulfuric acid (H 2 SO 4 ). Using a silicon germanium sacrificial layer 322 is advantageous because silicon germanium can be removed with an etchant which does not attack oxides which are generally used to fill STI region 302 .
  • n type conductivity source/drain regions 331 and p type conductivity source/drain regions 333 can be formed as shown in FIG. 3G.
  • N type source/drain regions 331 and p type source/drain regions 333 can be formed by well known photolithography and ion implementation techniques. If polysilicon layer 320 is made as thin as practically possible, (i.e., less than 1000 ⁇ ) the respective source/drain dopings will be able to dope the entire thickness of polysilicon layer 320 , and thereby prevent polysilicon depletion effects.
  • low energy (less than 30 Kev) source/drain implant energies can be used to form shallow source/drain junctions, and still ensure complete polysilicon doping. It is to be noted, that if desired deep source/drain regions 331 and 333 can be formed directly after spacer formation in FIG. 3E. Recesses 332 can then be subsequently etched into the source/drain regions 331 and 333 .
  • a silicide layer is formed on polysilicon layer 320 and on source/drain regions 331 and 333 .
  • the silicide layers are formed with a self-aligned silicide process or SALICIDE process.
  • a metal layer 334 is blanket deposited over substrate 300 as illustrated in FIG. 3H.
  • Metal layer 334 is generally a refractory metal such as but not limited to, titanium, tungsten, nickel, cobalt and palladium which can react with silicon to form a low resistance silicide.
  • Metal layer 334 can be deposited by any well known technique such as by sputtering.
  • Substrate 300 is now annealed (heated) to cause a chemical reaction between those portions of metal layer 334 which are in direct contact with exposed silicon to form a silicide. That is, silicide is formed wherever silicon is available to react with metal layer 334 such as over source/drain regions 331 and 333 and on polysilicon gate electrode 320 . Since no silicon is available from sidewall spacers 330 or on STI region 302 no silicide forms thereon.
  • Substrate 300 is heated to a sufficient temperature and for a sufficient period of time in order to initiate the reaction and produce low resistance silcide.
  • Substrate 300 can be thermally cycled with well known techniques such as a rapid thermal anneal or a furnace anneal.
  • substrate 300 is subjected to a etchant which selectively removes the unreactive portions of metal layer 334 while leaving silicide 336 on polysilicon layer 320 and on source/drain regions 331 and 333 .
  • metal layer 334 is deposited to a thickness so that after the silicide reaction, spacers 330 extend above the formed silicide 336 and thereby confine the silicide layer between spacers 330 . That is, metal layer 334 is deposited to a thickness so that after silicide formation the spacer height T sp is greater than the sum of a polysilicon gate thickness (T g ) plus the silicide thickness (T s ), (i.e., T sp >T g +T s ).
  • metal layer 334 is deposited to a thickness so that after silicide reaction silicide layer 336 on source/drain regions 331 and 333 are beneath the top surface of isolation region 302 . That is, silicide 336 on source/drain regions 331 and 333 has a height less than T iso over substrate surface 301 . In this way silicide 336 is confined to the source/drain regions 331 and 333 between spacers 330 and isolation region 302 .
  • volume expanding silicides such as nickel silicide
  • additional margin should be provided to ensure that the silicide is unable to expand over spacers 330 and/or isolation region 302 .
  • the confining techniques of the present invention enable the use of volume expanding silicide layers without worrying about silicide encroachment problems associated with the prior art.
  • silicide layer 336 can be selectively deposited onto source/drain regions 331 and 333 and polysilicon layer 320 .
  • titanium silicide can be selectively deposited onto source/drain regions 331 and 333 and gate electrode 320 by chemical vapor deposition (CVD) using reactive gasses comprising TiC 4 , SiH 2 Cl 2 and/or SiH 4 with a hydrogen carrier gas at a temperature ranging from 600-900° C. and a pressure between 5-100 torr.
  • CVD chemical vapor deposition
  • Such a process is said to be a selective process because it will form silicide only on exposed silicon surfaces, such as source/drain regions 331 and 332 and polysilicon gate electrode 320 and not on insulative regions such as spacers 330 or STI isolation region 302 . It is recommended to utilize an HF dip prior to selective silicide deposition to ensure complete removal of all native oxide layers formed on silicon surfaces. A subsequent high temperature rapid thermal anneal can be used to convert the as deposited titanium silicide phase (C49 ) into low resistance phase (C54 ).
  • semiconductor material prior to silicide formation.
  • a semiconductor material such as silicon germanium
  • Ion implementation or insitu doping can be used to dope the semiconductor material to the desired conductivity type and concentration.
  • a subsequent anneal step can be used to out diffuse dopants from the semiconductor material to form ultra shallow tip regions as discussed in U.S. patent application Ser. No. 08/363,749, filed Dec. 23, 1994.
  • Silicide 336 is then subsequently formed on the deposited semiconductor material 400 as shown in FIG. 4B.
  • the deposited semiconductor material 400 combines with the previously deposited polysilicon layer 320 to set the gate electrode height (T g ).
  • sacrificial layer 322 should be made sufficiently thick to compensate for the additional volume occupied by the deposited semiconductor material 400 .
  • an advantage of the present method of confining silicide onto an electrode is the fact that it enables thin sidewall spacers (less than 300 ⁇ wide) to be used.
  • spacers having a width of at least 2000 ⁇ were required to ensure that they provided a sufficient gap or distance to prevent silicide encroachment.
  • the use of thin spacers to confine silicide enables the further scaling of semiconductor devices.
  • thin sidewall spacers allow solid source diffusion to be used to form ultra shallow tip regions since dopants have only a short distance (less than 300 ⁇ ) to diffuse underneath the gate electrode. Low resistance ultra shallow tips allow the fabrication of high performance semiconductor devices.

Abstract

A semiconductor device having a novel spacer structure and method of fabrication. The present invention describes a semiconductor device which has an electrode with a first thickness. A silicide layer having a second thickness is formed on the electrode. A sidewall spacer which is formed adjacent to the electrode has a height which is greater than the sum of the thickness of the electrode and the thickness of the silicide layer.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention relates to the field of semiconductor device fabrication, and more specifically to a method and structure for reducing suicide encroachment in an integrated circuit. [0002]
  • 2. Discussion of Related Art [0003]
  • Today integrated circuits are made up of literally millions of active and passive devices such as transistors, capacitors, and resistors. In order to improve device performance, low resistance silicide layers are generally formed on electrodes such as gate electrodes and on doped regions such as source/drain regions. [0004]
  • For example, FIG. 1A is an illustration of a portion of a complementary metal oxide semiconductor (CMOS) integrated circuit. [0005] Integrated circuit 100 includes a PMOS transistor 102 and an NMOS transistor 104 separated by an isolation region 103. NMOS and PMOS transistor 102 and 104 each include a pair of source/drain regions 106, a polysilicon gate electrode 107, and a gate dielectric layer 101. Insulative sidewall spacers 108 are formed along opposite sidewalls of gate electrode 107 as shown in FIG. 1A. In order to decrease the resistance of gate electrode 107 and source/drain regions 106, low resistance silicide is formed on gate electrode 107 and source/drain regions 106.
  • One method of forming a low resistance silicide is a self-aligned silicide process known as a SALICIDE process. In such a process, a [0006] refractory metal layer 109, such as titanium, tungsten, cobalt, nickel or palladium, is blanket deposited over the substrate and MOS devices 102 and 104 as shown in FIG. 1B. The substrate is then heated to cause a reaction between metal layer 109 and exposed silicon surfaces such as source/drain regions 106 and gate electrode 107 to form a low resistance silicide 110 as shown in FIG. 1C. Locations where no silicon is available for reaction, such as oxide spacers 108 and isolation region 103, metal layer 109 remains unreacted. Unreacted metal 109 can then be etched away leaving silicide only on source/drain regions 106 and on gate electrode 107 as shown in FIG. 1D.
  • A problem with the above described process is that circuits fabricated with the process are vulnerable to short circuits due to silicide encroachment. That is, during the high temperature anneal used to form [0007] silicide layer 110 or during subsequent anneal steps, silicide can diffuse or spill over from polysilicon gate electrode 107 and source/drain regions 106 and form an undesired silicide bridge 112 over sidewall spacers 108 and cause shorting of gate electrode 107 to source/drain region 106. Silcide encroachment is further compounded by silicides, such as nickel silicide (NiSi), which experience silcide volume increases over the combined volume of the consumed silicon and metal layer. For example, the reaction of nickel and silicon creates a nickel silicide/polysilicon gate electrode layer having an approximately 18% volume increase over the silicon electrode shown in FIG. 1A. As such is shown in FIG. 1C to silicide 110 reaches above spacer 108.
  • Silicide encroachment can also cause short circuits between source/drain regions of adjacent devices which are separated by planar isolation regions. For example, as also shown in FIG. 1E, as isolation regions are made more planar and made more compact (less than 0.4 microns wide), such as with shallow trench isolation (STI), silicide from adjacent transistor source/[0008] drain regions 106 can diffuse or spill over isolation region 103 and cause silicide shorts 114 between adjacent devices.
  • In order to help reduce the potential for silicide shorts between source/drain regions and gate electrodes, [0009] polysilicon layer 107 is formed thick, (i.e., greater than 2000Å), in order to ensure that silicide 110 has a large distance to bridge over spacers 108. Unfortunately, however, by increasing the thickness of polysilicon gate 107, the ion implantation technique used to dope gate electrode 107 (typically the source/drain implantation) is unable to drive dopants sufficiently deep into the electrode 107 to provide a uniformly doped low conductivity gate electrode. When the lower portion (portion near gate dielectric layer 101) of the gate electrode has no or reduced doping, the device has increased gate resistance which detrimentally affects the drive current. This non uniform gate electrode doping is commonly referred to as “polysilicon depletion effects”.
  • Additionally, in order to prevent silicide encroachment, [0010] silicide layer 110 is generally kept thin (i.e., thinner than the thickness of the polysilicon gate electrode). It would be desirable to be able to form silicide layers which are thicker than the polysilicon layer so that lower resistance electrodes can be fabricated and device performance improved.
  • Thus, what is desired is a device structure and method of fabrication which reduces silicide encroachment as well as poly depletion effects. [0011]
  • SUMMARY OF THE INVENTION
  • In a first embodiment of the present invention, a semiconductor device having a novel spacer structure and its method of fabrication is described. According to the first embodiment a semiconductor device having an electrode with a first thickness is formed. A silicide layer having a second thickness is formed on the electrode. A sidewall spacer formed adjacent to the electrode and has a height which is greater than the sum of the thickness of the electrode and the thickness of the silicide layer. In another embodiment of the present invention, regions of a device which are to receive silicide are etched below the top surface of isolation regions prior to silicide deposition. In this way silicide regions are formed below the top surface of the isolation regions. [0012]
  • DESCRIPTION OF THE DRAWINGS
  • FIG. 1A is an illustration of a cross-sectional view of a conventional CMOS integrated circuit. [0013]
  • FIG. 1B is an illustration of a cross-sectional view showing the formation of a metal layer over the substrate at FIG. 1A. [0014]
  • FIG. 1C is an illustration of a cross-sectional view showing the formation of a silicide layer from the metal layer on the substrate at FIG. 1B. [0015]
  • FIG. 1D is an illustration of a cross-sectional view showing the removal of unreacted metal from the substrate of FIG. 1C. [0016]
  • FIG. 1E is an illustration of a cross-sectional view showing silicide encroachment on the substrate of FIG. 1D. [0017]
  • FIG. 2 is an illustration of a cross-sectional view of a semiconductor substrate having silicide regions formed in accordance with the present invention. [0018]
  • FIG. 3A is an illustration of a cross-sectional view showing the formation of a isolation region in a semiconductor substrate. [0019]
  • FIG. 3B is an illustration of a cross-sectional view showing the formation of p type and n type conductivity regions in a semiconductor substrate. [0020]
  • FIG. 3C is an illustration of a cross-section view showing the formation of a gate dielectric layer, a polysilicon layer, and a sacrificial layer on the substrate of FIG. 3B. [0021]
  • FIG. 3D is an illustration of a cross-sectional view showing the formation of an intermediate gate electrode on the substrate of FIG. 3C. [0022]
  • FIG. 3E is an illustration of a cross-sectional view showing the formation of sidewall spacers on the substrate of FIG. 3D. [0023]
  • FIG. 3F is an illustration of a cross-sectional view showing the formation of recesses and source/drain regions in the substrate of FIG. 3E. [0024]
  • FIG. 3G is an illustration of a cross-section view showing the removal of the sacrificial layer from the substrate of FIG. 3F. [0025]
  • FIG. 3H is an illustration of a cross-sectional view showing the formation of a metal layer over the substrate of FIG. 3G. [0026]
  • FIG. 3I is an illustration of a cross-sectional view showing the formation of silicide regions. [0027]
  • FIG. 4A is an illustration of a cross-sectional view showing the formation of semiconductor material onto a semiconductor substrate. [0028]
  • FIG. 4B is an illustration of a cross-sectional view showing the formation of silicide on the substrate of FIG. 4A. [0029]
  • DESCRIPTION OF THE PRESENT INVENTION
  • A novel device structure and method for preventing silicide encroachment is described. In the following description numerous specific details are set forth such as specific materials and processes in order to provide a thorough understanding of the present invention. In other instances well known semiconductor processing techniques and machinery have not been set forth in detail in order to not unnecessarily obscure the present invention. [0030]
  • The present invention is a novel device structure and method for preventing silicide encroachment in an integrated circuit. In one embodiment of the present invention a sidewall spacer is formed adjacent to an electrode of a device onto which a silicide layer is to be formed. The spacer is fabricated so that it has a height which is greater than the combined thickness or height of the electrode plus the silicide layer. In this way the spacer extends above the height of the silicided electrode and prevents silicide from expanding or diffusing from the electrode and causing shorts with adjacent devices or regions. [0031]
  • In another embodiment of the present invention where isolation regions are used to isolate adjacent devices, devices are fabricated in such a manner that the isolation region extends above the silicided regions. According to this embodiment of the present invention, regions which are to receive silicide are etched below the top surface of the isolation region prior to silicide deposition. In this way, silicide is unable to expand or diffuse over the isolation region and cause electrical coupling or shorts between adjacent devices. [0032]
  • An example of an [0033] integrated circuit 200 which incorporates the structures and methods of the present invention is illustrated in FIG. 2. Integrated circuit 200 includes a first metal oxide semiconductor device 202 and a second metal oxide semiconductor device 206 separated by an isolation region 204. Each MOS device includes a gate electrode 208 formed on a gate dielectric layer 210 which is formed on a first surface 212 of a substrate 214. Each gate electrode has a silicide layer 216 formed thereon. Semiconductor devices 202 and 206 each also include a pair of sidewall spacers 218 formed adjacent to and along opposite sides of the silicided gate electrodes 220 as shown in FIG. 2. Sidewall spacers 218 extend a spacer height (Tsp) above substrate surface 212. Spacers 218 have a height (Tsp)which is greater than the height (Tsg) which the silicided gate electrode 220 extends above substrate surface 212 (i.e., Tsp>Tsg). That is, spacer height (Tsp) is greater than the sum total of the gate electrode 208 thickness (Tg) and the silicide 216 thickness (Ts) (i.e., Tsp>Tg+Ts). Spacers 218 preferably extend at least 200Å above silicided gate electrode 220. Additionally, in an embodiment of the present invention, silicide layer 216 can be made much thicker, more than two times thicker, than the gate electrode 208. (i.e., Ts>Tg) In this way gate electrode resistance is substantially reduced and poly depletion effects eliminated.
  • In another embodiment of the present invention, integrated [0034] circuit 200 utilizes planar isolation regions 204, such as, shallow trench isolation (STI), as shown in FIG. 2. A planar isolation region 204 is characterized by the fact that the top surface 221 of isolation region 204 is substantially planar with substrate surface 212 on which gate dielectric layers 210 are formed. That is, the top surface 221 of isolation region 204 extends less than 1500Å above substrate surface 212. Shallow trench isolation regions are desirable because they can be formed planar and compact which dramatically improves device packing density. In the embodiment of the present invention where planar isolation regions (e.g., STI) are utilized, source/drain regions 222 are etched or recessed prior to depositing silicide 224 on to the source/drain regions. In this way, the top surface 221 of isolation region 204 extends above the top surface 226 of silicide regions 224. In this way silicide is confined to the source/drain regions and is prevented from diffusing or expanding over the isolation region 204 and causing short circuits between the source/drain regions 224 of adjacent devices 202 and 206.
  • An example of a method of fabricating an integrated circuit according to methods of the present invention will be described with respect to the fabrication of a CMOS integrated circuit. The example describes a method of preventing silicide encroachment and thereby preventing shorts between a source/drain region and a gate electrode when forming a silicide on the gate electrode. Additionally the present example illustrates a method of preventing silicide encroachment between source/drain regions of adjacent transistors separated by a planar isolation region. The methods described herein can be used independently or integrated together to reduce or eliminate reliability issues associated with silicide encroachment. It is to be appreciated that the illustrated method of preventing silicide encroachment on a gate electrode is equally applicable to forming silicide layers on other electrodes such as but not limited to emitter electrodes of bipolar transistors and capacitor electrodes of DRAM cells. Similarly the illustrated method of preventing silicide encroachment between source/drain regions of adjacent transistors is equally applicable to preventing silicide encroachment over any isolation region separating other device regions such as base and collector contact regions and burried interconnects. The isolation process is especially useful when planar, compact isolation regions are used. [0035]
  • According to the present invention a [0036] substrate 300, such as shown in FIG. 3A, is provided. Substrate 300 is generally a semiconductor substrate such as but not limited to a silicon substrate, a gallium arsenide substrate, a silicon germanium substrate, or a silicon on insulator (SOI) substrate. Additionally substrate 300 may or may not include additional epitaxial layers deposited thereon. Still further substrate need not necessarily be semiconductor substrate and can be other types of substrates such as those used for flat panel displays. For the purposes of the present invention a substrate is defined as a starting material on which devices of the present invention are fabricated.
  • According to an embodiment of the present invention, an [0037] isolation region 302 is formed on substrate 300. In order to fabricate high density integrated circuits, isolation region 302 is preferably a planar isolation region such as a shallow trench isolation (STI). An STI region 302 can be fabricated by a well known technique such as by blanket depositing a pad oxide layer 304 of about 100Å onto surface 301 of substrate 300 and a nitride layer 306 of about 1000Å onto pad oxide layer 304. Using standard photolithography and etching techniques, an opening can be formed through pad oxide layer 304 and silicon nitride layer 306 at locations where isolation regions are desired. Substrate 300 is then etched to form a trench in substrate 300 with well known techniques. Next, a thin (approximately 100-300Å) thermal oxide is grown within the trench. A fill material, such as silicon dioxide deposited by chemical vapor deposition (CVD), is then blanket deposited over silicon nitride layer 306 and into the trench. The fill material can then be polished back with chemical mechanical polishing until the top surface 312 of isolation region 302 is substantially planar with silicon nitride layer 306 as shown in FIG. 3A.
  • Next as shown in FIG. 3B, [0038] silicon nitride layer 306 and pad oxide layer 304 are removed with well known techniques to form a shallow and compact isolation region 302. Isolation region 302 is said to be a planar isolation region when the top surface 312 of isolation region 302 has a height (Tiso) which is less than 1500Å above substrate surface 301. Additionally with the technique described above compact isolation regions having a width of less than 0.4 microns can be fabricated. It is to be appreciated that the use of small and planar isolation regions enables the fabrication of high density integrated circuits. Although STI regions are preferred, other types of planar isolation regions, such as recessed LOCOS and deep trench isolation may be utilized as well as non planar isolation regions, such as LOCOS isolation, if desired.
  • Next, as also shown in FIG. 3B, well known masking and ion implementation techniques are used to form [0039] a p type region 314 and an n type region 316 in substrate 300.
  • Next, as illustrated in FIG. 3C, a thin, less than 100Å, [0040] gate dielectric layer 318 such as but not limited to silicon dioxide, silicon nitride or silicon oxinitride is formed on surface 301 of substrate 300. A silicon film 320 is then deposited over gate dielectric layer 318 as shown in FIG. 3C. Silicon film 320 is generally polycrystalline silicon but may be other forms of silicon such as amorphous silicon. Polysilicon layer 320 can be planarized at this time by chemical mechanical polishing. In order to reduce polysilicon depletion effects as well as improve photolithography and etch processes, polysilicon layer 320 is formed as thin as possible. However, polysilicon layer 320 must be formed thick enough to prevent channel doping during subsequent source/drain doping. A planarized polysilicon layer having a thickness (Tg) of between 100-1500Å over substrate surface 301 is suitable. (It is to be noted gate thickness Tg over substrate surface 301 includes the nominal thickness of gate dielectric layer 318).
  • Next, as also shown in FIG. 3C, a [0041] sacrificial layer 322 is deposited over polysilicon layer 320. Sacrificial layer 320 can be any suitable material which can be selectively etched with respect to subsequently formed spacers and polysilicon layer 320. Sacrificial layer 320 can be for example, a grown or CVD deposited oxide layer, a fluorine, phosphorous, or boron doped oxide layer, formed by any well known technique. Additionally sacrificial layer 320 can be a silicon/germanium semiconductor alloy. A silicon/germanium semiconductor alloy can be formed by a decomposition of SiH2Cl2 and GeH4 in H2 ambient at a temperature between 500-800° C. with 600° C. being preferred. It is to be appreciated that the thickness of sacrificial layer 322 sets the upper limit on the amount of silicide that can be subsequently formed on polysilicon layer 320. Sacrificial layer 322 is preferably made thicker than polysilicon layer 320 and ideally at least twice as thick. In this way the electrode can have a silicide layer which is thicker than the polysilicon layer which will allow for the formation of a low resistance electrode.
  • Next, as shown in FIG. 3D, [0042] sacrificial layer 322, polysilicon layer 320 and gate dielectric layer 318 are patterned with well known photolithography and etching techniques to form intermediate electrodes 324. At this time if desired, tip regions or lightly doped regions can be formed. For example, well known photolithography and ion implantation techniques can be used to form n type conductivity tip regions 326 in p type conductivity region 314 and p type conductivity tip regions 328 and n type conductivity region 316 in alignment with the outside edges of intermediate gate electrodes 324, as shown in FIG. 3D.
  • Next, a pair of [0043] sidewall spacers 330 are formed along opposite sides of intermediate gate electrode 324 as shown in FIG. 3E. Sidewall spacers 330 can be formed by any well known method such as by blanket depositing a 100-1000Å thick conformal layer of silicon nitride over substrate 300 and then anisotropically etching the film to form sidewall spacers 330. Sidewall spacers 330 should be formed of a material which can be selectively etched with respect to sacrificial layer 322. Sidewall spacers 330 need not necessarily be single material spacers and can be composite spacers such as silicon nitride spacers with a thin oxide layer formed adjacent to the intermediate gate electrode 324. Sidewall spacers 330 have a height (Tsp) over substrate surface 301 which is equal to the combined thickness of polysilicon layer 320 (Tg) and sacrificial layer 322 (Tsac).
  • Next, if desired, [0044] substrate 300 can be etched in alignment with sidewall spacers 330 to form recesses 332 as illustrated in FIG. 3F. Recesses 332 are desirable when planar isolation regions 302 are used to isolate source/drain regions of adjacent devices. Recesses 332 can also be used when non planar isolation regions are used in order to provide increased margins for preventing silicide encroachment over the isolation region. Recesses 332 are formed to a depth beneath surface 301 sufficient to keep subsequently formed silicide beneath the top surface of isolation region 302. Recesses having a depth beneath surface 301 of between 100-1000Å will generally be sufficient. Recesses 332 can be formed by any well known technique such as but not limited to reactive ion etching (RIE) with the chemistry comprising C2H6 and He at a ratio of 2:1. If a suitable spacer material is used, such as silicon nitride, recesses 322 can be formed by over etching into the silicon substrate 300 during the spacer etch.
  • Next, as illustrated in FIG. 3G, [0045] sacrificial layer 322 is removed from polysilicon layer 320. If sacrificial layer 322 is an oxide, it can be removed with a diluted HF solution (50:1 H2O to HF). If sacrificial layer 322 is silicon germanium it can be removed with a mixture of NH4O OH/H2O2 or sulfuric acid (H2SO4). Using a silicon germanium sacrificial layer 322 is advantageous because silicon germanium can be removed with an etchant which does not attack oxides which are generally used to fill STI region 302.
  • After [0046] sacrificial layer 322 has been removed, n type conductivity source/drain regions 331 and p type conductivity source/drain regions 333 can be formed as shown in FIG. 3G. N type source/drain regions 331 and p type source/drain regions 333 can be formed by well known photolithography and ion implementation techniques. If polysilicon layer 320 is made as thin as practically possible, (i.e., less than 1000Å) the respective source/drain dopings will be able to dope the entire thickness of polysilicon layer 320, and thereby prevent polysilicon depletion effects. Additionally, by having a thin polysilicon layer, low energy (less than 30 Kev) source/drain implant energies can be used to form shallow source/drain junctions, and still ensure complete polysilicon doping. It is to be noted, that if desired deep source/ drain regions 331 and 333 can be formed directly after spacer formation in FIG. 3E. Recesses 332 can then be subsequently etched into the source/ drain regions 331 and 333.
  • Next, a silicide layer is formed on [0047] polysilicon layer 320 and on source/ drain regions 331 and 333. In one embodiment of the present invention the silicide layers are formed with a self-aligned silicide process or SALICIDE process. In a salicide process, a metal layer 334 is blanket deposited over substrate 300 as illustrated in FIG. 3H. Metal layer 334 is generally a refractory metal such as but not limited to, titanium, tungsten, nickel, cobalt and palladium which can react with silicon to form a low resistance silicide. Metal layer 334 can be deposited by any well known technique such as by sputtering.
  • [0048] Substrate 300 is now annealed (heated) to cause a chemical reaction between those portions of metal layer 334 which are in direct contact with exposed silicon to form a silicide. That is, silicide is formed wherever silicon is available to react with metal layer 334 such as over source/ drain regions 331 and 333 and on polysilicon gate electrode 320. Since no silicon is available from sidewall spacers 330 or on STI region 302 no silicide forms thereon. Substrate 300 is heated to a sufficient temperature and for a sufficient period of time in order to initiate the reaction and produce low resistance silcide. Substrate 300 can be thermally cycled with well known techniques such as a rapid thermal anneal or a furnace anneal. Next, substrate 300 is subjected to a etchant which selectively removes the unreactive portions of metal layer 334 while leaving silicide 336 on polysilicon layer 320 and on source/ drain regions 331 and 333.
  • It is to be appreciated that for preventing silicide encroachment on [0049] gate electrode 320, metal layer 334 is deposited to a thickness so that after the silicide reaction, spacers 330 extend above the formed silicide 336 and thereby confine the silicide layer between spacers 330. That is, metal layer 334 is deposited to a thickness so that after silicide formation the spacer height Tsp is greater than the sum of a polysilicon gate thickness (Tg) plus the silicide thickness (Ts), (i.e., Tsp>Tg+Ts). Similarly, for preventing silicide encroachment over isolation region 302, metal layer 334 is deposited to a thickness so that after silicide reaction silicide layer 336 on source/ drain regions 331 and 333 are beneath the top surface of isolation region 302. That is, silicide 336 on source/ drain regions 331 and 333 has a height less than Tiso over substrate surface 301. In this way silicide 336 is confined to the source/ drain regions 331 and 333 between spacers 330 and isolation region 302.
  • It is to be appreciated that when volume expanding silicides are utilized, such as nickel silicide, additional margin should be provided to ensure that the silicide is unable to expand over [0050] spacers 330 and/or isolation region 302. The confining techniques of the present invention enable the use of volume expanding silicide layers without worrying about silicide encroachment problems associated with the prior art.
  • In an alternative to forming [0051] silicide 336 by a self-aligned process, silicide layer 336 can be selectively deposited onto source/ drain regions 331 and 333 and polysilicon layer 320. For example, titanium silicide can be selectively deposited onto source/ drain regions 331 and 333 and gate electrode 320 by chemical vapor deposition (CVD) using reactive gasses comprising TiC4, SiH2Cl2 and/or SiH4 with a hydrogen carrier gas at a temperature ranging from 600-900° C. and a pressure between 5-100 torr. Such a process is said to be a selective process because it will form silicide only on exposed silicon surfaces, such as source/ drain regions 331 and 332 and polysilicon gate electrode 320 and not on insulative regions such as spacers 330 or STI isolation region 302. It is recommended to utilize an HF dip prior to selective silicide deposition to ensure complete removal of all native oxide layers formed on silicon surfaces. A subsequent high temperature rapid thermal anneal can be used to convert the as deposited titanium silicide phase (C49 ) into low resistance phase (C54 ).
  • In a selective deposition process, insignificant amounts of silicon are consumed during the deposition as compared to a salicide process. Since less silicon is needed to support the silicide deposition, when a selective silicide process is used [0052] polysilicon layer 320 can be formed very thin to further decrease poly depletion effects and improve photolithography and etching processes.
  • It is to be appreciated that it may be desirable to selectively deposit semiconductor material prior to silicide formation. For example, after forming [0053] sidewall spacers 330 and recesses 332, and removing sacrificial layer 322 it may be desirable to selectively deposit a semiconductor material, such as silicon germanium, into recesses 332 and onto polysilicon layer 320 as shown in FIG. 4A. Ion implementation or insitu doping, can be used to dope the semiconductor material to the desired conductivity type and concentration. Additionally, a subsequent anneal step can be used to out diffuse dopants from the semiconductor material to form ultra shallow tip regions as discussed in U.S. patent application Ser. No. 08/363,749, filed Dec. 23, 1994. Additionally depositing additional semiconductor material on source/drain regions enables the manufacture of “raised” source/drain regions which reduce resistances of the device and improves performance. Silicide 336 is then subsequently formed on the deposited semiconductor material 400 as shown in FIG. 4B. In such a case, the deposited semiconductor material 400 combines with the previously deposited polysilicon layer 320 to set the gate electrode height (Tg). In such a case, sacrificial layer 322 should be made sufficiently thick to compensate for the additional volume occupied by the deposited semiconductor material 400.
  • It is to be appreciated that an advantage of the present method of confining silicide onto an electrode is the fact that it enables thin sidewall spacers (less than 300Å wide) to be used. In prior art processes, spacers having a width of at least 2000Å were required to ensure that they provided a sufficient gap or distance to prevent silicide encroachment. The use of thin spacers to confine silicide enables the further scaling of semiconductor devices. Additionally thin sidewall spacers allow solid source diffusion to be used to form ultra shallow tip regions since dopants have only a short distance (less than 300Å) to diffuse underneath the gate electrode. Low resistance ultra shallow tips allow the fabrication of high performance semiconductor devices. [0054]
  • Thus, device structures and methods for preventing silicide encroachment have been described. [0055]

Claims (29)

We claim:
1. A semiconductor device comprising:
an electrode having a first thickness;
a silicide layer on said electrode, said silicide layer having a second thickness; and
a sidewall spacer adjacent to said electrode, wherein said sidewall spacer has a height greater than the sum of said first thickness and said second thickness.
2. A metal oxide semiconductor device comprising:
a gate electrode having a first thickness;
a silicide layer on said gate electrode, said silicide layer having a second thickness;
a pair of sidewall spacers on opposite sides of said gate electrode, said sidewall spacers having a height which is greater than the sum of said first thickness and said second thickness; and
a pair of source/drain regions formed on opposite sides of said gate electrode.
3. The semiconductor device of claim 2 wherein said gate electrode comprises polysilicon.
4. The semiconductor device of claim 2 wherein said gate electrode further comprises selectively deposited semiconductor on said polysilicon layer.
5. A semiconductor device comprising:
a gate electrode formed on a gate dielectric layer formed on a first surface of a substrate;
a pair of source/drain regions formed on opposite sides of said gate electrode;
an isolation region having a top surface extending less than 1500Å above said first substrate surface; and
a silicide layer formed on said source/drain regions wherein said silicide layer has a top surface with a height less than said top surface of said isolation region.
6. The semiconductor device of claim 5 further comprising:
a gate silicide layer having a first thickness formed on said gate electrode, said gate electrode having a second thickness; and
a pair of sidewall spacers formed on opposite sides of said gate electrode, wherein said sidewall spacers have a height which is greater than the sum of said first thickness and said second thickness.
7. A method of forming a semiconductor device comprising the steps of:
forming an electrode having a first thickness;
forming a silicide layer having a second thickness on said electrode; and
forming a sidewall spacer adjacent to said gate electrode wherein said sidewall spacer has a height which is greater than the sum of said first thickness and said second thickness.
8. A method of forming an MOS transistor comprising the steps of:
forming a gate electrode on a gate dielectric layer formed on a first surface of a substrate;
forming a pair of source/drain regions on opposite sides of said gate electrode;
forming an isolation region having a top surface extending less than 1500Å above said first substrate surface; and
forming a silicide layer on said pair of source/drain regions wherein said silicide layer has a top surface with a height less than the top surface of said isolation region.
9. The method of claim 8 further comprising the steps of:
forming a gate silicide layer having a first thickness on said gate electrode, wherein said gate electrode has a second thickness; and
forming a pair of sidewall spacers on opposite sides of said gate electrode, wherein said sidewall spacers have a height which is greater than the sum of said first thickness and said second thickness.
10. A method of forming a semiconductor device, said method comprising the steps of:
forming a gate dielectric layer on a silicon substrate;
forming a silicon layer over said gate dielectric layer said silicon layer having a first thickness;
a sacrificial layer over said silicon layer;
patterning said silicon layer and said sacrificial layer into an electrode;
forming a pair of spacers on opposite sides of said electrode said spacers having a first height;
removing said sacrificial layer from over said silicon layer; and
forming a silicide having a second thickness on said silicon layer and on said substrate adjacent to the outside edges of said spacers, wherein said first height is greater than the sum of said first thickness and said second thickness.
11. The method of claim 10 wherein said sacrificial layer is silicon germanium.
12. The method of claim 10 wherein said spacers comprise silicon nitride.
13. The method of claim 10 wherein said sacrificial layer comprises silicon dioxide.
14. The method of claim 13 wherein said silicon dioxide layer is doped with fluorine.
15. The method of claim 10 wherein said sacrificial layer is removed with a wet etchant.
16. The method of claim 10 further comprising the step of:
polishing said silicon layer prior to forming said sacrificial layer.
17. The method of claim 10 further comprising the step of:
doping said silicon layer after removing said sacrificial layer.
18. The method of claim 10 wherein said step of forming said silicide layer comprises the steps of:
blanket depositing a refractory metal over said substrate, said pair of sidewall spacers, and said silicon layer;
thermally reacting said refractory metal with said substrate and said silicon layer to form a refractory metal silicide; and
removing said refractory metal from said pair of spacers.
19. The method of claim 10 wherein said step of forming said silicide layer comprises the step of:
selectively depositing said silicide layer on said silicon layer and on said substrate.
20. The method of claim 10 wherein said spacers have a height greater than the sum of the thickness of said silicon layer and said silicide layer.
21. The method of claim 10 wherein said silicon layer is polycrystalline silicon.
22. The method of claim 10 wherein said spacer height is greater than the sum of said silicon thickness plus said gate dielectric thickness.
23. A method of forming a device, said method comprising the steps of:
forming a silicon electrode having a first thickness;
forming a metal layer on said silicon electrode; and
forming a silicide on said silicon electrode by reacting said metal layer and said silicon gate electrode, wherein said silicide has a second thickness, said second thickness at least twice said first thickness.
24. A method of forming a semiconductor device in a semiconductor substrate comprising the steps of:
forming an isolation region in said semiconductor substrate;
etching said semiconductor substrate adjacent to said isolation region to form a recess region; and
forming a silicide in said recessed region.
25. A method of forming an integrated circuit comprising the steps of:
forming an isolation region in a semiconductor substrate, said isolation region having a top surface extending less than 1500Å above said semiconductor substrate.
forming a first and second diffusion region adjacent to and on opposite sides of said isolation region in said semiconductor substrate; and
forming silicide on said first and second diffusion regions wherein said silicide has a top surface with a height less than the top surface of said isolation region.
26. A method of forming a semiconductor device, said method comprising the steps of:
forming a silicon film above a substrate;
forming a silicon germanium film on said silicon film;
patterning said silicon film and said silicon germanium film into an intermediate electrode;
forming a pair of sidewall spacers on opposite sides of said intermediate electrode;
removing said silicon germanium film from said intermediate electrode to reveal said silicon film; and
forming a silicide film on said silicon film.
27. The method of claim 26 wherein said silicon film is polycrystalline silicon.
28. The method of claim 26 wherein said silicon germanium film is removed with a mixture of NH4OH and H2O2.
29. The method of claim 26 wherein said silicon germanium film is removed with sulfuric acid (H2SO4).
US10/010,525 1997-06-30 2001-12-07 Device structure and method for reducing silicide encroachment Abandoned US20020053711A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/010,525 US20020053711A1 (en) 1997-06-30 2001-12-07 Device structure and method for reducing silicide encroachment

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US08/884,912 US6518155B1 (en) 1997-06-30 1997-06-30 Device structure and method for reducing silicide encroachment
US09/115,405 US6765273B1 (en) 1997-06-30 1998-07-14 Device structure and method for reducing silicide encroachment
US09/654,315 US6777759B1 (en) 1997-06-30 2000-09-01 Device structure and method for reducing silicide encroachment
US10/010,525 US20020053711A1 (en) 1997-06-30 2001-12-07 Device structure and method for reducing silicide encroachment

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/654,315 Division US6777759B1 (en) 1997-06-30 2000-09-01 Device structure and method for reducing silicide encroachment

Publications (1)

Publication Number Publication Date
US20020053711A1 true US20020053711A1 (en) 2002-05-09

Family

ID=32852912

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/654,315 Expired - Lifetime US6777759B1 (en) 1997-06-30 2000-09-01 Device structure and method for reducing silicide encroachment
US10/010,525 Abandoned US20020053711A1 (en) 1997-06-30 2001-12-07 Device structure and method for reducing silicide encroachment

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/654,315 Expired - Lifetime US6777759B1 (en) 1997-06-30 2000-09-01 Device structure and method for reducing silicide encroachment

Country Status (1)

Country Link
US (2) US6777759B1 (en)

Cited By (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040129979A1 (en) * 2003-01-07 2004-07-08 International Business Machines Corporation CMOS device on ultrathin SOI with a deposited raised source/drain, and a method of manufacture
US20040180487A1 (en) * 2003-03-12 2004-09-16 Eppich Denise M. Transistor devices, CMOS constructions, capacitor constructions, and methods of forming transistor devices and capacitor constructions
US20040227185A1 (en) * 2003-01-15 2004-11-18 Renesas Technology Corp. Semiconductor device
US20050009281A1 (en) * 2003-07-08 2005-01-13 Lim Kwan Yong Method of forming gate in semiconductor device
US20050064636A1 (en) * 2003-09-24 2005-03-24 Cyril Cabral Method and apparatus for fabricating CMOS field effect transistors
US20050083744A1 (en) * 2003-10-10 2005-04-21 Fumitaka Arai Semiconductor memory device with MOS transistors each having a floating gate and a control gate
US20050110074A1 (en) * 2003-11-24 2005-05-26 Young-Chul Jang Transistor and method of fabricating the same
US20050156208A1 (en) * 2003-09-30 2005-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Device having multiple silicide types and a method for its fabrication
US20050205896A1 (en) * 2004-03-18 2005-09-22 Hong-Jyh Li Transistor with dopant-bearing metal in source and drain
US20050223198A1 (en) * 2004-03-31 2005-10-06 Altera Corporation Optimized processors and instruction alignment
US20050263830A1 (en) * 2004-05-28 2005-12-01 Chih-Hao Wang Partial replacement silicide gate
US20050282329A1 (en) * 2004-06-17 2005-12-22 Hong-Jyh Li CMOS transistors with dual high-k gate dielectric and methods of manufacture thereof
US20050280104A1 (en) * 2004-06-17 2005-12-22 Hong-Jyh Li CMOS transistor with dual high-k gate dielectric and method of manufacture thereof
WO2006011939A2 (en) * 2004-06-24 2006-02-02 Applied Materials, Inc. Methods for forming a transistor
US7033869B1 (en) * 2004-01-13 2006-04-25 Advanced Micro Devices Strained silicon semiconductor on insulator MOSFET
US20060131652A1 (en) * 2004-12-20 2006-06-22 Hong-Jyh Li Transistor device and method of manufacture thereof
US20060234433A1 (en) * 2005-04-14 2006-10-19 Hongfa Luan Transistors and methods of manufacture thereof
US20070018255A1 (en) * 2004-05-17 2007-01-25 Fujitsu Limited Semiconductor device and method for fabricating the same
US20070052037A1 (en) * 2005-09-02 2007-03-08 Hongfa Luan Semiconductor devices and methods of manufacture thereof
US20070052036A1 (en) * 2005-09-02 2007-03-08 Hongfa Luan Transistors and methods of manufacture thereof
US20070075351A1 (en) * 2005-09-30 2007-04-05 Thomas Schulz Semiconductor devices and methods of manufacture thereof
US20070075384A1 (en) * 2005-03-21 2007-04-05 Hongfa Luan Transistor device and methods of manufacture thereof
US20070111448A1 (en) * 2005-11-15 2007-05-17 Hong-Jyh Li Semiconductor devices and methods of manufacture thereof
US20070131972A1 (en) * 2005-12-14 2007-06-14 Hong-Jyh Li Semiconductor devices and methods of manufacture thereof
US20070141797A1 (en) * 2005-12-16 2007-06-21 Hong-Jyh Li Semiconductor devices and methods of manufacture thereof
US20080050898A1 (en) * 2006-08-23 2008-02-28 Hongfa Luan Semiconductor devices and methods of manufacture thereof
US20080179689A1 (en) * 2007-01-31 2008-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Metal salicide formation having nitride liner to reduce silicide stringer and encroachment
US20090214869A1 (en) * 2008-02-14 2009-08-27 Zeon Corporation Method for producing retardation film
US7709901B2 (en) 2004-12-06 2010-05-04 Infineon Technologies Ag CMOS transistor and method of manufacture thereof
US20100109091A1 (en) * 2008-10-31 2010-05-06 Uwe Griebenow Recessed drain and source areas in combination with advanced silicide formation in transistors
WO2010049086A2 (en) * 2008-10-31 2010-05-06 Advanced Micro Devices, Inc. Recessed drain and source areas in combination with advanced silicide formation in transistors
US20100197089A1 (en) * 2009-02-05 2010-08-05 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices with metal-semiconductor compound source/drain contact regions
US7838375B1 (en) * 2007-05-25 2010-11-23 National Semiconductor Corporation System and method for providing a polyemit module for a self aligned heterojunction bipolar transistor architecture
US20110108912A1 (en) * 2009-11-09 2011-05-12 Hamilton Lu Methods for fabricating trench metal oxide semiconductor field effect transistors
US20110217817A1 (en) * 2010-03-05 2011-09-08 Jongwon Kim Semiconductor memory device and method of manufacturing the same
US8754472B2 (en) 2011-03-10 2014-06-17 O2Micro, Inc. Methods for fabricating transistors including one or more circular trenches
KR101563056B1 (en) 2013-03-12 2015-10-23 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device including dummy isolation gate structure and method of fabricating thereof

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2003202499A1 (en) * 2002-01-09 2003-07-30 Matsushita Electric Industrial Co., Ltd. Semiconductor device and its production method
US6821855B2 (en) * 2002-08-29 2004-11-23 Micron Technology, Inc. Reverse metal process for creating a metal silicide transistor gate structure
US6746967B2 (en) * 2002-09-30 2004-06-08 Intel Corporation Etching metal using sonication
KR100566300B1 (en) * 2003-06-25 2006-03-30 주식회사 하이닉스반도체 Method for fabrication of capacitor bottom electrode of semiconductor device
JP4057985B2 (en) * 2003-09-19 2008-03-05 株式会社東芝 Manufacturing method of semiconductor device
US20050090082A1 (en) * 2003-10-28 2005-04-28 Texas Instruments Incorporated Method and system for improving performance of MOSFETs
US7129139B2 (en) * 2003-12-22 2006-10-31 Intel Corporation Methods for selective deposition to improve selectivity
US7202132B2 (en) 2004-01-16 2007-04-10 International Business Machines Corporation Protecting silicon germanium sidewall with silicon for strained silicon/silicon germanium MOSFETs
US7402207B1 (en) 2004-05-05 2008-07-22 Advanced Micro Devices, Inc. Method and apparatus for controlling the thickness of a selective epitaxial growth layer
US7855126B2 (en) * 2004-06-17 2010-12-21 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a cyclic selective epitaxial growth technique and semiconductor devices formed using the same
US7183780B2 (en) * 2004-09-17 2007-02-27 International Business Machines Corporation Electrical open/short contact alignment structure for active region vs. gate region
US7402485B1 (en) 2004-10-20 2008-07-22 Advanced Micro Devices, Inc. Method of forming a semiconductor device
US7241700B1 (en) 2004-10-20 2007-07-10 Advanced Micro Devices, Inc. Methods for post offset spacer clean for improved selective epitaxy silicon growth
US7456062B1 (en) 2004-10-20 2008-11-25 Advanced Micro Devices, Inc. Method of forming a semiconductor device
JP4369359B2 (en) 2004-12-28 2009-11-18 富士通マイクロエレクトロニクス株式会社 Semiconductor device
KR100617058B1 (en) * 2004-12-30 2006-08-30 동부일렉트로닉스 주식회사 A semiconductor device and a method for fabricating the same
US7544553B2 (en) * 2005-03-30 2009-06-09 Infineon Technologies Ag Integration scheme for fully silicided gate
US20060252191A1 (en) * 2005-05-03 2006-11-09 Advanced Micro Devices, Inc. Methodology for deposition of doped SEG for raised source/drain regions
US20060281271A1 (en) * 2005-06-13 2006-12-14 Advanced Micro Devices, Inc. Method of forming a semiconductor device having an epitaxial layer and device thereof
US7553732B1 (en) * 2005-06-13 2009-06-30 Advanced Micro Devices, Inc. Integration scheme for constrained SEG growth on poly during raised S/D processing
US7572705B1 (en) 2005-09-21 2009-08-11 Advanced Micro Devices, Inc. Semiconductor device and method of manufacturing a semiconductor device
US7566609B2 (en) * 2005-11-29 2009-07-28 International Business Machines Corporation Method of manufacturing a semiconductor structure
US20080050863A1 (en) * 2006-08-28 2008-02-28 International Business Machines Corporation Semiconductor structure including multiple stressed layers
KR100849180B1 (en) * 2007-01-11 2008-07-30 삼성전자주식회사 Fabrication method of a semiconductor device having gate silicide
KR101714003B1 (en) 2010-03-19 2017-03-09 삼성전자 주식회사 Method of forming semiconductor device having faceted semiconductor pattern and related device
EP3552228A4 (en) * 2016-12-12 2020-08-05 Applied Materials, Inc. Methods for silicide formation

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5124762A (en) * 1990-12-31 1992-06-23 Honeywell Inc. Gaas heterostructure metal-insulator-semiconductor integrated circuit technology

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4851257A (en) 1987-03-13 1989-07-25 Harris Corporation Process for the fabrication of a vertical contact
US4788160A (en) 1987-03-31 1988-11-29 Texas Instruments Incorporated Process for formation of shallow silicided junctions
FR2652448B1 (en) 1989-09-28 1994-04-29 Commissariat Energie Atomique METHOD FOR MANUFACTURING A HIGH VOLTAGE INTEGRATED CIRCUIT.
US5089872A (en) 1990-04-27 1992-02-18 North Carolina State University Selective germanium deposition on silicon and resulting structures
US5168072A (en) 1990-10-12 1992-12-01 Texas Instruments Incorporated Method of fabricating an high-performance insulated-gate field-effect transistor
KR100274555B1 (en) 1991-06-26 2000-12-15 윌리엄 비. 켐플러 Insulated gate field effect transistor and manufacturing the same
JPH0590517A (en) 1991-09-30 1993-04-09 Toshiba Corp Semiconductor device and manufacture thereof
US5242847A (en) 1992-07-27 1993-09-07 North Carolina State University At Raleigh Selective deposition of doped silion-germanium alloy on semiconductor substrate
US5338698A (en) 1992-12-18 1994-08-16 International Business Machines Corporation Method of fabricating an ultra-short channel field effect transistor
US5453389A (en) 1993-08-27 1995-09-26 National Semiconductor, Inc. Defect-free bipolar process
US5571744A (en) 1993-08-27 1996-11-05 National Semiconductor Corporation Defect free CMOS process
JP2891092B2 (en) 1994-03-07 1999-05-17 日本電気株式会社 Method for manufacturing semiconductor device
JPH07312353A (en) 1994-05-17 1995-11-28 Fuji Electric Co Ltd Manufacture of semiconductor device
US5710450A (en) 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
KR0175367B1 (en) 1995-09-29 1999-02-01 윤종용 Semiconductor device and method of manufacturing the same
KR100206878B1 (en) 1995-12-29 1999-07-01 구본준 Process for fabricating semiconductor device
US5834358A (en) 1996-11-12 1998-11-10 Micron Technology, Inc. Isolation regions and methods of forming isolation regions
US5793089A (en) * 1997-01-10 1998-08-11 Advanced Micro Devices, Inc. Graded MOS transistor junction formed by aligning a sequence of implants to a selectively removable polysilicon sidewall space and oxide thermally grown thereon
US5702972A (en) 1997-01-27 1997-12-30 Taiwan Semiconductor Manufacturing Company Ltd. Method of fabricating MOSFET devices
US6124189A (en) 1997-03-14 2000-09-26 Kabushiki Kaisha Toshiba Metallization structure and method for a semiconductor device
US5851891A (en) 1997-04-21 1998-12-22 Advanced Micro Devices, Inc. IGFET method of forming with silicide contact on ultra-thin gate
US6087706A (en) 1998-04-07 2000-07-11 Advanced Micro Devices, Inc. Compact transistor structure with adjacent trench isolation and source/drain regions implanted vertically into trench walls

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5124762A (en) * 1990-12-31 1992-06-23 Honeywell Inc. Gaas heterostructure metal-insulator-semiconductor integrated circuit technology

Cited By (103)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040129979A1 (en) * 2003-01-07 2004-07-08 International Business Machines Corporation CMOS device on ultrathin SOI with a deposited raised source/drain, and a method of manufacture
US6828630B2 (en) * 2003-01-07 2004-12-07 International Business Machines Corporation CMOS device on ultrathin SOI with a deposited raised source/drain, and a method of manufacture
US6891228B2 (en) 2003-01-07 2005-05-10 International Business Machines Corporation CMOS device on ultrathin SOI with a deposited raised source/drain, and a method of manufacture
US7067881B2 (en) 2003-01-15 2006-06-27 Renesas Technology Corp. Semiconductor device
CN100336228C (en) * 2003-01-15 2007-09-05 株式会社瑞萨科技 Semiconductor device
US20040227185A1 (en) * 2003-01-15 2004-11-18 Renesas Technology Corp. Semiconductor device
US20050275021A1 (en) * 2003-01-15 2005-12-15 Renesas Technology Corp. Semiconductor device
US7019351B2 (en) * 2003-03-12 2006-03-28 Micron Technology, Inc. Transistor devices, and methods of forming transistor devices and circuit devices
US7081656B2 (en) 2003-03-12 2006-07-25 Micron Technology, Inc. CMOS constructions
US20050101078A1 (en) * 2003-03-12 2005-05-12 Eppich Denise M. Capacitor constructions
US7126181B2 (en) 2003-03-12 2006-10-24 Micron Technology, Inc. Capacitor constructions
US20040178458A1 (en) * 2003-03-12 2004-09-16 Eppich Denise M. CMOS constructions and capacitor constructions
US20040180487A1 (en) * 2003-03-12 2004-09-16 Eppich Denise M. Transistor devices, CMOS constructions, capacitor constructions, and methods of forming transistor devices and capacitor constructions
US7253053B2 (en) 2003-03-12 2007-08-07 Micron Technology, Inc. Methods of forming transistor devices and capacitor constructions
US20050009281A1 (en) * 2003-07-08 2005-01-13 Lim Kwan Yong Method of forming gate in semiconductor device
US6987056B2 (en) * 2003-07-08 2006-01-17 Hynix Semiconductor Inc. Method of forming gates in semiconductor devices
US20070128785A1 (en) * 2003-09-24 2007-06-07 Cabral Cyril Jr Method and apparatus for fabricating cmos field effect transistors
US7183182B2 (en) 2003-09-24 2007-02-27 International Business Machines Corporation Method and apparatus for fabricating CMOS field effect transistors
WO2005029579A1 (en) * 2003-09-24 2005-03-31 International Business Machines Corporation Method and apparatus for fabricating cmos field effect transistors
US20050064636A1 (en) * 2003-09-24 2005-03-24 Cyril Cabral Method and apparatus for fabricating CMOS field effect transistors
US20050156208A1 (en) * 2003-09-30 2005-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Device having multiple silicide types and a method for its fabrication
US20050083744A1 (en) * 2003-10-10 2005-04-21 Fumitaka Arai Semiconductor memory device with MOS transistors each having a floating gate and a control gate
US7563683B2 (en) 2003-11-24 2009-07-21 Samsung Electronics Co., Ltd. Transistor and method of fabricating the same
US20050110074A1 (en) * 2003-11-24 2005-05-26 Young-Chul Jang Transistor and method of fabricating the same
US20070087491A1 (en) * 2003-11-24 2007-04-19 Samsung Electronics Co., Ltd. Transistor and method of fabricating the same
US7170133B2 (en) * 2003-11-24 2007-01-30 Samsung Electronics Co. Transistor and method of fabricating the same
US7033869B1 (en) * 2004-01-13 2006-04-25 Advanced Micro Devices Strained silicon semiconductor on insulator MOSFET
US7446379B2 (en) * 2004-03-18 2008-11-04 Infineon Technologies Ag Transistor with dopant-bearing metal in source and drain
US20050205896A1 (en) * 2004-03-18 2005-09-22 Hong-Jyh Li Transistor with dopant-bearing metal in source and drain
US20090026555A1 (en) * 2004-03-18 2009-01-29 Hong-Jyh Li Transistor with Dopant-Bearing Metal in Source and Drain
US8390080B2 (en) 2004-03-18 2013-03-05 Infineon Technologies Ag Transistor with dopant-bearing metal in source and drain
US20050223198A1 (en) * 2004-03-31 2005-10-06 Altera Corporation Optimized processors and instruction alignment
US8874881B2 (en) 2004-03-31 2014-10-28 Altera Corporation Processors operable to allow flexible instruction alignment
US9740488B2 (en) 2004-03-31 2017-08-22 Altera Corporation Processors operable to allow flexible instruction alignment
US8006071B2 (en) * 2004-03-31 2011-08-23 Altera Corporation Processors operable to allow flexible instruction alignment
US20070018255A1 (en) * 2004-05-17 2007-01-25 Fujitsu Limited Semiconductor device and method for fabricating the same
US20050263830A1 (en) * 2004-05-28 2005-12-01 Chih-Hao Wang Partial replacement silicide gate
CN100383970C (en) * 2004-05-28 2008-04-23 台湾积体电路制造股份有限公司 Partial replacement silicide gate
US7498641B2 (en) * 2004-05-28 2009-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Partial replacement silicide gate
US8178902B2 (en) 2004-06-17 2012-05-15 Infineon Technologies Ag CMOS transistor with dual high-k gate dielectric and method of manufacture thereof
US20050282329A1 (en) * 2004-06-17 2005-12-22 Hong-Jyh Li CMOS transistors with dual high-k gate dielectric and methods of manufacture thereof
US7592678B2 (en) 2004-06-17 2009-09-22 Infineon Technologies Ag CMOS transistors with dual high-k gate dielectric and methods of manufacture thereof
US8729633B2 (en) 2004-06-17 2014-05-20 Infineon Technologies Ag CMOS transistor with dual high-k gate dielectric
US20050280104A1 (en) * 2004-06-17 2005-12-22 Hong-Jyh Li CMOS transistor with dual high-k gate dielectric and method of manufacture thereof
US8637357B2 (en) 2004-06-17 2014-01-28 Infineon Technologies Ag CMOS Transistor with dual high-k gate dielectric and method of manufacture thereof
US9269635B2 (en) 2004-06-17 2016-02-23 Infineon Technologies Ag CMOS Transistor with dual high-k gate dielectric
US8476678B2 (en) 2004-06-17 2013-07-02 Infineon Technologies Ag CMOS Transistor with dual high-k gate dielectric
US20080280413A1 (en) * 2004-06-24 2008-11-13 Faran Nouri Methods for forming a transistor
WO2006011939A3 (en) * 2004-06-24 2006-10-19 Applied Materials Inc Methods for forming a transistor
US7968413B2 (en) 2004-06-24 2011-06-28 Applied Materials, Inc. Methods for forming a transistor
WO2006011939A2 (en) * 2004-06-24 2006-02-02 Applied Materials, Inc. Methods for forming a transistor
US7413957B2 (en) 2004-06-24 2008-08-19 Applied Materials, Inc. Methods for forming a transistor
US20080299735A1 (en) * 2004-06-24 2008-12-04 Faran Nouri Methods for forming a transistor
US7833869B2 (en) 2004-06-24 2010-11-16 Applied Materials, Inc. Methods for forming a transistor
US7709901B2 (en) 2004-12-06 2010-05-04 Infineon Technologies Ag CMOS transistor and method of manufacture thereof
US8669154B2 (en) 2004-12-20 2014-03-11 Infineon Technologies Ag Transistor device and method of manufacture thereof
US20060131652A1 (en) * 2004-12-20 2006-06-22 Hong-Jyh Li Transistor device and method of manufacture thereof
US8685814B2 (en) 2004-12-20 2014-04-01 Infineon Technologies Ag Transistor device and method of manufacture thereof
US7964460B2 (en) 2004-12-20 2011-06-21 Infineon Technologies Ag Method of manufacturing an NMOS device and a PMOS device
US20080233694A1 (en) * 2004-12-20 2008-09-25 Hong-Jyh Li Transistor Device and Method of Manufacture Thereof
US20110223728A1 (en) * 2004-12-20 2011-09-15 Hong-Jyh Li Transistor Device and Method of Manufacture Thereof
US8399934B2 (en) 2004-12-20 2013-03-19 Infineon Technologies Ag Transistor device
US20070075384A1 (en) * 2005-03-21 2007-04-05 Hongfa Luan Transistor device and methods of manufacture thereof
US8269289B2 (en) 2005-03-21 2012-09-18 Infineon Technologies Ag Transistor device and methods of manufacture thereof
US8017484B2 (en) 2005-03-21 2011-09-13 Infineon Technologies Ag Transistor device and methods of manufacture thereof
US20080164536A1 (en) * 2005-04-14 2008-07-10 Hongfa Luan Transistors and Methods of Manufacture Thereof
US20060234433A1 (en) * 2005-04-14 2006-10-19 Hongfa Luan Transistors and methods of manufacture thereof
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US20070052036A1 (en) * 2005-09-02 2007-03-08 Hongfa Luan Transistors and methods of manufacture thereof
US20070052037A1 (en) * 2005-09-02 2007-03-08 Hongfa Luan Semiconductor devices and methods of manufacture thereof
US8188551B2 (en) 2005-09-30 2012-05-29 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US8722473B2 (en) 2005-09-30 2014-05-13 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US20070075351A1 (en) * 2005-09-30 2007-04-05 Thomas Schulz Semiconductor devices and methods of manufacture thereof
US9659962B2 (en) 2005-09-30 2017-05-23 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7462538B2 (en) 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
US20070111448A1 (en) * 2005-11-15 2007-05-17 Hong-Jyh Li Semiconductor devices and methods of manufacture thereof
US20100129968A1 (en) * 2005-11-15 2010-05-27 Hong-Jyh Li Semiconductor Devices and Methods of Manufacture Thereof
US20070131972A1 (en) * 2005-12-14 2007-06-14 Hong-Jyh Li Semiconductor devices and methods of manufacture thereof
US7973369B2 (en) 2005-12-14 2011-07-05 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US20100219484A1 (en) * 2005-12-14 2010-09-02 Hong-Jyh Li Semiconductor Devices and Methods of Manufacture Thereof
US7495290B2 (en) 2005-12-14 2009-02-24 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7749832B2 (en) 2005-12-14 2010-07-06 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US8169033B2 (en) 2005-12-14 2012-05-01 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7510943B2 (en) 2005-12-16 2009-03-31 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US20090166752A1 (en) * 2005-12-16 2009-07-02 Hong-Jyh Li Semiconductor Devices and Methods of Manufacture Thereof
US8004047B2 (en) 2005-12-16 2011-08-23 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US20070141797A1 (en) * 2005-12-16 2007-06-21 Hong-Jyh Li Semiconductor devices and methods of manufacture thereof
US20080050898A1 (en) * 2006-08-23 2008-02-28 Hongfa Luan Semiconductor devices and methods of manufacture thereof
US7732298B2 (en) * 2007-01-31 2010-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Metal salicide formation having nitride liner to reduce silicide stringer and encroachment
US20080179689A1 (en) * 2007-01-31 2008-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Metal salicide formation having nitride liner to reduce silicide stringer and encroachment
US7838375B1 (en) * 2007-05-25 2010-11-23 National Semiconductor Corporation System and method for providing a polyemit module for a self aligned heterojunction bipolar transistor architecture
US20090214869A1 (en) * 2008-02-14 2009-08-27 Zeon Corporation Method for producing retardation film
US20100109091A1 (en) * 2008-10-31 2010-05-06 Uwe Griebenow Recessed drain and source areas in combination with advanced silicide formation in transistors
WO2010049086A2 (en) * 2008-10-31 2010-05-06 Advanced Micro Devices, Inc. Recessed drain and source areas in combination with advanced silicide formation in transistors
US8026134B2 (en) 2008-10-31 2011-09-27 Advanced Micro Devices, Inc. Recessed drain and source areas in combination with advanced silicide formation in transistors
WO2010049086A3 (en) * 2008-10-31 2010-08-19 Advanced Micro Devices, Inc. Recessed drain and source areas in combination with advanced silicide formation in transistors
US20100197089A1 (en) * 2009-02-05 2010-08-05 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices with metal-semiconductor compound source/drain contact regions
US20110108912A1 (en) * 2009-11-09 2011-05-12 Hamilton Lu Methods for fabricating trench metal oxide semiconductor field effect transistors
US8168493B2 (en) * 2010-03-05 2012-05-01 Samsung Electronics Co., Ltd. Semiconductor memory device and method of manufacturing the same
US20110217817A1 (en) * 2010-03-05 2011-09-08 Jongwon Kim Semiconductor memory device and method of manufacturing the same
US8754472B2 (en) 2011-03-10 2014-06-17 O2Micro, Inc. Methods for fabricating transistors including one or more circular trenches
KR101563056B1 (en) 2013-03-12 2015-10-23 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device including dummy isolation gate structure and method of fabricating thereof
US9337190B2 (en) 2013-03-12 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including dummy isolation gate structure and method of fabricating thereof

Also Published As

Publication number Publication date
US6777759B1 (en) 2004-08-17

Similar Documents

Publication Publication Date Title
US6765273B1 (en) Device structure and method for reducing silicide encroachment
US6777759B1 (en) Device structure and method for reducing silicide encroachment
US6121100A (en) Method of fabricating a MOS transistor with a raised source/drain extension
US6797556B2 (en) MOS transistor structure and method of fabrication
US6214679B1 (en) Cobalt salicidation method on a silicon germanium film
US5908313A (en) Method of forming a transistor
US6165826A (en) Transistor with low resistance tip and method of fabrication in a CMOS process
US6653700B2 (en) Transistor structure and method of fabrication
US6198142B1 (en) Transistor with minimal junction capacitance and method of fabrication
US7633127B2 (en) Silicide gate transistors and method of manufacture
KR100223729B1 (en) Method of manufacturing salicide semiconductor device
US6707062B2 (en) Transistor in a semiconductor device with an elevated channel and a source drain
US6608354B2 (en) Semiconductor device and method of manufacturing the same
KR100281307B1 (en) Method for manufacturing semiconductor device using selective epitaxial growth
US20090221116A1 (en) Method for Manufacturing Semiconductor Device
US6784506B2 (en) Silicide process using high K-dielectrics
US6200840B1 (en) Method for producing PMOS devices
JPH06112158A (en) Manufacture of semiconductor device
US6339245B1 (en) Nitride overhang structure for the silicidation of transistor electrodes with shallow junctions
US6765269B2 (en) Conformal surface silicide strap on spacer and method of making same
US7416934B2 (en) Semiconductor device
JP3612157B2 (en) Manufacturing method of semiconductor device
US6368960B1 (en) Double sidewall raised silicided source/drain CMOS transistor
US20010051412A1 (en) Method of fabricating semiconductor device
JP2842284B2 (en) Method for manufacturing semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION