US20020019148A1 - Thermally induced reflectivity switch for laser thermal processing - Google Patents

Thermally induced reflectivity switch for laser thermal processing Download PDF

Info

Publication number
US20020019148A1
US20020019148A1 US09/933,795 US93379501A US2002019148A1 US 20020019148 A1 US20020019148 A1 US 20020019148A1 US 93379501 A US93379501 A US 93379501A US 2002019148 A1 US2002019148 A1 US 2002019148A1
Authority
US
United States
Prior art keywords
layer
process region
temperature
absorber layer
reflectivity
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/933,795
Other versions
US6383956B2 (en
Inventor
Andrew Hawryluk
Somit Talwar
Yun Wang
Michael Thompson
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Veeco Instruments Inc
Original Assignee
Ultratech Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ultratech Inc filed Critical Ultratech Inc
Priority to US09/933,795 priority Critical patent/US6383956B2/en
Publication of US20020019148A1 publication Critical patent/US20020019148A1/en
Priority to US10/078,842 priority patent/US6635588B1/en
Application granted granted Critical
Publication of US6383956B2 publication Critical patent/US6383956B2/en
Assigned to ULTRATECH, INC. reassignment ULTRATECH, INC. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: ULTRATECH STEPPER, INC.
Assigned to VEECO INSTRUMENTS INC. reassignment VEECO INSTRUMENTS INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ULTRATECH, INC.
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/18Working by laser beam, e.g. welding, cutting or boring using absorbing layers on the workpiece, e.g. for marking or protecting purposes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/009Working by laser beam, e.g. welding, cutting or boring using a non-absorbing, e.g. transparent, reflective or refractive, layer on the workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation

Definitions

  • the present invention relates to laser thermal processing, and in particular to a method of and apparatus for delivering precise amounts of thermal energy to a workpiece to be so processed.
  • LTP Laser thermal processing
  • One method of LTP applied to semiconductor manufacturing involves using a short-pulsed laser to thermally anneal the source and drain of the transistor and to activate the implanted dopants therein. Under the appropriate conditions, it is possible to produce source and drain junctions with activated dopant levels that are above the solid solubility limit. This produces transistors with greater speeds and higher drive currents. This technique is disclosed in U.S. Pat. No. 5,908,307 entitled “Fabrication Method for Reduced Dimension FEr Devices,” incorporated by reference herein.
  • the present invention relates to laser thermal processing, and in particular to a method of and apparatus for delivering precise amounts of thermal energy to a workpiece to be so processed.
  • the present invention solves the problem of non-uniform thermal heating of a workpiece processed using laser radiation by introducing a thermally-induced reflectivity “switch” that controls the amount of heat transferred to a workpiece, such as a silicon wafer.
  • This reflectivity switch layer comprises one or more layers of material designed such that the reflectivity of the switch to incident laser radiation changes from “low” to “high” as one or more underlying process regions of the workpiece reach a predetermined temperature.
  • This temperature may be, for example, the temperature at which the process region is activated.
  • the one or more underlying regions may be the source and drain regions of a transistor or a doped region of a junction, and the predetermined temperature may be the activation temperature of the process region.
  • the portions of the reflective switch layer overlying the process regions switches from a low reflectivity state to a high reflectivity state and reflects additional incident laser radiation when a critical switch temperature is achieved, thereby preventing further heating of the underlying process regions and limiting the temperature of the one or more underlying regions to a maximum value.
  • the pre-determined temperature is that where amorphous silicon in the source-drain regions of the transistors reach a temperature between 1100 and 1410° C. At this point, the amorphous silicon is melted and the dopants become activated. This temperature is low enough so that the underlying crystalline silicon substrate does not melt, which is desirable from the viewpoint of device performance.
  • the reflectivity switch of the present invention prevents local regions on the wafer from heating substantially beyond the predetermined temperature due to a variety effects, such as fluctuations in the laser energy, the spatial uniformity of the laser beam, or the thermal mass variations due to the transistor density.
  • FIG. 1 is a schematic cross-sectional diagram of the reflective switch of the present invention shown as part of a film stack arranged on a semiconductor wafer having an amorphous doped region, with the wafer arranged in a wafer holder in relation to a laser light source;
  • FIG. 2 is the same as FIG. 1, but the reflective switch layer of the film stack comprises a layer of silicon dioxide adjacent the absorber layer, and amorphous or polycrystalline silicon adjacent the silicon dioxide layer;
  • FIG. 3 is a plot of the temperature T vs. time for the temperature (T 64 ) of the reflective switch layer and the temperature (T 30 ) of the amorphous doped region versus time, showing the point, T C , where the reflectivity of the switch layer transitions from a low reflectivity state (i.e., transparent state) to a high reflectivity state;
  • FIG. 4 is a plot of reflectivity R versus time for the reflective switch layer, showing the transition from a low reflectivity state (i.e., nearly transparent state) to a high reflectivity state;
  • FIG. 5 is a cross-sectional schematic diagram of a wafer having devices (e.g., transistors) in a region of high device density and a region of low device density, with the film stack of FIG. 1 arranged thereon.
  • devices e.g., transistors
  • the present invention relates to laser thermal processing, and in particular to a method of and apparatus for delivering precise amounts of thermal energy to a workpiece to be so processed.
  • FIGS. 1 and 2 The basic concept of the reflectivity switch is illustrated in FIGS. 1 and 2 with regard to processing a semiconductor substrate as part of the process of manufacturing a semiconductor device such as a junction or a transistor.
  • a film stack 6 formed on a silicon semiconductor wafer W as a workpiece to be processed using LTP and laser irradiation 10 from a laser light source L.
  • Wafer W is supported by a wafer support member WS such that light source L, film stack 6 and wafer W all lie along an axis A, as shown in FIG. 1.
  • Laser radiation 10 is preferably pulses of light having a wavelength of between 500 nm and 1100 nm.
  • a suitable laser light source L includes a YAG laser operating at 1064 nm, a frequency-doubled YAG laser operating at 532 nm, and an Alexandrite laser operating between 700 and 800 nm.
  • Suitable laser pulse lengths range from 1 nanosecond to 1 ⁇ second, and suitable energy levels range from 0.1-10 J/cm 2 .
  • Wafer W comprises a crystalline silicon region 20 within which is formed an amorphous doped silicon region 30 having dopants 34 .
  • amorphous doped region 30 is considered as a single doped region.
  • amorphous doped region 30 represents one example of a region to be processed, referred to herein as a “process region.”
  • wafer W may contain a plurality of amorphous doped regions 30 , or one positively doped region and one negatively doped region serving as source and drain regions, respectively, of a transistor.
  • amorphous doped region 30 may be formed by performing an ion implant of Si or Ge ions into wafer W to a target depth ranging from a few angstroms to about 1000 angstroms. This implantation process disorders the substrate crystal structure in crystal region 20 to the point of making this implanted region amorphous.
  • the implanted species can be Si, Ge, Ar, As, P, Xe, Sb, and In. Implantation of amorphizing dopants can be performed with known apparatus, such as the 9500 XR ION IMPLANTERTM, commercially available from Applied Materials, Inc., San Jose, Calif.
  • a second dopant ion implant is then performed using p-type dopant ions (e.g., boron, aluminum, gallium, beryllium, magnesium, or zinc) or n-type dopant ions (e.g., phosphorous, arsenic, antimony, bismuth, selenium, and tellurium) from an ion implanter.
  • the ions are accelerated to a given energy level (e.g., 200 eV to 40 KeV) and implanted in the previously amorphized region to a given dose (e.g., about 1 ⁇ 10 14 atoms/cm 2 to 1 ⁇ 10 16 atoms/cm 2 ), thereby forming doped, amorphous region 30 .
  • the latter typically has, in practice, a concentration of dopant that is graded with depth into wafer W.
  • the first and second steps of the present embodiment can be interchanged to achieve the same effect, or carried out in a single step if the dopant implant also amorphizes crystalline region 20 .
  • an absorber layer 50 comprising a material capable of absorbing incident laser radiation and converting the absorbed radiation into heat.
  • Absorber layer 50 needs to be capable of withstanding high temperatures, i.e., temperatures in excess of the crystalline silicon melting temperature of 1410° C. The material making up absorber layer 50 must also be easily removable without impacting the layers or regions below.
  • One role of absorber layer 50 is to maintain the physical structure of devices resident in or on wafer W during processing.
  • An exemplary material for absorber layer 50 is tantalum nitride (TaN), deposited to a thickness of between 500 and 1000 angstroms via sputtering or by CVD.
  • absorber layer 50 examples include titanium (Ti), titanium nitride (TiN), tantalum (Ta), tungsten nitride (WN), silicon dioxide, silicon nitride, or a combination of these.
  • Ti titanium
  • TiN titanium nitride
  • Ta tantalum
  • WN tungsten nitride
  • silicon dioxide silicon nitride
  • a silicon dioxide or silicon nitride layer may need to be deposited as part of the absorber layer to prevent contamination of wafer W by the absorber layer material (i.e., between metal and semiconductor), or adjust the reflectivity of the absorber layer.
  • a thin strippable layer 40 is optionally placed between absorber layer 50 and amorphous silicon region 30 to facilitate stripping of the absorber layer after LTP is performed.
  • Exemplary materials for stripping layer 40 include silicon dioxide and silicon nitride, which can be deposited by sputtering or by CVD.
  • a reflectivity switch layer 60 formed atop absorber layer 50 .
  • Layer 60 is designed so that it is initially substantially transparent to laser radiation 10 , allowing absorber layer 50 to perform as described above. However, the properties of layer 60 are such that its reflectivity to incident laser radiation 10 changes from low to high when it reaches a certain temperature, referred to herein as the threshold temperature.
  • Reflectivity switch layer 60 can comprise a single film layer or multiple film layers (i.e., one or more film layers). With reference to FIG. 2, in one embodiment, reflective switch layer 60 comprises a first thermal insulating layer 62 of silicon dioxide and a second transition layer 64 of amorphous or polycrystalline silicon atop the silicon dioxide layer. It is desirable to design the thicknesses of reflectivity switch layer 60 so as to optimize the coupling of the laser radiation 10 into absorber layer 50 . This can be done by using standard thin film design techniques to optimize the thicknesses and index of refraction of the materials in film stack 6 such that there is a minimum reflectivity at room temperature for incident radiation 10 .
  • layer 62 has a thickness ranging from about 10-250 nm, while the thickness of layer 64 ranges from about 10-250 nm. This provides a reflectivity in the low reflectivity state in the range from about 5% to 20%, and a reflectivity in the high reflectivity state in the range from about 50% to 75% for a wavelength of light of about 1000 nm.
  • LTP of wafer W is performed by directing laser radiation 10 to film stack 6 along an axis A for the purpose of activating amorphous doped region 30 .
  • Reflectivity switch layer 60 is initially substantially transparent. Accordingly, most of laser radiation 10 passes through layer 60 and is incident absorber layer 50 . Radiation 10 is absorbed in layer 50 , thereby heating this layer. Absorber layer 50 heats up and re-radiates this heat to amorphous doped region 30 and to reflectivity switch layer 60 . Doped amorphous region 30 is thus heated to its activation temperature of between 1100-1410° C., while reflective switch layer 60 is also heated to its critical temperature.
  • dopants 34 become incorporated into the lattice sites and are “activated.” However, if too much laser radiation is incident absorber layer 50 then amorphous region 30 is provided with too much heat.
  • the present invention prevents the workpiece (wafer W) from reaching or exceeding a maximum workpiece temperature, which is an upper temperature beyond which there is an undesirable affect on the workpiece (e.g., melting). This extra heat can cause the underlying crystalline silicon region 20 to melt. This is undesirable because it can adversely affect the properties of amorphous doped region 30 . Where the latter constitutes the source or drain region of a transistor, such overheating can damage the transistor gate region (not shown).
  • the critical temperature T C is set such that this temperature is reached when the temperature T 30 of process region 30 reaches temperature T P .
  • temperature T C it will often be preferable to set temperature T C so that it is reached prior to when the temperature T 30 reaches T P .
  • reflectivity switch layer 64 When reflectivity switch layer 64 reaches its critical temperature T C , the reflectivity switches from a low reflectivity state R L to a high reflectivity state R H , as illustrated in FIG. 4.
  • the switch occurs primarily because of the change in reflectivity of layer 64 when it reaches this critical temperature (such as when the material changes from a solid to liquid state).
  • the timing, or tracking, of the temperature of layer 64 relative to T 30 is accomplished by adjusting the thermal conductivity and thickness of layer 62 .
  • reflectivity switch layer 60 can have a low reflectivity (less than 10%) and a high reflectivity (>70%).
  • Reflectivity switch layer 60 is designed as follows: The process begins by choosing the operational laser wavelength and pulse-length. For this example, consider a wavelength of 1064 nm and a pulse-length of 10 nanoseconds. Next is chosen optional strippable layer 40 and absorber layer 50 .
  • strippable layer 40 can be 10-20 nm of silicon dioxide or silicon nitride
  • absorber layer 50 can be 20-100 nm of titanium, titanium-nitride, titanium, or a combination of these layers.
  • the purpose of absorber layer 50 is to absorb incident laser radiation 10 , so sufficient material must be used to absorb greater than about 75% of the incident radiation. For this example, a 10 nm oxide for layer 40 and 40 nm titanium for layer 50 is a suitable choice.
  • an arbitrary thickness for layer 62 is chosen.
  • Appropriate materials are either silicon dioxide or silicon nitride. For this example, 50 nm of silicon dioxide is a suitable choice.
  • an arbitrary thickness for layer 64 is chosen.
  • Appropriate materials for layer 64 are any materials that exhibit a significant change in reflectivity when heated to a temperature range between about 1000-3000° C., such as crystalline silicon, polycrystalline silicon, amorphous silicon, or titanium. Layer 64 is chosen such that its optical properties change significantly when it melts.
  • a layer 64 comprising 100 nm of amorphous silicon is a suitable choice for the present example.
  • the next step in designing reflectivity switch layer 60 is to minimize the optical reflectivity of film stack 6 using a thin-film analysis code.
  • a thin-film analysis code such as CODE V from Optical Research Associates, CA.
  • the reflectivity of film stack 6 is minimized from the stack by adjusting layer 64 , the 100 nm of amorphous silicon.
  • the goal is to produce a film stack 6 with a reflectivity less than 10%.
  • a thermal transport code is used, such as TOPAZ from Lawrence Livermore National Laboratory, Livermore, Calif., to calculate the thermal properties of film stack 6 and the underlying layer 30 .
  • the temperature of layer 64 relative to region (layer) 30 is calculated and plotted.
  • the thickness of layer 62 is then varied until layer 64 reaches its melt temperature at the same time when region 30 reaches its activation temperature. This insures that layer 62 will begin to reflect any additional laser radiation away from the structure after region 30 has been activated. Finally, the reflectivity of the stack is re-optimized (by optimizing layer 64 ) with the new thickness value for layer 62 .
  • the optimum stack is calculated to be:
  • Layer 40 silicon dioxide: 10 nm
  • Layer 62 silicon dioxide: 80 nm
  • film stack 6 has a minimum reflectivity of 6% (at room temperature), and a maximum reflectivity of 70% (at region 30 activation temperature) is predicted.
  • film stack 6 are as follows:
  • Layer 40 silicon dioxide: 10 nm
  • Layer 62 silicon dioxide: 80 nm
  • Layer 40 silicon dioxide: 10 nm
  • Layer 62 silicon nitride: 266 nm
  • reflectivity switch layer 60 is designed so it reaches its critical temperature at which the reflectivity change occurs before amorphous doped region reaches a temperature of about 1410° C., but after it reaches the dopant activation temperature of 1100° C. This is achieved by properly designing thermal insulating layer 62 , as described above. By choosing its thickness and thermal properties in the manner described above the temperature of transition layer 64 can be engineered so that its reflectivity switches at the proper temperature. Once reflectivity switch layer 64 transitions from a low reflectivity state R L to a high reflectivity state R H , incident laser radiation 10 is reflected, as indicated by reflected radiation 10 ′ in FIG. 2. This prevents further heating of absorber layer 50 and therefore, further heating of amorphous doped region 30 .
  • amorphous doped region 30 may be at a significantly different temperature.
  • reflectivity switch layer 60 is designed to change reflectivity state so as to allow activation of the process region without melting the surrounding region (e.g., crystalline region 20 ).
  • a reflectivity switch layer 60 comprising multiple layers, only one of the layers may be the layer that changes reflectivity (i.e., the “transition layer”), while the other layers are “temperature-adjusting layers” that are used to set the critical temperature of the transition layer.
  • the transition layer the layer that changes reflectivity
  • the other layers are “temperature-adjusting layers” that are used to set the critical temperature of the transition layer.
  • layer 64 is the transition layer
  • layer 62 is the temperature-adjusting layer.
  • compositions for reflectivity switch layer 60 include a two-layer geometry with layer 62 comprising silicon dioxide, silicon nitride, silicon oxynitride, or any combination thereof, and layer 64 comprising silicon, titanium or any other material that changes reflectivity state in the temperature range from 1000-3000° C. These films may be deposited by physical or chemical vapor deposition.
  • non-uniformities in laser radiation 10 or variations in the density of devices 100 across wafer W influence the temperature of amorphous doped regions 30 , which in FIG. 5 are sources and drains 110 S and 110 D in devices 100 .
  • This will influence the temperature of reflectivity switch layer 60 .
  • reflectivity switch layer 60 will only activate when source and drain regions 110 S and 110 D reach the dopant activation temperature range of 1100-1410° C.
  • the density of devices 100 in region 120 is less than that of region 130 , so that region 120 has a smaller thermal mass as compared to region 130 . Accordingly, devices 100 in region 120 will be heated more quickly than the devices in region 130 .
  • the present invention includes a method of forming a semiconductor device from a semiconductor wafer.
  • the method includes the steps of forming one or more process region in semiconductor wafer W comprising devices 100 having amorphous doped silicon regions, such as source and drain regions 110 S and 110 D, respectively, each having an activation temperature.
  • the next steps involve depositing an absorber layer over the process region, depositing a reflective switch layer atop the absorber layer, and irradiating the absorber layer through the reflective switch layer to heat the absorber layer and the reflective switch layer.
  • These steps are described above, as is the step of heating the process region with heat from the absorber layer until the reflective switch layer reaches the activation temperature. At this point, the reflective switch layer switches to a high reflectivity state, thereby reducing the amount of radiation incident the absorber layer.
  • the final step is then removing the absorber layer and the reflective switch layer. This can be achieved by using commercial etch techniques.

Abstract

A method, apparatus and system for controlling the amount of heat transferred to a process region (30) of a workpiece (W) from exposure with laser radiation (10) using a thermally induced reflectivity switch layer (60). The apparatus of the invention is a film stack (6) having an absorber layer (50) deposited atop the workpiece, such as a silicon wafer. A portion of the absorber layer covers the process region. The absorber layer absorbs laser radiation and converts the absorbed radiation into heat. A reflective switch layer (60) is deposited atop the absorber layer. Tne reflective switch layer may comprise one or more thin film layers, and preferably includes a thermal insulator layer and a transition layer. The portion of the reflective switch layer covering the process region has a temperature that corresponds to the temperature of the process region. The reflectivity of the reflectivity switch layer changes from a low reflectivity state to a high reflectivity state at a critical temperature so as to limit the amount of radiation absorbed by the absorber layer by reflecting the incident radiation. This, in turn, limits the amount of heat transferred to the process region from the absorber layer.

Description

    FIELD OF THE INVENTION
  • The present invention relates to laser thermal processing, and in particular to a method of and apparatus for delivering precise amounts of thermal energy to a workpiece to be so processed. [0001]
  • BACKGROUND OF THE INVENTION
  • Laser thermal processing (LTP) is used to process workpieces such as semiconductor wafers in the manufacturing of semiconductor devices. Such processing allows for the fabrication of transistors with very low sheet resistance and ultra-shallow junctions, which results in a semiconductor device (e.g., an integrated circuit or “IC”) having higher performance (e.g., faster speed). [0002]
  • One method of LTP applied to semiconductor manufacturing involves using a short-pulsed laser to thermally anneal the source and drain of the transistor and to activate the implanted dopants therein. Under the appropriate conditions, it is possible to produce source and drain junctions with activated dopant levels that are above the solid solubility limit. This produces transistors with greater speeds and higher drive currents. This technique is disclosed in U.S. Pat. No. 5,908,307 entitled “Fabrication Method for Reduced Dimension FEr Devices,” incorporated by reference herein. [0003]
  • It is expected that ICs will benefit from the performance improvement demonstrated with performing LTP on single transistors. Unfortunately, scaling LTP from single transistor fabrication to full integrated circuit fabrication is difficult. The LTP process has a very narrow process window (i.e., the range in laser energy that activates the transistor without causing damage is narrow) and requires considerable uniformity, stability and reproducibility in the absolute energy delivered to (and absorbed by) each transistor. [0004]
  • Modern ICs contain a variety of device geometries and materials, and thus different thermal masses. To achieve uniform performance in each transistor, it is necessary that all transistors be heated (annealed) to essentially the same temperature. This places constraints on the permissible range of laser energy delivered to each transistor in the circuit. As a result, two problems arise. The first is that it is difficult to achieve sufficiently uniform exposures (both spatially and temporally) to accomplish uniform heating. The second is that different device geometries require different amounts of incident laser energy because their different thermal masses will affect the local temperature in the doped regions (junctions). [0005]
  • Of these two problems, the more daunting is the effect of local transistor density. Most modem integrated circuits have a variety of transistor densities across the circuit. This variation has two effects on the LTP process. The first is that the local reflectivity varies spatially, thereby changing the amount of heat locally absorbed even with uniform illumination. The second is that the local thermal mass varies spatially. A larger thermal mass requires greater absorbed laser energy to reach the required annealing temperature. As a result, a change in the local thermal mass requires a change in the amount of laser energy absorbed that is required to produce proper annealing. Even with perfectly uniform illumination, there can be significant temperature variations between different transistors on a single IC, or between ICs. This leads to undesirable variations in transistor performance across a single IC and across a product line. [0006]
  • In principle, it may be possible to compensate for the location of higher transistor density across the device by providing a tailored exposure having increased laser fluence in the higher density regions. However, this would require knowing the precise circuit layout across the device for each device to be processed, and would also require precise tailoring of the spatial irradiance distribution of the exposure to match the circuit layer. This endeavor, if it could be accomplished at all, would involve complex apparatus and significant expense. [0007]
  • SUMMARY OF THE INVENTION
  • The present invention relates to laser thermal processing, and in particular to a method of and apparatus for delivering precise amounts of thermal energy to a workpiece to be so processed. [0008]
  • The present invention solves the problem of non-uniform thermal heating of a workpiece processed using laser radiation by introducing a thermally-induced reflectivity “switch” that controls the amount of heat transferred to a workpiece, such as a silicon wafer. This reflectivity switch layer comprises one or more layers of material designed such that the reflectivity of the switch to incident laser radiation changes from “low” to “high” as one or more underlying process regions of the workpiece reach a predetermined temperature. This temperature may be, for example, the temperature at which the process region is activated. For example, the one or more underlying regions may be the source and drain regions of a transistor or a doped region of a junction, and the predetermined temperature may be the activation temperature of the process region. The portions of the reflective switch layer overlying the process regions switches from a low reflectivity state to a high reflectivity state and reflects additional incident laser radiation when a critical switch temperature is achieved, thereby preventing further heating of the underlying process regions and limiting the temperature of the one or more underlying regions to a maximum value. [0009]
  • When the present invention is applied to semiconductor manufacturing and forming IC devices having transistors, the pre-determined temperature is that where amorphous silicon in the source-drain regions of the transistors reach a temperature between 1100 and 1410° C. At this point, the amorphous silicon is melted and the dopants become activated. This temperature is low enough so that the underlying crystalline silicon substrate does not melt, which is desirable from the viewpoint of device performance. The reflectivity switch of the present invention prevents local regions on the wafer from heating substantially beyond the predetermined temperature due to a variety effects, such as fluctuations in the laser energy, the spatial uniformity of the laser beam, or the thermal mass variations due to the transistor density.[0010]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic cross-sectional diagram of the reflective switch of the present invention shown as part of a film stack arranged on a semiconductor wafer having an amorphous doped region, with the wafer arranged in a wafer holder in relation to a laser light source; [0011]
  • FIG. 2 is the same as FIG. 1, but the reflective switch layer of the film stack comprises a layer of silicon dioxide adjacent the absorber layer, and amorphous or polycrystalline silicon adjacent the silicon dioxide layer; [0012]
  • FIG. 3 is a plot of the temperature T vs. time for the temperature (T[0013] 64) of the reflective switch layer and the temperature (T30) of the amorphous doped region versus time, showing the point, TC, where the reflectivity of the switch layer transitions from a low reflectivity state (i.e., transparent state) to a high reflectivity state;
  • FIG. 4 is a plot of reflectivity R versus time for the reflective switch layer, showing the transition from a low reflectivity state (i.e., nearly transparent state) to a high reflectivity state; and [0014]
  • FIG. 5 is a cross-sectional schematic diagram of a wafer having devices (e.g., transistors) in a region of high device density and a region of low device density, with the film stack of FIG. 1 arranged thereon.[0015]
  • DETAILED DESCRIPTION OF THE INVENTION
  • The present invention relates to laser thermal processing, and in particular to a method of and apparatus for delivering precise amounts of thermal energy to a workpiece to be so processed. [0016]
  • The basic concept of the reflectivity switch is illustrated in FIGS. 1 and 2 with regard to processing a semiconductor substrate as part of the process of manufacturing a semiconductor device such as a junction or a transistor. In FIG. 1, there is shown a [0017] film stack 6 formed on a silicon semiconductor wafer W as a workpiece to be processed using LTP and laser irradiation 10 from a laser light source L. Wafer W is supported by a wafer support member WS such that light source L, film stack 6 and wafer W all lie along an axis A, as shown in FIG. 1. Laser radiation 10 is preferably pulses of light having a wavelength of between 500 nm and 1100 nm. A suitable laser light source L includes a YAG laser operating at 1064 nm, a frequency-doubled YAG laser operating at 532 nm, and an Alexandrite laser operating between 700 and 800 nm. Suitable laser pulse lengths range from 1 nanosecond to 1 μsecond, and suitable energy levels range from 0.1-10 J/cm2.
  • Wafer W comprises a [0018] crystalline silicon region 20 within which is formed an amorphous doped silicon region 30 having dopants 34. For the sake of explanation, amorphous doped region 30 is considered as a single doped region. However, amorphous doped region 30 represents one example of a region to be processed, referred to herein as a “process region.” For example, wafer W may contain a plurality of amorphous doped regions 30, or one positively doped region and one negatively doped region serving as source and drain regions, respectively, of a transistor.
  • With continuing reference to FIG. 1, amorphous [0019] doped region 30 may be formed by performing an ion implant of Si or Ge ions into wafer W to a target depth ranging from a few angstroms to about 1000 angstroms. This implantation process disorders the substrate crystal structure in crystal region 20 to the point of making this implanted region amorphous. The implanted species can be Si, Ge, Ar, As, P, Xe, Sb, and In. Implantation of amorphizing dopants can be performed with known apparatus, such as the 9500 XR ION IMPLANTER™, commercially available from Applied Materials, Inc., San Jose, Calif.
  • A second dopant ion implant is then performed using p-type dopant ions (e.g., boron, aluminum, gallium, beryllium, magnesium, or zinc) or n-type dopant ions (e.g., phosphorous, arsenic, antimony, bismuth, selenium, and tellurium) from an ion implanter. The ions are accelerated to a given energy level (e.g., 200 eV to 40 KeV) and implanted in the previously amorphized region to a given dose (e.g., about 1×10[0020] 14 atoms/cm2 to 1×1016 atoms/cm2), thereby forming doped, amorphous region 30. The latter typically has, in practice, a concentration of dopant that is graded with depth into wafer W. The first and second steps of the present embodiment can be interchanged to achieve the same effect, or carried out in a single step if the dopant implant also amorphizes crystalline region 20.
  • Deposited atop [0021] amorphous silicon region 30 is an absorber layer 50 comprising a material capable of absorbing incident laser radiation and converting the absorbed radiation into heat. Absorber layer 50 needs to be capable of withstanding high temperatures, i.e., temperatures in excess of the crystalline silicon melting temperature of 1410° C. The material making up absorber layer 50 must also be easily removable without impacting the layers or regions below. One role of absorber layer 50 is to maintain the physical structure of devices resident in or on wafer W during processing. An exemplary material for absorber layer 50 is tantalum nitride (TaN), deposited to a thickness of between 500 and 1000 angstroms via sputtering or by CVD. Other preferred materials for absorber layer 50 include titanium (Ti), titanium nitride (TiN), tantalum (Ta), tungsten nitride (WN), silicon dioxide, silicon nitride, or a combination of these. A silicon dioxide or silicon nitride layer may need to be deposited as part of the absorber layer to prevent contamination of wafer W by the absorber layer material (i.e., between metal and semiconductor), or adjust the reflectivity of the absorber layer.
  • A thin [0022] strippable layer 40 is optionally placed between absorber layer 50 and amorphous silicon region 30 to facilitate stripping of the absorber layer after LTP is performed. Exemplary materials for stripping layer 40 include silicon dioxide and silicon nitride, which can be deposited by sputtering or by CVD.
  • Further included in [0023] film stack 6 is a reflectivity switch layer 60 formed atop absorber layer 50. Layer 60 is designed so that it is initially substantially transparent to laser radiation 10, allowing absorber layer 50 to perform as described above. However, the properties of layer 60 are such that its reflectivity to incident laser radiation 10 changes from low to high when it reaches a certain temperature, referred to herein as the threshold temperature.
  • [0024] Reflectivity switch layer 60 can comprise a single film layer or multiple film layers (i.e., one or more film layers). With reference to FIG. 2, in one embodiment, reflective switch layer 60 comprises a first thermal insulating layer 62 of silicon dioxide and a second transition layer 64 of amorphous or polycrystalline silicon atop the silicon dioxide layer. It is desirable to design the thicknesses of reflectivity switch layer 60 so as to optimize the coupling of the laser radiation 10 into absorber layer 50. This can be done by using standard thin film design techniques to optimize the thicknesses and index of refraction of the materials in film stack 6 such that there is a minimum reflectivity at room temperature for incident radiation 10. In a preferred embodiment of the present invention, layer 62 has a thickness ranging from about 10-250 nm, while the thickness of layer 64 ranges from about 10-250 nm. This provides a reflectivity in the low reflectivity state in the range from about 5% to 20%, and a reflectivity in the high reflectivity state in the range from about 50% to 75% for a wavelength of light of about 1000 nm.
  • Method of Operation [0025]
  • The present invention operates as follows. With reference to FIGS. 1 and 2, LTP of wafer W is performed by directing [0026] laser radiation 10 to film stack 6 along an axis A for the purpose of activating amorphous doped region 30. Reflectivity switch layer 60 is initially substantially transparent. Accordingly, most of laser radiation 10 passes through layer 60 and is incident absorber layer 50. Radiation 10 is absorbed in layer 50, thereby heating this layer. Absorber layer 50 heats up and re-radiates this heat to amorphous doped region 30 and to reflectivity switch layer 60. Doped amorphous region 30 is thus heated to its activation temperature of between 1100-1410° C., while reflective switch layer 60 is also heated to its critical temperature. In the activation temperature range, dopants 34 become incorporated into the lattice sites and are “activated.” However, if too much laser radiation is incident absorber layer 50 then amorphous region 30 is provided with too much heat. In this regard, the present invention prevents the workpiece (wafer W) from reaching or exceeding a maximum workpiece temperature, which is an upper temperature beyond which there is an undesirable affect on the workpiece (e.g., melting). This extra heat can cause the underlying crystalline silicon region 20 to melt. This is undesirable because it can adversely affect the properties of amorphous doped region 30. Where the latter constitutes the source or drain region of a transistor, such overheating can damage the transistor gate region (not shown).
  • FIG. 3 illustrates the temperature T[0027] 30 of amorphous doped region 30 during the LTP annealing process as described above. Temperature T30 rises as a function of time during LTP exposure. Unconstrained, temperature T30 rises above the melting point TP=1410° C. for crystalline silicon, as illustrated with a dotted line D. However, with reflectivity switch layer 60 present (see FIG. 1), the temperature T64 of reflectivity switch layer 64 tracks temperature T30 of region 30. Accordingly, reflectivity switch layer 64 can be designed to have a temperature that is greater than or less than temperature T30 by adjusting the thickness and thermal characteristics of layer 62. For example, where reflectivity switch layer comprises two layers 62 and 64 as discussed above, this may involve adjusting the thickness of layer 62 in the manner described in detail below. In FIG. 3, the critical temperature TC is set such that this temperature is reached when the temperature T30 of process region 30 reaches temperature TP. However, it will often be preferable to set temperature TC so that it is reached prior to when the temperature T30 reaches TP.
  • When [0028] reflectivity switch layer 64 reaches its critical temperature TC, the reflectivity switches from a low reflectivity state RL to a high reflectivity state RH, as illustrated in FIG. 4. The switch occurs primarily because of the change in reflectivity of layer 64 when it reaches this critical temperature (such as when the material changes from a solid to liquid state). The timing, or tracking, of the temperature of layer 64 relative to T30 is accomplished by adjusting the thermal conductivity and thickness of layer 62. Properly designed, reflectivity switch layer 60 can have a low reflectivity (less than 10%) and a high reflectivity (>70%).
  • [0029] Reflectivity switch layer 60 is designed as follows: The process begins by choosing the operational laser wavelength and pulse-length. For this example, consider a wavelength of 1064 nm and a pulse-length of 10 nanoseconds. Next is chosen optional strippable layer 40 and absorber layer 50. Typically, strippable layer 40 can be 10-20 nm of silicon dioxide or silicon nitride, and absorber layer 50 can be 20-100 nm of titanium, titanium-nitride, titanium, or a combination of these layers. The purpose of absorber layer 50 is to absorb incident laser radiation 10, so sufficient material must be used to absorb greater than about 75% of the incident radiation. For this example, a 10 nm oxide for layer 40 and 40 nm titanium for layer 50 is a suitable choice. Next, an arbitrary thickness for layer 62 is chosen. Appropriate materials are either silicon dioxide or silicon nitride. For this example, 50 nm of silicon dioxide is a suitable choice. Finally, an arbitrary thickness for layer 64 is chosen. Appropriate materials for layer 64 are any materials that exhibit a significant change in reflectivity when heated to a temperature range between about 1000-3000° C., such as crystalline silicon, polycrystalline silicon, amorphous silicon, or titanium. Layer 64 is chosen such that its optical properties change significantly when it melts. A layer 64 comprising 100 nm of amorphous silicon is a suitable choice for the present example.
  • The next step in designing [0030] reflectivity switch layer 60 is to minimize the optical reflectivity of film stack 6 using a thin-film analysis code. Several such codes are commercially available, such as CODE V from Optical Research Associates, CA. The reflectivity of film stack 6 is minimized from the stack by adjusting layer 64, the 100 nm of amorphous silicon. The goal is to produce a film stack 6 with a reflectivity less than 10%. Once this is accomplished, a thermal transport code is used, such as TOPAZ from Lawrence Livermore National Laboratory, Livermore, Calif., to calculate the thermal properties of film stack 6 and the underlying layer 30. In particular, the temperature of layer 64 relative to region (layer) 30 is calculated and plotted. The thickness of layer 62 is then varied until layer 64 reaches its melt temperature at the same time when region 30 reaches its activation temperature. This insures that layer 62 will begin to reflect any additional laser radiation away from the structure after region 30 has been activated. Finally, the reflectivity of the stack is re-optimized (by optimizing layer 64) with the new thickness value for layer 62. In the above example, the optimum stack is calculated to be:
  • Layer [0031] 40: silicon dioxide: 10 nm
  • [0032] Layer 50 titanium: 40 nm
  • Layer [0033] 62: silicon dioxide: 80 nm
  • Layer [0034] 64: amorphous silicon: 163 nm
  • With this stack of materials, [0035] film stack 6 has a minimum reflectivity of 6% (at room temperature), and a maximum reflectivity of 70% (at region 30 activation temperature) is predicted.
  • Other examples of [0036] film stack 6 are as follows:
  • At a wavelength of 1064 nm and a pulse-length of 10 nsec: [0037]
  • Layer [0038] 40: silicon dioxide: 10 nm
  • [0039] Layer 50 titanium: 20 nm followed with titanium nitride: 20 nm
  • Layer [0040] 62: silicon dioxide: 80 nm
  • Layer [0041] 64: amorphous silicon: 163 nm
  • At a wavelength of 1064 nm and a pulse-length of 30 nsec: [0042]
  • Layer [0043] 40: silicon dioxide: 10 nm
  • [0044] Layer 50 titanium: 20 nm followed with titanium nitride: 20 nm
  • Layer [0045] 62: silicon nitride: 266 nm
  • Layer [0046] 64: amorphous silicon: 50 nm
  • Accordingly, [0047] reflectivity switch layer 60 is designed so it reaches its critical temperature at which the reflectivity change occurs before amorphous doped region reaches a temperature of about 1410° C., but after it reaches the dopant activation temperature of 1100° C. This is achieved by properly designing thermal insulating layer 62, as described above. By choosing its thickness and thermal properties in the manner described above the temperature of transition layer 64 can be engineered so that its reflectivity switches at the proper temperature. Once reflectivity switch layer 64 transitions from a low reflectivity state RL to a high reflectivity state RH, incident laser radiation 10 is reflected, as indicated by reflected radiation 10′ in FIG. 2. This prevents further heating of absorber layer 50 and therefore, further heating of amorphous doped region 30.
  • By way of example, consider the two-layer [0048] reflectivity switch layer 60 discussed above in connection with FIG. 2. When layer 64 reaches its melt temperature of 1100° C., it will begin to reflect a significant amount of incident laser radiation 10, as indicated by reflected radiation 10′. The role of layer 62 is to provide the necessary relationship between the temperature of amorphous layer 30, and layer 64. Accordingly, by tailoring the thickness of layer 62 in the manner described above, the temperature at which layer 64 “switches” relative to when amorphous doped region 30 is activated can be controlled. E-ven though reflectivity switch layer 60 may begin to reflect radiation when it reaches the switching temperature (e.g., 1100° C. for an amorphous silicon), amorphous doped region 30 may be at a significantly different temperature. Generally speaking, reflectivity switch layer 60 is designed to change reflectivity state so as to allow activation of the process region without melting the surrounding region (e.g., crystalline region 20).
  • Note also that for a [0049] reflectivity switch layer 60 comprising multiple layers, only one of the layers may be the layer that changes reflectivity (i.e., the “transition layer”), while the other layers are “temperature-adjusting layers” that are used to set the critical temperature of the transition layer. For the two-layer example of reflectivity switch layer 60 comprising layers 62 and 64, layer 64 is the transition layer, while layer 62 is the temperature-adjusting layer.
  • Other possible compositions for [0050] reflectivity switch layer 60 include a two-layer geometry with layer 62 comprising silicon dioxide, silicon nitride, silicon oxynitride, or any combination thereof, and layer 64 comprising silicon, titanium or any other material that changes reflectivity state in the temperature range from 1000-3000° C. These films may be deposited by physical or chemical vapor deposition.
  • With reference now to FIG. 5, non-uniformities in [0051] laser radiation 10 or variations in the density of devices 100 across wafer W influence the temperature of amorphous doped regions 30, which in FIG. 5 are sources and drains 110S and 110D in devices 100. This will influence the temperature of reflectivity switch layer 60. As a result, reflectivity switch layer 60 will only activate when source and drain regions 110S and 110D reach the dopant activation temperature range of 1100-1410° C. The density of devices 100 in region 120 is less than that of region 130, so that region 120 has a smaller thermal mass as compared to region 130. Accordingly, devices 100 in region 120 will be heated more quickly than the devices in region 130.
  • As a result, when irradiated with [0052] laser radiation 10, devices 100 in region 120 will reach their activation temperature before the devices in region 130. Thus, portion 150 of reflectivity switch layer 60 lying above region 120 will transition to the reflective state first, and will reflect incident radiation 10. Meanwhile, devices 100 in region 130 take longer to reach the activation temperature and continue to absorb heat from absorber layer 50. Accordingly, portion 160 of reflectivity switch layer 60 lying above region 130 remains transparent for a longer time and then transitions to the high reflective state when devices 100 in region 130 reach their activation temperature. The same phenomenon occurs where regions 120 and 130 have different reflectivities.
  • Because of the adaptive properties of [0053] reflectivity switch layer 60, it is difficult to over-expose regions (e.g., regions 120 and 130) on wafer W having different thermal masses, or different reflectivities. Accordingly, locations where the local device geometry is such that greater or lesser amounts of laser radiation are required are readily and automatically compensated.
  • Method of Forming a Semiconductor Device [0054]
  • Based on the above, the present invention includes a method of forming a semiconductor device from a semiconductor wafer. With reference again to FIG. 5, the method includes the steps of forming one or more process region in semiconductor wafer [0055] W comprising devices 100 having amorphous doped silicon regions, such as source and drain regions 110S and 110D, respectively, each having an activation temperature. The next steps involve depositing an absorber layer over the process region, depositing a reflective switch layer atop the absorber layer, and irradiating the absorber layer through the reflective switch layer to heat the absorber layer and the reflective switch layer. These steps are described above, as is the step of heating the process region with heat from the absorber layer until the reflective switch layer reaches the activation temperature. At this point, the reflective switch layer switches to a high reflectivity state, thereby reducing the amount of radiation incident the absorber layer. The final step is then removing the absorber layer and the reflective switch layer. This can be achieved by using commercial etch techniques.
  • While the present invention has been described in connection with preferred embodiments, it will be understood that it is not so limited. On the contrary, it is intended to cover all alternatives, modifications and equivalents as may be included within the spirit and scope of the invention as defined in the appended claims. [0056]

Claims (25)

What is claimed is:
1. A thermally induced reflectivity switch apparatus for controlling the amount of heat transferred to a process region of a workpiece from exposure with laser radiation, comprising:
a) an absorber layer deposited atop the workpiece, a portion of which covers the process region, wherein the absorber layer absorbs laser radiation and converts the absorbed radiation into heat;
b) a reflective switch layer deposited atop the absorber layer, wherein said portion of said reflective switch layer covering said process region has a temperature that corresponds to the temperature of the process region and changes reflectivity from a low reflectivity state to a high reflectivity state at a critical temperature so as to limit the amount of radiation absorbed by the absorber layer and thus the amount of heat transferred to the process region.
2. The apparatus of claim 1, wherein said process region has an activation temperature and the workpiece has a maximum temperature, and wherein said critical temperature is such that the process region temperature reaches said activation temperature but does not exceed said workpiece maximum temperature.
3. The apparatus of claim 1, further including a strippable layer arranged between said absorber layer and said workpiece.
4. The apparatus of claim 1, wherein the process region comprises a source and drain region of a transistor.
5. The apparatus of claim 1, wherein the process region comprises doped amorphous silicon.
6. The apparatus of claim 2, wherein the process region comprises doped amorphous silicon.
7. The apparatus of claim 6, wherein said activation temperature is between 1100 and 1410° C.
8. The apparatus of claim 1, wherein said absorber layer comprises at least one of titanium, tungsten, tantalum, silicon oxide, silicon nitride, or titanium-nitride.
9. An apparatus according to claim 1, wherein said reflectivity switch layer comprises a first layer of silicon dioxide adjacent said absorber layer and a second layer of amorphous silicon or polycrystalline silicon adjacent said silicon dioxide layer.
10. An apparatus according to claim 9, wherein said first layer of silicon dioxide has a thickness between 10-250 nm, and said second layer is amorphous silicon having a thickness between 10-250 nm.
11. An apparatus according to claim 9, wherein said first layer of silicon dioxide has a thickness between 10-250 nm, and said second layer is polycrystalline silicon having a thickness between 10-250 nm.
12. A system for controlling the amount of heat transferred to a process region of a workpiece, comprising:
a) a laser light source;
b) a workpiece support member arranged adjacent the light source for supporting the workpiece; and
c) a thermally induced reflectivity switch apparatus according to claim 1 arranged on the workpiece.
13. An apparatus according to claim 12, wherein said laser light source emits laser radiation at a wavelength between 500 nm and 1100 nm.
14. A method of controlling the amount of heat transferred to a process region of a workpiece, the process region having an activation temperature, comprising the steps of:
a) depositing an absorber layer over the process region;
b) depositing a reflective switch layer atop the absorber layer, the reflective switch layer capable of switching from a low reflectivity to a high reflectivity at a critical temperature that corresponds to the activation temperature;
c) irradiating the absorber layer through the reflective switch layer to heat the absorber layer and the reflective switch layer; and
d) heating the process region with heat from the absorber layer until the reflective switch layer switches to a high reflectivity state, thereby reducing the amount of radiation incident the absorber layer.
15. A method according to claim 14, wherein the process region is an amorphous doped region, and said step d) includes heating the process region to the activation temperature.
16. A method according to claim 14, wherein the critical temperature is lower than the activation temperature.
17. A method according to claim 14, wherein the workpiece is a crystalline silicon wafer having a melting temperature, the process region is amorphous doped silicon, and wherein said step d) includes heating the process region to the activation temperature but below the crystalline silicon melting temperature.
18. A method according to claim 14, wherein said irradiating step is performed using pulsed laser light.
19. A method according to claim 14, wherein said step b) includes forming a thermal insulating layer atop the absorber layer, and forming a transition layer atop the thermal insulating layer.
20. A method according to claim 19, wherein said thermal layer is silicon dioxide, and the transition layer one of amorphous silicon and polycrystalline silicon.
21. A method according to claim 19, wherein said step a) includes adjusting the thickness of the thermal insulating to set the critical temperature.
22. A method according to claim 14, wherein said step b) includes the step of minimizing the reflectivity of the reflective switch layer in the low reflectivity state.
23. A method of forming a semiconductor device from a semiconductor wafer, comprising the steps of
a) forming a process region in the semiconductor wafer comprising amorphous doped silicon having an activation temperature;
b) depositing an absorber layer over the process region;
c) depositing a reflective switch layer atop the absorber layer, the reflective switch layer capable of switching from a low reflectivity state to a high reflectivity state at a critical temperature that corresponds to the activation temperature;
d) irradiating the absorber layer through the reflective switch layer to heat the absorber layer and the reflective switch layer;
e) heating the process region with heat from the absorber layer until the reflective switch layer reaches the activation temperature and the reflective switch layer switches to a high reflectivity state, thereby reducing the amount of radiation incident the absorber layer; and
f) removing the absorber layer and the reflective switch layer.
24. A method according to claim 23, wherein said step c) includes forming a thermal insulating layer atop the absorber layer, and forming a transition layer atop the thermal insulating layer.
25. A method according to claim 24, wherein the thermal insulating layer comprises two or more layers.
US09/933,795 2000-06-12 2001-08-20 Method of forming thermally induced reflectivity switch for laser thermal processing Expired - Lifetime US6383956B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US09/933,795 US6383956B2 (en) 2000-06-12 2001-08-20 Method of forming thermally induced reflectivity switch for laser thermal processing
US10/078,842 US6635588B1 (en) 2000-06-12 2002-02-19 Method for laser thermal processing using thermally induced reflectivity switch

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/592,184 US6303476B1 (en) 2000-06-12 2000-06-12 Thermally induced reflectivity switch for laser thermal processing
US09/933,795 US6383956B2 (en) 2000-06-12 2001-08-20 Method of forming thermally induced reflectivity switch for laser thermal processing

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/592,184 Division US6303476B1 (en) 2000-06-12 2000-06-12 Thermally induced reflectivity switch for laser thermal processing

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US10/078,842 Continuation-In-Part US6635588B1 (en) 2000-06-12 2002-02-19 Method for laser thermal processing using thermally induced reflectivity switch

Publications (2)

Publication Number Publication Date
US20020019148A1 true US20020019148A1 (en) 2002-02-14
US6383956B2 US6383956B2 (en) 2002-05-07

Family

ID=24369658

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/592,184 Expired - Lifetime US6303476B1 (en) 2000-06-12 2000-06-12 Thermally induced reflectivity switch for laser thermal processing
US09/933,795 Expired - Lifetime US6383956B2 (en) 2000-06-12 2001-08-20 Method of forming thermally induced reflectivity switch for laser thermal processing
US09/940,102 Expired - Lifetime US6495390B2 (en) 2000-06-12 2001-08-27 Thermally induced reflectivity switch for laser thermal processing

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/592,184 Expired - Lifetime US6303476B1 (en) 2000-06-12 2000-06-12 Thermally induced reflectivity switch for laser thermal processing

Family Applications After (1)

Application Number Title Priority Date Filing Date
US09/940,102 Expired - Lifetime US6495390B2 (en) 2000-06-12 2001-08-27 Thermally induced reflectivity switch for laser thermal processing

Country Status (5)

Country Link
US (3) US6303476B1 (en)
EP (1) EP1295327A4 (en)
JP (1) JP2004503938A (en)
KR (1) KR100866295B1 (en)
WO (1) WO2001097275A1 (en)

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050186765A1 (en) * 2004-02-23 2005-08-25 Yi Ma Gate electrode dopant activation method for semiconductor manufacturing
US20060169669A1 (en) * 2005-01-31 2006-08-03 Applied Materials, Inc. Etchant treatment processes for substrate surfaces and chamber surfaces
US20060234488A1 (en) * 2003-10-10 2006-10-19 Yihwan Kim METHODS OF SELECTIVE DEPOSITION OF HEAVILY DOPED EPITAXIAL SiGe
US20070066023A1 (en) * 2005-09-20 2007-03-22 Randhir Thakur Method to form a device on a soi substrate
US7537941B2 (en) 2006-06-07 2009-05-26 International Business Machines Corporation Variable overlap of dummy shapes for improved rapid thermal anneal uniformity
US20100019329A1 (en) * 2008-07-28 2010-01-28 Chartered Semiconductor Manufacturing, Ltd. Method and apparatus to reduce thermal variations within an integrated circuit die using thermal proximity correction
US7968436B1 (en) 2004-06-15 2011-06-28 Novellus Systems, Inc. Low-K SiC copper diffusion barrier films
US8124522B1 (en) * 2008-04-11 2012-02-28 Novellus Systems, Inc. Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties
US8173537B1 (en) 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
US8846525B2 (en) 2009-12-04 2014-09-30 Novellus Systems, Inc. Hardmask materials
US9204503B1 (en) 2012-07-03 2015-12-01 Philips International, B.V. Systems and methods for dimming multiple lighting devices by alternating transfer from a magnetic storage element
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US9958709B2 (en) 2013-08-16 2018-05-01 Applied Materials, Inc. Dynamic optical valve for mitigating non-uniform heating in laser processing
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
WO2020185657A1 (en) * 2019-03-14 2020-09-17 Mattson Technology, Inc. Thermal processing system with temperature non-uniformity control
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US11049716B2 (en) 2015-04-21 2021-06-29 Lam Research Corporation Gap fill using carbon-based films

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6635588B1 (en) * 2000-06-12 2003-10-21 Ultratech Stepper, Inc. Method for laser thermal processing using thermally induced reflectivity switch
US6647166B2 (en) * 2000-08-17 2003-11-11 The Regents Of The University Of California Electrochromic materials, devices and process of making
US6479821B1 (en) * 2000-09-11 2002-11-12 Ultratech Stepper, Inc. Thermally induced phase switch for laser thermal processing
US20030160233A1 (en) * 2002-02-28 2003-08-28 Rendon Michael J. Method of forming a semiconductor device having an energy absorbing layer and structure thereof
US7042615B2 (en) * 2002-05-17 2006-05-09 The Regents Of The University Of California Electrochromic devices based on lithium insertion
US6844250B1 (en) 2003-03-13 2005-01-18 Ultratech, Inc. Method and system for laser thermal processing of semiconductor devices
EP1676300B1 (en) * 2003-10-03 2014-10-01 Applied Materials, Inc. Method for annealing a substrate comprising an absorber layer
US7109087B2 (en) 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
US7145104B2 (en) * 2004-02-26 2006-12-05 Ultratech, Inc. Silicon layer for uniformizing temperature during photo-annealing
CN100517573C (en) 2004-05-21 2009-07-22 松下电器产业株式会社 Impurity introducing method and electronic element using it
GB2439884B (en) * 2005-03-31 2008-11-05 Advanced Micro Devices Inc Heat treatment for forming interconnect structures with reduced electro and stress migration and/or resistivity
US7569463B2 (en) * 2006-03-08 2009-08-04 Applied Materials, Inc. Method of thermal processing structures formed on a substrate
US20080025354A1 (en) * 2006-07-31 2008-01-31 Dean Jennings Ultra-Fast Beam Dithering with Surface Acoustic Wave Modulator
US7548364B2 (en) 2006-07-31 2009-06-16 Applied Materials, Inc. Ultra-fast beam dithering with surface acoustic wave modulator
US8148663B2 (en) * 2007-07-31 2012-04-03 Applied Materials, Inc. Apparatus and method of improving beam shaping and beam homogenization
US8324011B2 (en) * 2007-09-11 2012-12-04 Globalfoundries Singapore Pte. Ltd. Implementation of temperature-dependent phase switch layer for improved temperature uniformity during annealing
US9782949B2 (en) 2008-05-30 2017-10-10 Corning Incorporated Glass laminated articles and layered articles
US7715082B2 (en) * 2008-06-30 2010-05-11 Soladigm, Inc. Electrochromic devices based on lithium insertion
US8432603B2 (en) 2009-03-31 2013-04-30 View, Inc. Electrochromic devices
US8026519B1 (en) 2010-10-22 2011-09-27 Ultratech, Inc. Systems and methods for forming a time-averaged line image
US8399808B2 (en) 2010-10-22 2013-03-19 Ultratech, Inc. Systems and methods for forming a time-averaged line image
US9302348B2 (en) 2011-06-07 2016-04-05 Ultratech Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US8309474B1 (en) 2011-06-07 2012-11-13 Ultratech, Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
EP3330791B1 (en) 2011-12-12 2021-05-19 View, Inc. Electrochromic devices and fabrication of electrochromic devices
US8546805B2 (en) 2012-01-27 2013-10-01 Ultratech, Inc. Two-beam laser annealing with improved temperature performance
EP2637210A1 (en) * 2012-03-05 2013-09-11 ABB Technology AG Power semiconductor device and method for manufacturing thereof
US8501638B1 (en) 2012-04-27 2013-08-06 Ultratech, Inc. Laser annealing scanning methods with reduced annealing non-uniformities
US9558973B2 (en) 2012-06-11 2017-01-31 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
SG10201503478UA (en) 2012-06-11 2015-06-29 Ultratech Inc Laser annealing systems and methods with ultra-short dwell times
US9490128B2 (en) 2012-08-27 2016-11-08 Ultratech, Inc. Non-melt thin-wafer laser thermal annealing methods
US9343307B2 (en) 2013-12-24 2016-05-17 Ultratech, Inc. Laser spike annealing using fiber lasers
JP6193305B2 (en) 2014-07-29 2017-09-06 ウルトラテック インク High performance line forming optical system and method
US10083843B2 (en) 2014-12-17 2018-09-25 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
US9859121B2 (en) 2015-06-29 2018-01-02 International Business Machines Corporation Multiple nanosecond laser pulse anneal processes and resultant semiconductor structure
US10665504B2 (en) 2017-07-28 2020-05-26 Veeco Instruments Inc. Laser-based systems and methods for melt-processing of metal layers in semiconductor manufacturing
CN109211970B (en) * 2018-11-09 2021-03-30 中国兵器工业第五九研究所 Heat insulation performance testing device, heat insulation performance testing method and application

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4496608A (en) * 1984-03-02 1985-01-29 Xerox Corporation P-Glass reflow technique
US4585301A (en) * 1985-04-23 1986-04-29 Utah State Universtiy Foundation Optically actuated optical switch apparatus and methods
JPH0797556B2 (en) * 1986-12-16 1995-10-18 日本電気株式会社 Method for manufacturing SOI substrate
JP2550051B2 (en) * 1987-02-25 1996-10-30 株式会社日立製作所 Optical card, optical card recording / reproducing method, and optical card recording / reproducing apparatus
JPS6448410A (en) * 1987-08-19 1989-02-22 Hitachi Ltd Manufacture of semiconductor device
JPH0821213B2 (en) * 1988-08-05 1996-03-04 富士通株式会社 Sector servo information detection method
JP2802449B2 (en) * 1990-02-16 1998-09-24 三菱電機株式会社 Method for manufacturing semiconductor device
US5295131A (en) * 1990-10-02 1994-03-15 Matsushita Electric Industrial Co., Ltd. Optical information recording disk having embossed clock mark pits and embossed information recording pits
JPH0574704A (en) * 1991-09-13 1993-03-26 Seiko Epson Corp Semiconductor layer forming method
KR100299292B1 (en) * 1993-11-02 2001-12-01 이데이 노부유끼 Polysilicon Thin Film Forming Method and Surface Treatment Apparatus
JP3451682B2 (en) * 1993-11-02 2003-09-29 ソニー株式会社 Surface treatment equipment
FI934871A0 (en) * 1993-11-03 1993-11-03 Instrumentarium Oy Foerfarande ochordord Foer compensating av vaermekrypningen hos en gasanalysator
JP3638055B2 (en) * 1996-04-18 2005-04-13 ソニー株式会社 Method for manufacturing low-resistance conductive film
KR0176651B1 (en) * 1996-06-27 1999-04-15 김광호 Servo address mark automatic detecting and servo timing compensation circuit
US5908307A (en) 1997-01-31 1999-06-01 Ultratech Stepper, Inc. Fabrication method for reduced-dimension FET devices
JP4071360B2 (en) * 1997-08-29 2008-04-02 株式会社東芝 Semiconductor device

Cited By (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7517775B2 (en) 2003-10-10 2009-04-14 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US20060234488A1 (en) * 2003-10-10 2006-10-19 Yihwan Kim METHODS OF SELECTIVE DEPOSITION OF HEAVILY DOPED EPITAXIAL SiGe
US7737007B2 (en) 2003-10-10 2010-06-15 Applied Materials, Inc. Methods to fabricate MOSFET devices using a selective deposition process
US7078302B2 (en) 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US20060286763A1 (en) * 2004-02-23 2006-12-21 Yi Ma Gate electrode dopant activation method for semiconductor manufacturing
US20050186765A1 (en) * 2004-02-23 2005-08-25 Yi Ma Gate electrode dopant activation method for semiconductor manufacturing
US7611976B2 (en) 2004-02-23 2009-11-03 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing
US7968436B1 (en) 2004-06-15 2011-06-28 Novellus Systems, Inc. Low-K SiC copper diffusion barrier films
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US8492284B2 (en) 2005-01-31 2013-07-23 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US20070224830A1 (en) * 2005-01-31 2007-09-27 Samoilov Arkadii V Low temperature etchant for treatment of silicon-containing surfaces
US8445389B2 (en) 2005-01-31 2013-05-21 Applied Materials, Inc. Etchant treatment processes for substrate surfaces and chamber surfaces
US8093154B2 (en) 2005-01-31 2012-01-10 Applied Materials, Inc. Etchant treatment processes for substrate surfaces and chamber surfaces
US20060169669A1 (en) * 2005-01-31 2006-08-03 Applied Materials, Inc. Etchant treatment processes for substrate surfaces and chamber surfaces
US20070066023A1 (en) * 2005-09-20 2007-03-22 Randhir Thakur Method to form a device on a soi substrate
US7537941B2 (en) 2006-06-07 2009-05-26 International Business Machines Corporation Variable overlap of dummy shapes for improved rapid thermal anneal uniformity
US8173537B1 (en) 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
US8124522B1 (en) * 2008-04-11 2012-02-28 Novellus Systems, Inc. Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties
US8293544B2 (en) * 2008-07-28 2012-10-23 Globalfoundries Singapore Pte. Ltd. Method and apparatus to reduce thermal variations within an integrated circuit die using thermal proximity correction
US20100019329A1 (en) * 2008-07-28 2010-01-28 Chartered Semiconductor Manufacturing, Ltd. Method and apparatus to reduce thermal variations within an integrated circuit die using thermal proximity correction
US8860142B2 (en) 2008-07-28 2014-10-14 Globalfoundries Singapore Pte. Ltd. Method and apparatus to reduce thermal variations within an integrated circuit die using thermal proximity correction
US8846525B2 (en) 2009-12-04 2014-09-30 Novellus Systems, Inc. Hardmask materials
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US11264234B2 (en) 2012-06-12 2022-03-01 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9204503B1 (en) 2012-07-03 2015-12-01 Philips International, B.V. Systems and methods for dimming multiple lighting devices by alternating transfer from a magnetic storage element
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10472714B2 (en) 2013-05-31 2019-11-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9958709B2 (en) 2013-08-16 2018-05-01 Applied Materials, Inc. Dynamic optical valve for mitigating non-uniform heating in laser processing
TWI630659B (en) * 2013-08-16 2018-07-21 應用材料股份有限公司 Dynamic optical valve for mitigating non-uniform heating in laser processing
US11049716B2 (en) 2015-04-21 2021-06-29 Lam Research Corporation Gap fill using carbon-based films
US10580690B2 (en) 2016-11-23 2020-03-03 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
WO2020185657A1 (en) * 2019-03-14 2020-09-17 Mattson Technology, Inc. Thermal processing system with temperature non-uniformity control
CN112272865A (en) * 2019-03-14 2021-01-26 玛特森技术公司 Thermal processing system with temperature non-uniformity control
US11699603B2 (en) 2019-03-14 2023-07-11 Beijing E-Town Semiconductor Technology, Co., Ltd Thermal processing system with temperature non-uniformity control

Also Published As

Publication number Publication date
JP2004503938A (en) 2004-02-05
US6303476B1 (en) 2001-10-16
EP1295327A4 (en) 2009-07-08
WO2001097275A1 (en) 2001-12-20
US6383956B2 (en) 2002-05-07
US6495390B2 (en) 2002-12-17
KR20030048375A (en) 2003-06-19
US20020022294A1 (en) 2002-02-21
KR100866295B1 (en) 2008-10-31
EP1295327A1 (en) 2003-03-26

Similar Documents

Publication Publication Date Title
US6303476B1 (en) Thermally induced reflectivity switch for laser thermal processing
US6635588B1 (en) Method for laser thermal processing using thermally induced reflectivity switch
US6479821B1 (en) Thermally induced phase switch for laser thermal processing
US10840100B2 (en) Method of thermal processing structures formed on a substrate
US6380044B1 (en) High-speed semiconductor transistor and selective absorption process forming same
US6645838B1 (en) Selective absorption process for forming an activated doped region in a semiconductor
US20030193066A1 (en) Semiconductor device and method of manufacturing the same
JP2005502203A (en) Semiconductor gate doping method
US6326219B2 (en) Methods for determining wavelength and pulse length of radiant energy used for annealing
US7612372B1 (en) Method and system for laser thermal processing of semiconductor devices
JP5558006B2 (en) Method and apparatus for heat treatment structure formed on a substrate
Shibahara et al. Merits of heat assist for melt laser annealing

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: ULTRATECH, INC., CALIFORNIA

Free format text: CHANGE OF NAME;ASSIGNOR:ULTRATECH STEPPER, INC.;REEL/FRAME:014836/0879

Effective date: 20030610

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: SMALL ENTITY

Free format text: PAT HOLDER CLAIMS SMALL ENTITY STATUS, ENTITY STATUS SET TO SMALL (ORIGINAL EVENT CODE: LTOS); ENTITY STATUS OF PATENT OWNER: SMALL ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12

AS Assignment

Owner name: VEECO INSTRUMENTS INC., NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:ULTRATECH, INC.;REEL/FRAME:051446/0476

Effective date: 20191219