US20010001075A1 - Process for fabricating semiconductor memory device with high data retention including silicon nitride etch stop layer formed at high temperature with low hydrogen ion concentration - Google Patents

Process for fabricating semiconductor memory device with high data retention including silicon nitride etch stop layer formed at high temperature with low hydrogen ion concentration Download PDF

Info

Publication number
US20010001075A1
US20010001075A1 US09/745,626 US74562600A US2001001075A1 US 20010001075 A1 US20010001075 A1 US 20010001075A1 US 74562600 A US74562600 A US 74562600A US 2001001075 A1 US2001001075 A1 US 2001001075A1
Authority
US
United States
Prior art keywords
approximately
silicon nitride
etch stop
layer
stop layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US09/745,626
Inventor
Minh Ngo
Sunil Mehta
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lattice Semiconductor Corp
Original Assignee
Vantis Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Vantis Corp filed Critical Vantis Corp
Priority to US09/745,626 priority Critical patent/US20010001075A1/en
Publication of US20010001075A1 publication Critical patent/US20010001075A1/en
Assigned to LATTICE SEMICONDUCTOR CORPORATION reassignment LATTICE SEMICONDUCTOR CORPORATION MERGER (SEE DOCUMENT FOR DETAILS). Assignors: VANTIS CORPORATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/97Specified etch stop material

Definitions

  • the present invention generally relates to the art of microelectronic integrated circuits, and more specifically to a process for fabricating a semiconductor memory device with high data retention including a silicon nitride etch stop layer formed at high temperature with a low hydrogen ion concentration.
  • a flash or block erase Electrically Erasable Programmable Read-Only Memory (Flash EEPROM) semiconductor memory includes an array of cells which can be independently programmed and read. The size of each cell and thereby the memory are made small by omitting select transistors which would enable the cells to be erased independently. All of the cells are erased together as a block.
  • Flash EEPROM Electrically Erasable Programmable Read-Only Memory
  • a memory of this type includes individual Metal-Oxide-Semiconductor (MOS) memory cells, each of which includes a source, drain, floating gate and control gate to which various voltages are applied to program the cell with a binary 1 or 0, or erase all of the cells as a block.
  • MOS Metal-Oxide-Semiconductor
  • Tungsten damascene is a process for fabricating local interconnects which can be advantageously applied to semiconductor devices including flash EEPROMs.
  • the process includes forming an insulator layer of, for example, tetraethylorthosilicate (TEOS) glass over the memory cells, and using Reactive Ion Etching (RIE) to form vertical interconnect holes through the glass down to interconnect areas (source, drain, etc.) of the cells.
  • TEOS tetraethylorthosilicate
  • RIE Reactive Ion Etching
  • the TEOS etch is conventionally performed using octafluorobutene (C 4 F 8 ) etchant, which also has a high etch rate for silicon. For this reason, a mechanism must be provided for performing the TEOS etch without allowing the etchant to act on the silicon of the underlying interconnect areas.
  • C 4 F 8 octafluorobutene
  • Such a mechanism includes forming a silicon nitride etch stop layer underneath the TEOS layer, and performing the etch in two stages.
  • the first stage is the octafluorobutene etch through the TEOS layer, which terminates at the etch stop layer since octafluorobutene has a low etch rate for silicon nitride.
  • a second RIE etch is performed using fluoromethane (CH 3 F), which forms holes through the portions of the etch stop layer that are exposed through the holes in the TEOS layer, down to the interconnect areas of the devices.
  • fluoromethane has a high etch rate for silicon nitride, but a low etch rate for TEOS.
  • the structure can be further facilitated by using a silicide technique to increase the conductivity of the interconnect areas of the cells.
  • Siliciding is a fabrication technique that enables electrical interconnections to be made that have reduced resistance and capacitance.
  • the silicide process comprises forming a layer of a refractory metal silicide material such as tungsten, titanium, tantalum, molybdenum, etc. on a silicon interconnect area (source or drain diffusion region) or on a polysilicon gate to which ohmic contact is to be made, and then reacting the silicide material with the underlaying silicon material to form a silicide surface layer having much lower resistance than heavily doped silicon or polysilicon.
  • a silicide surface layer formed on a polysilicon gate is called “polycide”
  • silicide surface layer formed on silicon using a self-aligned process is called “salicide”.
  • a problem which has remained unsolved in the fabrication of flash EEPROM memories and other semiconductor device structures is data retention.
  • a flash EEPROM cell is programmed by creating a negative charge (electrons) on the floating gate. The charge should remain until it is deliberately removed by erasing the cell.
  • the present invention overcomes the drawbacks of the prior art by overcoming the problem of unsatisfactory data retention in semiconductor devices such as flash EEPROMs which include silicon nitride etch stop layers.
  • a semiconductor memory device such as a flash Electrically Erasable Programmable Read-Only Memory (Flash EEPROM) includes a floating gate with high data retention.
  • flash EEPROM flash Electrically Erasable Programmable Read-Only Memory
  • a tungsten damascene local interconnect structure includes a silicon nitride etch stop layer which is formed using Plasma Enhanced Chemical Vapor Deposition (PECVD) at a temperature of at least 480° C. such that the etch stop layer has a very low concentration of hydrogen ions.
  • PECVD Plasma Enhanced Chemical Vapor Deposition
  • FIGS. 1 to 10 are simplified sectional views illustrating steps of a process for fabricating a semiconductor device according to the present invention.
  • FIG. 11 is a simplified diagram illustrating a Plasma Enhanced Chemical Vapor Deposition (PECVD) apparatus for practicing the present invention.
  • PECVD Plasma Enhanced Chemical Vapor Deposition
  • the present inventors have discovered that a major cause of poor data retention in semiconductor devices such as flash EEPROMs as presented above is a high concentration of hydrogen ions in the silicon nitride etch stop layers of the devices. These hydrogen ions are highly mobile positive charge carriers which migrate to the floating gates of memory cells to recombine with electrons thereon and dissipate the charges on the floating gates.
  • the present invention overcomes these problems, and provides a semiconductor structure including a silicon nitride layer having a low concentration of hydrogen ions.
  • the present invention is especially suited to a memory device including a floating gate in which data retention is a problem, the present invention is not so limited, and can be advantageously applied to a large variety of semiconductor devices which may or may not include floating gates or other charge retention elements.
  • the invention may be applied to semiconductor structures which include silicon nitride encapsulation layers.
  • FIGS. 1 to 10 are simplified sectional diagrams illustrating a process for fabricating a portion of a flash EEPROM semiconductor memory device according to the present invention.
  • the detailed configuration of the device is not the particular subject matter of the invention, and only those elements which are necessary for understanding the invention will be described and illustrated.
  • a flash EEPROM memory 10 includes a silicon semiconductor substrate 12 .
  • Two erasable memory cells 14 are formed on a surface 12 a of the substrate 12 , each including a MOS transistor structure having a source 14 a, drain 14 b, gate oxide layer 14 c, and channel 14 d underlying the gate oxide layer 14 c.
  • the cells 14 are physically and electrically isolated from each other by field oxide regions 16 .
  • a polysilicon control gate 14 e is formed over each gate oxide layer 14 c, and a polysilicon floating gate 14 f is formed underneath the control gate 14 e in the gate oxide layer 14 c.
  • gate oxide layers 14 c are shown as being integral, they may comprise two or more sublayers. For example, portions of the gate oxide layers 14 c which underlie the floating gates 14 f may be separate tunnel oxide layers. Further shown in the drawing are electrically insulating gate sidewall spacers 14 g.
  • FIG. 1 illustrates the initial steps of the present process, which consist of providing the substrate 12 , and forming semiconductor devices such as the erasable memory cells 14 on the surface 12 a of the substrate 12 .
  • FIG. 2 shows how interconnect areas are formed for the elements of the cells using a silicide technique to increase the electrical conductivity.
  • the process comprises forming a layer of a refractory metal silicide material such as tungsten, titanium, tantalum, molybdenum, etc. on the source, 14 a, drain 14 b, and control gate 14 e to which ohmic contact is to be made, and then reacting the silicide material with the underlaying silicon material to form silicide source interconnect areas 18 a, drain interconnect areas 18 b, and control gate interconnect areas 18 c respectively.
  • a refractory metal silicide material such as tungsten, titanium, tantalum, molybdenum, etc.
  • FIG. 3 illustrates how a silicon nitride (S 3 N 4 ) etch stop layer 20 is formed over the surface 12 a of the substrate 12 and the devices 14 in accordance with the present invention.
  • the etch stop layer 20 is preferably formed using Plasma Enhanced Chemical Vapor Deposition (PECVD) at a temperature of at least approximately 480° C. to a thickness of approximately 800 ⁇ 50 ⁇ .
  • PECVD Plasma Enhanced Chemical Vapor Deposition
  • Basic Chemical Vapor Deposition is a technique which normally requires a substrate temperature of at least 600° C. to achieve epitaxial deposition of a silicon nitride layer.
  • PECVD improves on basic CVD by creating a glow discharge or plasma in the reaction chamber which enables a silicon nitride layer to be formed at a much lower temperature on the order of 350° C. to 400° C.
  • Conventional silicon nitride etch stop layers are typically formed at about 350° C.-400° C., and have high concentrations of hydrogen ions which migrate to the floating gates of EEPROM cells to recombine with electrons thereon and thereby dissipate charge. This causes poor data retention as discussed above.
  • the present step of forming the silicon nitride etch stop layer 20 at a higher temperature of at least approximately 480° C. results in a substantially lower concentration of hydrogen ions in the layer 20 than in a conventional silicon nitride etch stop layer which is formed at low temperature, thereby providing substantially improved data retention.
  • a PECVD reaction chamber 22 for forming the silicon nitride layer 20 is illustrated in FIG. 11, and includes a container 24 .
  • An electrically grounded susceptor 26 is suspended in the container 24 .
  • a silicon wafer 30 including one or more dies on which semiconductor structures such as the memories 10 as illustrated in FIG. 2 are formed is supported on the susceptor 26 .
  • Lift pins 28 are provided for placing the wafer 30 on the susceptor 26 .
  • the wafer 30 is heated to a temperature of approximately 470° C. to 550° C., preferably 500° C., by a heater 32 .
  • a gas discharge nozzle which is known in the art as a shower head 34 is mounted in the container 24 above the wafer 30 .
  • a gas mixture 36 which is used to form the silicon nitride layer 20 is fed into the shower head 34 through an inlet conduit 38 and discharged downwardly toward the wafer 30 through orifices 34 a.
  • the gas 36 preferably includes NH 3 , SiH 4 , and N 2 .
  • Radio Frequency (RF) power is applied to the shower head 34 through a power lead 40 .
  • a blocker plate 34 b is provided at the upper end of the shower head 34 to prevent gas from escaping upwardly.
  • the RF power applied to the shower head 34 creates an alternating electrical field between the shower head 34 and the grounded susceptor 26 which forms a glow or plasma discharge in the gas 36 therebetween.
  • the plasma discharge enables the silicon nitride layer 20 to be formed at the temperature specified above.
  • the preferred conditions also include an N 2 flow rate of approximately 4,000 sccm, a pressure of 3.5 ⁇ 0.2 torr, and a spacing S of approximately 375 mils (9.5 millimeters) between the shower head 34 and the surface of the wafer 30 .
  • the next step of the process is to form an insulator layer 42 ′, preferably of tetraethylorthosilicate (TEOS) glass, over the silicon nitride etch stop layer 20 .
  • the TEOS layer 42 ′ is planarized as illustrated in FIG. 5 using, preferably, chemical-mechanical polishing, and redesignated as 42 .
  • a layer of photoresist 44 is formed on the TEOS layer 42 , and patterned using photolithography such that holes 44 a, 44 b and 44 c are formed above the silicide interconnect areas 18 a, 18 b and 18 c respectively.
  • holes are etched through the TEOS layer 42 and silicon nitride layer 20 down to the interconnect areas 18 a, 18 b and 18 c, preferably using a two stage Reactive Ion Etching (RIE) process.
  • RIE Reactive Ion Etching
  • an RIE etch is performed using octafluorobutene (C 4 F 8 ) which has a selectively high etch rate for TEOS and a low etch rate for silicon nitride.
  • C 4 F 8 octafluorobutene
  • the photoresist layer 44 is stripped away, and a second RIE etch is performed using fluoromethane (CH 3 F), which has a selectively high etch rate for silicon nitride and a low etch rate for TEOS.
  • CH 3 F fluoromethane
  • the holes 48 a, 48 b and 48 c are extensions of the holes 46 a, 46 b and 46 c through the TEOS layer 42 , and terminate at the interconnect areas 18 a, 18 b and 18 c respectively.
  • tungsten 50 is deposited over the structure of FIG. 8.
  • the tungsten fills the holes through the TEOS layer 42 and the silicon nitride layer 20 as indicated at 50 a ′, 50 b ′ and 50 c ′, and ohmically contacts the interconnect areas 18 a, 18 b and 18 c respectively.
  • the tungsten further forms on the top of the TEOS layer 42 as indicated at 50 d.
  • the top of the structure is planarized, preferably using chemical-mechanical polishing, to remove the tungsten 50 d from the TEOS layer 42 .
  • the result is independent local interconnects 50 a, 50 b and 50 c which are formed of tungsten inlaid in the TEOS layer 42 and the silicon nitride layer 20 .
  • the local interconnects 50 a, 50 b and 50 c enable the sources 14 a, drains 14 b, and control gates 14 e respectively of the transistors 14 to be electrically accessed from the upper surface of the structure.
  • the present invention overcomes the drawbacks of the prior art and provides a semiconductor structure including a silicon nitride layer etch stop layer with substantially improved data retention characteristics.

Abstract

A semiconductor memory device such as a flash Electrically Erasable Programmable Read-Only Memory (Flash EEPROM) includes a floating gate with high data retention. A tungsten damascene local interconnect structure includes a silicon nitride etch stop layer which is formed using Plasma Enhanced Chemical Vapor Deposition (PECVD) at a temperature of at least 480° C. such that the etch stop layer has a very low concentration of hydrogen ions. The minimization of hydrogen ions, which constitute mobile positive charge carriers, in the etch stop layer, minimizes recombination of the hydrogen ions with electrons on the floating gate, and thereby maximizes data retention of the device.

Description

    BACKGROUND OF THE INVENTION
  • 1. 1. Field of the Invention
  • 2. The present invention generally relates to the art of microelectronic integrated circuits, and more specifically to a process for fabricating a semiconductor memory device with high data retention including a silicon nitride etch stop layer formed at high temperature with a low hydrogen ion concentration.
  • 3. 2. Description of the Related Art
  • 4. A flash or block erase Electrically Erasable Programmable Read-Only Memory (Flash EEPROM) semiconductor memory includes an array of cells which can be independently programmed and read. The size of each cell and thereby the memory are made small by omitting select transistors which would enable the cells to be erased independently. All of the cells are erased together as a block.
  • 5. A memory of this type includes individual Metal-Oxide-Semiconductor (MOS) memory cells, each of which includes a source, drain, floating gate and control gate to which various voltages are applied to program the cell with a binary 1 or 0, or erase all of the cells as a block.
  • 6. Tungsten damascene is a process for fabricating local interconnects which can be advantageously applied to semiconductor devices including flash EEPROMs. The process includes forming an insulator layer of, for example, tetraethylorthosilicate (TEOS) glass over the memory cells, and using Reactive Ion Etching (RIE) to form vertical interconnect holes through the glass down to interconnect areas (source, drain, etc.) of the cells. The holes are filled with tungsten which ohmically contacts the interconnect areas to form the local interconnects.
  • 7. The TEOS etch is conventionally performed using octafluorobutene (C4F8) etchant, which also has a high etch rate for silicon. For this reason, a mechanism must be provided for performing the TEOS etch without allowing the etchant to act on the silicon of the underlying interconnect areas.
  • 8. Such a mechanism includes forming a silicon nitride etch stop layer underneath the TEOS layer, and performing the etch in two stages. The first stage is the octafluorobutene etch through the TEOS layer, which terminates at the etch stop layer since octafluorobutene has a low etch rate for silicon nitride.
  • 9. Then, a second RIE etch is performed using fluoromethane (CH3F), which forms holes through the portions of the etch stop layer that are exposed through the holes in the TEOS layer, down to the interconnect areas of the devices. This is possible because fluoromethane has a high etch rate for silicon nitride, but a low etch rate for TEOS.
  • 10. The structure can be further facilitated by using a silicide technique to increase the conductivity of the interconnect areas of the cells. Siliciding is a fabrication technique that enables electrical interconnections to be made that have reduced resistance and capacitance.
  • 11. The silicide process comprises forming a layer of a refractory metal silicide material such as tungsten, titanium, tantalum, molybdenum, etc. on a silicon interconnect area (source or drain diffusion region) or on a polysilicon gate to which ohmic contact is to be made, and then reacting the silicide material with the underlaying silicon material to form a silicide surface layer having much lower resistance than heavily doped silicon or polysilicon. A silicide surface layer formed on a polysilicon gate is called “polycide”, whereas a silicide surface layer formed on silicon using a self-aligned process is called “salicide”.
  • 12. A problem which has remained unsolved in the fabrication of flash EEPROM memories and other semiconductor device structures is data retention. A flash EEPROM cell is programmed by creating a negative charge (electrons) on the floating gate. The charge should remain until it is deliberately removed by erasing the cell.
  • 13. However, the charge on a conventional flash EEPROM cell which is fabricated using a silicon nitride etch stop layer that is conventionally formed at a temperature of approximately 350° C. has been found to decrease substantially with time. This problem has remained unsolved in the art.
  • SUMMARY OF THE INVENTION
  • 14. The present invention overcomes the drawbacks of the prior art by overcoming the problem of unsatisfactory data retention in semiconductor devices such as flash EEPROMs which include silicon nitride etch stop layers.
  • 15. In accordance with the present invention, a semiconductor memory device such as a flash Electrically Erasable Programmable Read-Only Memory (Flash EEPROM) includes a floating gate with high data retention.
  • 16. A tungsten damascene local interconnect structure includes a silicon nitride etch stop layer which is formed using Plasma Enhanced Chemical Vapor Deposition (PECVD) at a temperature of at least 480° C. such that the etch stop layer has a very low concentration of hydrogen ions.
  • 17. The minimization of hydrogen ions, which constitute mobile positive charge carriers, in the etch stop layer, minimizes recombination of the hydrogen ions with electrons on the floating gate, and thereby maximizes data retention of the device.
  • 18. These and other features and advantages of the present invention will be apparent to those skilled in the art from the following detailed description, taken together with the accompanying drawings, in which like reference numerals refer to like parts.
  • DESCRIPTION OF THE DRAWINGS
  • 19. FIGS. 1 to 10 are simplified sectional views illustrating steps of a process for fabricating a semiconductor device according to the present invention; and
  • 20.FIG. 11 is a simplified diagram illustrating a Plasma Enhanced Chemical Vapor Deposition (PECVD) apparatus for practicing the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • 21. The present inventors have discovered that a major cause of poor data retention in semiconductor devices such as flash EEPROMs as presented above is a high concentration of hydrogen ions in the silicon nitride etch stop layers of the devices. These hydrogen ions are highly mobile positive charge carriers which migrate to the floating gates of memory cells to recombine with electrons thereon and dissipate the charges on the floating gates.
  • 22. The present invention overcomes these problems, and provides a semiconductor structure including a silicon nitride layer having a low concentration of hydrogen ions. Although the present invention is especially suited to a memory device including a floating gate in which data retention is a problem, the present invention is not so limited, and can be advantageously applied to a large variety of semiconductor devices which may or may not include floating gates or other charge retention elements. For example, the invention may be applied to semiconductor structures which include silicon nitride encapsulation layers.
  • 23. FIGS. 1 to 10 are simplified sectional diagrams illustrating a process for fabricating a portion of a flash EEPROM semiconductor memory device according to the present invention. The detailed configuration of the device is not the particular subject matter of the invention, and only those elements which are necessary for understanding the invention will be described and illustrated.
  • 24. As viewed in FIG. 1, a flash EEPROM memory 10 includes a silicon semiconductor substrate 12. Two erasable memory cells 14 are formed on a surface 12 a of the substrate 12, each including a MOS transistor structure having a source 14 a, drain 14 b, gate oxide layer 14 c, and channel 14 d underlying the gate oxide layer 14 c. The cells 14 are physically and electrically isolated from each other by field oxide regions 16.
  • 25. A polysilicon control gate 14 e is formed over each gate oxide layer 14 c, and a polysilicon floating gate 14 f is formed underneath the control gate 14 e in the gate oxide layer 14 c.
  • 26. Although the gate oxide layers 14 c are shown as being integral, they may comprise two or more sublayers. For example, portions of the gate oxide layers 14 c which underlie the floating gates 14 f may be separate tunnel oxide layers. Further shown in the drawing are electrically insulating gate sidewall spacers 14 g.
  • 27. The construction and operation of the memory 10 are not the particular subject matter of the invention and will not be described in detail. Furthermore, the reference numerals designating the individual elements of the memory cells will be omitted in FIGS. 2 to 10 except as required for understanding the invention to avoid cluttering of the drawings.
  • 28.FIG. 1 illustrates the initial steps of the present process, which consist of providing the substrate 12, and forming semiconductor devices such as the erasable memory cells 14 on the surface 12 a of the substrate 12.
  • 29.FIG. 2 shows how interconnect areas are formed for the elements of the cells using a silicide technique to increase the electrical conductivity. The process comprises forming a layer of a refractory metal silicide material such as tungsten, titanium, tantalum, molybdenum, etc. on the source, 14 a, drain 14 b, and control gate 14 e to which ohmic contact is to be made, and then reacting the silicide material with the underlaying silicon material to form silicide source interconnect areas 18 a, drain interconnect areas 18 b, and control gate interconnect areas 18 c respectively.
  • 30.FIG. 3 illustrates how a silicon nitride (S3N4) etch stop layer 20 is formed over the surface 12 a of the substrate 12 and the devices 14 in accordance with the present invention. The etch stop layer 20 is preferably formed using Plasma Enhanced Chemical Vapor Deposition (PECVD) at a temperature of at least approximately 480° C. to a thickness of approximately 800± 50 Å.
  • 31. Basic Chemical Vapor Deposition (CVD) is a technique which normally requires a substrate temperature of at least 600° C. to achieve epitaxial deposition of a silicon nitride layer. PECVD improves on basic CVD by creating a glow discharge or plasma in the reaction chamber which enables a silicon nitride layer to be formed at a much lower temperature on the order of 350° C. to 400° C.
  • 32. Conventional silicon nitride etch stop layers are typically formed at about 350° C.-400° C., and have high concentrations of hydrogen ions which migrate to the floating gates of EEPROM cells to recombine with electrons thereon and thereby dissipate charge. This causes poor data retention as discussed above.
  • 33. The present step of forming the silicon nitride etch stop layer 20 at a higher temperature of at least approximately 480° C. results in a substantially lower concentration of hydrogen ions in the layer 20 than in a conventional silicon nitride etch stop layer which is formed at low temperature, thereby providing substantially improved data retention.
  • 34. A PECVD reaction chamber 22 for forming the silicon nitride layer 20 is illustrated in FIG. 11, and includes a container 24. An electrically grounded susceptor 26 is suspended in the container 24. A silicon wafer 30 including one or more dies on which semiconductor structures such as the memories 10 as illustrated in FIG. 2 are formed is supported on the susceptor 26. Lift pins 28 are provided for placing the wafer 30 on the susceptor 26. The wafer 30 is heated to a temperature of approximately 470° C. to 550° C., preferably 500° C., by a heater 32.
  • 35. A gas discharge nozzle which is known in the art as a shower head 34 is mounted in the container 24 above the wafer 30. A gas mixture 36 which is used to form the silicon nitride layer 20 is fed into the shower head 34 through an inlet conduit 38 and discharged downwardly toward the wafer 30 through orifices 34 a. The gas 36 preferably includes NH3, SiH4, and N2.
  • 36. Radio Frequency (RF) power is applied to the shower head 34 through a power lead 40. A blocker plate 34 b is provided at the upper end of the shower head 34 to prevent gas from escaping upwardly.
  • 37. The RF power applied to the shower head 34 creates an alternating electrical field between the shower head 34 and the grounded susceptor 26 which forms a glow or plasma discharge in the gas 36 therebetween. The plasma discharge enables the silicon nitride layer 20 to be formed at the temperature specified above.
  • 38. In addition to a deposition temperature of at least approximately 480° C., other process conditions enhance the formation of a silicon nitride layer 20 with low hydrogen concentration. The present inventors have discovered that the qualities of the silicon nitride layer 20 are improved if the layer has relatively high density and is formed at a relatively low deposition rate.
  • 39. This is achieved by performing deposition with low flow rates of NH3 and SiH4 in the gas 36, and low RF power. Preferred values for these conditions are an SiH4 flow rate of approximately 55± 5 sccm, an NH3 flow rate of approximately 12± 2 sccm, and an RF power of approximately 375± 10 watts.
  • 40. The preferred conditions also include an N2 flow rate of approximately 4,000 sccm, a pressure of 3.5± 0.2 torr, and a spacing S of approximately 375 mils (9.5 millimeters) between the shower head 34 and the surface of the wafer 30.
  • 41. Referring now to FIG. 4, the next step of the process is to form an insulator layer 42′, preferably of tetraethylorthosilicate (TEOS) glass, over the silicon nitride etch stop layer 20. The TEOS layer 42′ is planarized as illustrated in FIG. 5 using, preferably, chemical-mechanical polishing, and redesignated as 42.
  • 42. The remaining steps result in the formation of a tungsten damascene local interconnect structure for the memory 10. In FIG. 6, a layer of photoresist 44 is formed on the TEOS layer 42, and patterned using photolithography such that holes 44 a, 44 b and 44 c are formed above the silicide interconnect areas 18 a, 18 b and 18 c respectively.
  • 43. In FIGS. 7 and 8, holes are etched through the TEOS layer 42 and silicon nitride layer 20 down to the interconnect areas 18 a, 18 b and 18 c, preferably using a two stage Reactive Ion Etching (RIE) process.
  • 44. In FIG. 7, an RIE etch is performed using octafluorobutene (C4F8) which has a selectively high etch rate for TEOS and a low etch rate for silicon nitride. This results in the formation of vertical holes 46 a, 46 b and 46 c which extend downwardly from the holes 44 a, 44 b and 44 c of the photoresist layer 44 through the TEOS layer 42 and stop on the silicon nitride etch stop layer 20 in alignment with the interconnect areas 18 a, 18 b and 18 c respectively.
  • 45. In FIG. 8, the photoresist layer 44 is stripped away, and a second RIE etch is performed using fluoromethane (CH3F), which has a selectively high etch rate for silicon nitride and a low etch rate for TEOS. This results in the formation of holes 48 a, 48 b and 48 c through the silicon nitride layer 20. The holes 48 a, 48 b and 48 c are extensions of the holes 46 a, 46 b and 46 c through the TEOS layer 42, and terminate at the interconnect areas 18 a, 18 b and 18 c respectively.
  • 46. In FIG. 9, tungsten 50 is deposited over the structure of FIG. 8. The tungsten fills the holes through the TEOS layer 42 and the silicon nitride layer 20 as indicated at 50 a′, 50 b′ and 50 c′, and ohmically contacts the interconnect areas 18 a, 18 b and 18 c respectively. The tungsten further forms on the top of the TEOS layer 42 as indicated at 50 d.
  • 47. In FIG. 10, the top of the structure is planarized, preferably using chemical-mechanical polishing, to remove the tungsten 50 d from the TEOS layer 42. The result is independent local interconnects 50 a, 50 b and 50 c which are formed of tungsten inlaid in the TEOS layer 42 and the silicon nitride layer 20. The local interconnects 50 a, 50 b and 50 c enable the sources 14 a, drains 14 b, and control gates 14 e respectively of the transistors 14 to be electrically accessed from the upper surface of the structure.
  • 48. In summary, the present invention overcomes the drawbacks of the prior art and provides a semiconductor structure including a silicon nitride layer etch stop layer with substantially improved data retention characteristics.
  • 49. Various modifications will become possible for those skilled in the art after receiving the teachings of the present disclosure without departing from the scope thereof.

Claims (35)

We claim:
1. A process for fabricating a semiconductor structure having a local interconnect, comprising the steps of:
(a) providing a semiconductor substrate;
(b) forming a semiconductor device having an interconnect area on a surface of the substrate;
(c) forming a silicon nitride etch stop layer over the surface of the substrate and the device at a temperature of at least approximately 480° C.;
(d) forming an insulator layer over the etch stop layer;
(e) etching a first hole through the insulator layer to the etch stop layer in alignment with the interconnect area;
(f) etching a second hole through the etch stop layer to the interconnect area; and
(g) filling the first and second holes with an electrically conductive material which ohmically contacts the interconnect area to form the local interconnect.
2. A process as in
claim 1
, in which step (e) comprises etching the first hole using Reactive Ion Etching (RIE) with octafluorobutene.
3. A process as in
claim 1
, in which step (f) comprises etching the second hole using Reactive Ion Etching (RIE) with fluoromethane.
4. A process as in
claim 1
, in which:
step (a) comprises providing the substrate of silicon; and
step (b) comprises the substeps of:
(b1) forming a layer of a refractory metal silicide material over the interconnect area; and
(b2) reacting the silicide material with underlying silicon to form the interconnect area as a silicide.
5. A process as in
claim 1
, in which step (g) comprises filling the first and second holes with tungsten to form the local interconnect as a tungsten damascene.
6. A process as in
claim 1
, in further comprising the step, performed between steps (d) and (e), of:
(h) planarizing the insulator layer using chemical mechanical polishing.
7. A process as in
claim 1
, in which step (d) comprises forming the insulator layer of tetraethylorthosilicate (TEOS) glass.
8. A process as in
claim 1
, in which step (c) comprises forming the etch stop layer at a temperature of approximately 500° C.
9. A process as in
claim 1
, in which step (c) comprises forming the etch stop layer at a temperature in the range of approximately 470° C. to 550° C.
10. A process as in
claim 9
, in which:
step (c) comprises forming the etch stop layer using Plasma Enhanced Chemical Vapor Deposition (PECVD) with:
an SiH4 flow rate of approximately 55± 5 sccm;
an NH3 flow rate of approximately 12± 2 sccm; and
an RF power of approximately 375± 10 watts.
11. A process as in
claim 10
, in which step (c) further comprises forming the etch stop layer with an N2 flow rate of approximately 4,000 sccm.
12. A process as in
claim 10
, in which step (c) further comprises forming the etch stop layer at a pressure of approximately 3.5± 0.2 torr.
13. A process as in
claim 10
, in which step (c) further comprises forming the etch stop layer with a spacing between a PECVD shower head and the surface of the substrate of approximately 9.5 millimeters.
14. A process as in
claim 1
, in which step (c) comprises forming the etch stop layer to a thickness of approximately 800±50 Å.
15. A process for fabricating a semiconductor structure, comprising the steps of:
(a) providing a semiconductor substrate;
(b) forming a semiconductor device on a surface of the substrate; and
(c) forming a silicon nitride layer over the surface of the substrate and the device at a temperature of at least approximately 480° C.
16. A process as in
claim 15
, in which step (c) comprises forming the silicon nitride layer at a temperature of approximately 500° C.
17. A process as in
claim 15
, in which step (c) comprises forming the silicon nitride layer at a temperature in the range of approximately 470° C. to 550° C.
18. A process as in
claim 17
, in which:
step (c) comprises forming the silicon nitride layer using Plasma Enhanced Chemical Vapor Deposition (PECVD) with:
an SiH4 flow rate of approximately 55± 5 sccm;
an NH3 flow rate of approximately 12± 2 sccm; and
an RF power of approximately 375± 10 watts.
19. A process as in
claim 18
, in which step (c) further comprises forming the silicon nitride layer with an N2 flow rate of approximately 4,000 sccm.
20. A process as in
claim 18
, in which step (c) further comprises forming the silicon nitride layer at a pressure of approximately 3.5± 0.2 torr.
21. A process as in
claim 18
, in which step (c) further comprises forming the silicon nitride layer with a spacing between a PECVD shower head and the surface of the substrate of approximately 9.5 millimeters.
22. A process as in
claim 15
, in which step (c) comprises forming the silicon nitride layer to a thickness of approximately 800± 50 Å.
23. A semiconductor structure, comprising:
a semiconductor substrate;
a semiconductor device formed on a surface of the substrate; and
a silicon nitride layer formed over the surface of the substrate and the device at a temperature of at least approximately 480° C.
24. A structure as in
claim 23
, in which:
the device comprises an interconnect area;
the silicon nitride layer is an etch stop layer; and
the structure further comprises:
an insulating layer formed over the etch stop layer;
a first hole formed through the insulator layer to the etch stop layer in alignment with the interconnect area;
a second hole formed through the etch stop layer to the interconnect area; and
an electrically conductive material which fills the first and second holes and ohmically contacts the interconnect area to form a local interconnect.
25. A structure as in
claim 23
, in which the device comprises a memory cell having a floating element.
26. A structure as in
claim 25
, in which:
the memory cell comprises a Metal-Oxide-Semiconductor (MOS) transistor; and
the floating element comprises a floating gate.
27. A structure as in
claim 23
, in which the silicon nitride layer is formed at a temperature of approximately 500° C.
28. A structure as in
claim 23
, in which the silicon nitride layer is formed at a temperature in the range of approximately 470° C. to 550° C.
29. A structure as in
claim 28
, in which the silicon nitride layer is formed using Plasma Enhanced Chemical Vapor Deposition (PECVD), with:
an SiH4 flow rate of approximately 55± 5 sccm;
an NH3 flow rate of approximately 12± 2 sccm; and
an RF power of approximately 375±10 watts.
30. A structure as in
claim 29
, in which the silicon nitride layer is formed with an N2 flow rate of approximately 4,000 sccm.
31. A structure as in
claim 29
, in which the silicon nitride layer is formed at a pressure of approximately 3.5± 0.2 torr.
32. A structure as in
claim 29
, in which the silicon nitride layer is formed with a spacing between a PECVD shower head and the surface of the substrate of approximately 9.5 millimeters.
33. A structure as in
claim 23
, in which the silicon nitride layer has a thickness of approximately 800±50 Å.
34. A structure as in
claim 23
, in which:
the structure is a flash Electrically Erasable Programmable Read-Only Memory (flash EEPROM); and
the device comprises an erasable memory cell having a floating element.
35. A structure as in
claim 34
, in which:
the memory cell comprises a Metal-Oxide-Semiconductor (MOS) transistor; and
the floating element comprises a floating gate.
US09/745,626 1997-03-25 2000-12-20 Process for fabricating semiconductor memory device with high data retention including silicon nitride etch stop layer formed at high temperature with low hydrogen ion concentration Abandoned US20010001075A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/745,626 US20010001075A1 (en) 1997-03-25 2000-12-20 Process for fabricating semiconductor memory device with high data retention including silicon nitride etch stop layer formed at high temperature with low hydrogen ion concentration

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/823,953 US6190966B1 (en) 1997-03-25 1997-03-25 Process for fabricating semiconductor memory device with high data retention including silicon nitride etch stop layer formed at high temperature with low hydrogen ion concentration
US09/745,626 US20010001075A1 (en) 1997-03-25 2000-12-20 Process for fabricating semiconductor memory device with high data retention including silicon nitride etch stop layer formed at high temperature with low hydrogen ion concentration

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US08/823,953 Continuation US6190966B1 (en) 1997-03-25 1997-03-25 Process for fabricating semiconductor memory device with high data retention including silicon nitride etch stop layer formed at high temperature with low hydrogen ion concentration

Publications (1)

Publication Number Publication Date
US20010001075A1 true US20010001075A1 (en) 2001-05-10

Family

ID=25240229

Family Applications (2)

Application Number Title Priority Date Filing Date
US08/823,953 Expired - Lifetime US6190966B1 (en) 1997-03-25 1997-03-25 Process for fabricating semiconductor memory device with high data retention including silicon nitride etch stop layer formed at high temperature with low hydrogen ion concentration
US09/745,626 Abandoned US20010001075A1 (en) 1997-03-25 2000-12-20 Process for fabricating semiconductor memory device with high data retention including silicon nitride etch stop layer formed at high temperature with low hydrogen ion concentration

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US08/823,953 Expired - Lifetime US6190966B1 (en) 1997-03-25 1997-03-25 Process for fabricating semiconductor memory device with high data retention including silicon nitride etch stop layer formed at high temperature with low hydrogen ion concentration

Country Status (1)

Country Link
US (2) US6190966B1 (en)

Cited By (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6376351B1 (en) * 2001-06-28 2002-04-23 Taiwan Semiconductor Manufacturing Company High Fmax RF MOSFET with embedded stack gate
US20030049936A1 (en) * 2001-09-07 2003-03-13 Samsung Electronics Co., Ltd. Semiconductor device having local interconnection layer and method for manufacturing the same
KR20030079298A (en) * 2002-04-03 2003-10-10 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US20030235075A1 (en) * 2002-06-21 2003-12-25 Micron Technology, Inc. Vertical NROM having a storage density of 1bit per 1F2
US20040110392A1 (en) * 2002-12-09 2004-06-10 Taiwan Semiconductor Manufacturing Company N/PMOS saturation current, HCE, and Vt stability by contact etch stop film modifications
US20040130934A1 (en) * 2002-06-21 2004-07-08 Micron Technology, Inc. NROM memory cell, memory array, related devices and methods
US6830963B1 (en) 2003-10-09 2004-12-14 Micron Technology, Inc. Fully depleted silicon-on-insulator CMOS logic
US20040265011A1 (en) * 2003-06-30 2004-12-30 Kiyonori Tsuda Powder transport apparatus and image forming apparatus that can stabilize replenishment of powder
US20050001229A1 (en) * 2003-07-01 2005-01-06 Leonard Forbes Apparatus and method for split transistor memory having improved endurance
US20050030792A1 (en) * 2003-08-07 2005-02-10 Micron Technology, Inc. Method for programming and erasing an nrom cell
US20050030794A1 (en) * 2003-08-07 2005-02-10 Micron Technology, Inc. Method for erasing an NROM cell
US6878991B1 (en) 2004-01-30 2005-04-12 Micron Technology, Inc. Vertical device 4F2 EEPROM memory
US20050105341A1 (en) * 2003-11-04 2005-05-19 Micron Technology, Inc. NROM flash memory with self-aligned structural charge separation
US20050106811A1 (en) * 2003-11-17 2005-05-19 Micron Technology, Inc. NROM flash memory devices on ultrathin silicon
US20050128804A1 (en) * 2003-12-16 2005-06-16 Micron Technology, Inc. Multi-state NROM device
US20050133860A1 (en) * 2003-12-17 2005-06-23 Micron Technology, Inc. Vertical NROM NAND flash memory array
US20050174847A1 (en) * 2004-02-10 2005-08-11 Micron Technology, Inc. Nrom flash memory cell with integrated dram
US20050173755A1 (en) * 2004-02-10 2005-08-11 Micron Technology, Inc. NROM flash memory with a high-permittivity gate dielectric
US20050184337A1 (en) * 2004-02-24 2005-08-25 Micron Technology, Inc. 4f2 eeprom nrom memory arrays with vertical devices
US20050185466A1 (en) * 2004-02-24 2005-08-25 Micron Technology, Inc. Multi-state memory cell with asymmetric charge trapping
US20050212033A1 (en) * 2004-03-24 2005-09-29 Micron Technology, Inc. Memory device with high dielectric constant gate dielectrics and metal floating gates
US20050218435A1 (en) * 2001-05-15 2005-10-06 Renesas Technology Corp. Semiconductor integrated circuit device and production method thereof
US20050247972A1 (en) * 2004-05-06 2005-11-10 Micron Technology, Inc. Ballistic direct injection NROM cell on strained silicon structures
US20050253186A1 (en) * 2003-09-05 2005-11-17 Micron Technology, Inc. Trench corner effect bidirectional flash memory cell
US20050277243A1 (en) * 2003-12-18 2005-12-15 Micron Technology, Inc. Flash memory having a high-permittivity tunnel dielectric
US20060128103A1 (en) * 2003-12-16 2006-06-15 Micron Technology, Inc. NROM memory cell, memory array, related devices and methods
WO2006065355A1 (en) * 2004-12-14 2006-06-22 Lattice Semiconductor Corporation Process for fabricating a semiconductor device having an rtcvd layer
US20070048881A1 (en) * 2005-08-30 2007-03-01 Micron Technology, Inc. Memory device transistors
US7291546B1 (en) * 2001-11-17 2007-11-06 Altera Corporation Method and apparatus for reducing charge loss in a nonvolatile memory cell
US20080093684A1 (en) * 2006-10-20 2008-04-24 Seiko Epson Corporation Mems device and fabrication method thereof
US20100038692A1 (en) * 2008-08-14 2010-02-18 Harry Chuang Integrating the Formation of I/O and Core MOS Devices with MOS Capacitors and Resistors
US7781326B2 (en) * 2001-02-02 2010-08-24 Applied Materials, Inc. Formation of a tantalum-nitride layer
US20100308337A1 (en) * 2009-06-03 2010-12-09 Cree, Inc. Schottky Diodes Including Polysilicon Having Low Barrier Heights and Methods of Fabricating the Same
TWI382529B (en) * 2003-09-04 2013-01-11 Spansion Llc Memory cell structure having nitride layer with reduced charge loss and method for fabricating same
CN102916013A (en) * 2011-08-04 2013-02-06 无锡华润上华半导体有限公司 OTP (one time programmable) device and manufacturing method thereof
US9379194B2 (en) 2014-11-09 2016-06-28 Tower Semiconductor Ltd. Floating gate NVM with low-moisture-content oxide cap layer
US9431455B2 (en) 2014-11-09 2016-08-30 Tower Semiconductor, Ltd. Back-end processing using low-moisture content oxide cap layer

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6768165B1 (en) * 1997-08-01 2004-07-27 Saifun Semiconductors Ltd. Two bit non-volatile electrically erasable and programmable semiconductor memory cell utilizing asymmetrical charge trapping
US6274900B1 (en) * 1998-01-05 2001-08-14 Texas Instruments Incorporated Semiconductor device architectures including UV transmissive nitride layers
US6051467A (en) * 1998-04-02 2000-04-18 Chartered Semiconductor Manufacturing, Ltd. Method to fabricate a large planar area ONO interpoly dielectric in flash device
US6940146B2 (en) * 1999-09-03 2005-09-06 United Microelectronics Corp. Interconnect structure with an enlarged air gaps disposed between conductive structures or surrounding a conductive structure within the same
JP2001168306A (en) * 1999-12-09 2001-06-22 Toshiba Corp Non-volatile semiconductor memory device and its manufacturing method
WO2001069672A1 (en) * 2000-03-13 2001-09-20 Koninklijke Philips Electronics N.V. A method of manufacturing a semiconductor device
US6498088B1 (en) * 2000-11-09 2002-12-24 Micron Technology, Inc. Stacked local interconnect structure and method of fabricating same
US7098107B2 (en) * 2001-11-19 2006-08-29 Saifun Semiconductor Ltd. Protective layer in memory device and method therefor
US6700818B2 (en) * 2002-01-31 2004-03-02 Saifun Semiconductors Ltd. Method for operating a memory device
US7297592B1 (en) 2002-03-27 2007-11-20 Spansion Llc Semiconductor memory with data retention liner
US7042045B2 (en) 2002-06-04 2006-05-09 Samsung Electronics Co., Ltd. Non-volatile memory cell having a silicon-oxide nitride-oxide-silicon gate structure
US6917544B2 (en) * 2002-07-10 2005-07-12 Saifun Semiconductors Ltd. Multiple use memory chip
US7136304B2 (en) 2002-10-29 2006-11-14 Saifun Semiconductor Ltd Method, system and circuit for programming a non-volatile memory array
US7178004B2 (en) * 2003-01-31 2007-02-13 Yan Polansky Memory array programming circuit and a method for using the circuit
JP2004273835A (en) * 2003-03-10 2004-09-30 Toshiba Corp Semiconductor device and its manufacturing method
US7123532B2 (en) * 2003-09-16 2006-10-17 Saifun Semiconductors Ltd. Operating array cells with matched reference cells
US6949481B1 (en) 2003-12-09 2005-09-27 Fasl, Llc Process for fabrication of spacer layer with reduced hydrogen content in semiconductor device
US6955965B1 (en) * 2003-12-09 2005-10-18 Fasl, Llc Process for fabrication of nitride layer with reduced hydrogen content in ONO structure in semiconductor device
US7095655B2 (en) * 2004-08-12 2006-08-22 Saifun Semiconductors Ltd. Dynamic matching of signal path and reference path for sensing
US7638850B2 (en) * 2004-10-14 2009-12-29 Saifun Semiconductors Ltd. Non-volatile memory structure and method of fabrication
US20060146624A1 (en) * 2004-12-02 2006-07-06 Saifun Semiconductors, Ltd. Current folding sense amplifier
US8053812B2 (en) 2005-03-17 2011-11-08 Spansion Israel Ltd Contact in planar NROM technology
JP2007027760A (en) * 2005-07-18 2007-02-01 Saifun Semiconductors Ltd High density nonvolatile memory array and manufacturing method
US7863154B2 (en) * 2005-07-29 2011-01-04 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
US20070096199A1 (en) * 2005-09-08 2007-05-03 Eli Lusky Method of manufacturing symmetric arrays
US20070120180A1 (en) * 2005-11-25 2007-05-31 Boaz Eitan Transition areas for dense memory arrays
US7808818B2 (en) * 2006-01-12 2010-10-05 Saifun Semiconductors Ltd. Secondary injection for NROM
US7692961B2 (en) * 2006-02-21 2010-04-06 Saifun Semiconductors Ltd. Method, circuit and device for disturb-control of programming nonvolatile memory cells by hot-hole injection (HHI) and by channel hot-electron (CHE) injection
US8253452B2 (en) * 2006-02-21 2012-08-28 Spansion Israel Ltd Circuit and method for powering up an integrated circuit and an integrated circuit utilizing same
US7760554B2 (en) * 2006-02-21 2010-07-20 Saifun Semiconductors Ltd. NROM non-volatile memory and mode of operation
US7701779B2 (en) * 2006-04-27 2010-04-20 Sajfun Semiconductors Ltd. Method for programming a reference cell
US7605579B2 (en) * 2006-09-18 2009-10-20 Saifun Semiconductors Ltd. Measuring and controlling current consumption and output current of charge pumps
US8202810B2 (en) * 2008-01-09 2012-06-19 Spansion Llc Low-H plasma treatment with N2 anneal for electronic memory devices

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US5264724A (en) * 1989-02-13 1993-11-23 The University Of Arkansas Silicon nitride for application as the gate dielectric in MOS devices
JP2509717B2 (en) * 1989-12-06 1996-06-26 株式会社東芝 Method for manufacturing semiconductor device
US5068201A (en) * 1990-05-31 1991-11-26 Sgs-Thomson Microelectronics, Inc. Method for forming a high valued resistive load element and low resistance interconnect for integrated circuits
US5294558A (en) * 1993-06-01 1994-03-15 International Business Machines Corporation Method of making double-self-aligned bipolar transistor structure
FR2711275B1 (en) * 1993-10-15 1996-10-31 Intel Corp Automatically aligned contact process in semiconductor and product device manufacturing.
JPH07220487A (en) * 1994-01-27 1995-08-18 Toshiba Corp Nonvolatile memory circuit
US5681425A (en) * 1995-12-29 1997-10-28 Industrial Technology Research Institute Teos plasma protection technology
US5840624A (en) * 1996-03-15 1998-11-24 Taiwan Semiconductor Manufacturing Company, Ltd Reduction of via over etching for borderless contacts
US5795820A (en) * 1996-07-01 1998-08-18 Advanced Micro Devices Method for simplifying the manufacture of an interlayer dielectric stack
US6087254A (en) * 1996-07-16 2000-07-11 Micron Technology, Inc. Technique for elimination of pitting on silicon substrate during gate stack etch
US5731238A (en) * 1996-08-05 1998-03-24 Motorola Inc. Integrated circuit having a jet vapor deposition silicon nitride film and method of making the same
US5825068A (en) * 1997-03-17 1998-10-20 Integrated Device Technology, Inc. Integrated circuits that include a barrier layer reducing hydrogen diffusion into a polysilicon resistor

Cited By (153)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7781326B2 (en) * 2001-02-02 2010-08-24 Applied Materials, Inc. Formation of a tantalum-nitride layer
US20050218435A1 (en) * 2001-05-15 2005-10-06 Renesas Technology Corp. Semiconductor integrated circuit device and production method thereof
US6376351B1 (en) * 2001-06-28 2002-04-23 Taiwan Semiconductor Manufacturing Company High Fmax RF MOSFET with embedded stack gate
US20030049936A1 (en) * 2001-09-07 2003-03-13 Samsung Electronics Co., Ltd. Semiconductor device having local interconnection layer and method for manufacturing the same
US7122850B2 (en) * 2001-09-07 2006-10-17 Samsung Electronics Co., Ltd. Semiconductor device having local interconnection layer and etch stopper pattern for preventing leakage of current
US20070010090A1 (en) * 2001-09-07 2007-01-11 Dong-Kyun Nam Semiconductor device having local interconnection layer and etch stopper pattern for preventing leakage of current
US7704892B2 (en) 2001-09-07 2010-04-27 Samsung Electronics Co., Ltd. Semiconductor device having local interconnection layer and etch stopper pattern for preventing leakage of current
US7291546B1 (en) * 2001-11-17 2007-11-06 Altera Corporation Method and apparatus for reducing charge loss in a nonvolatile memory cell
KR20030079298A (en) * 2002-04-03 2003-10-10 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US20050255647A1 (en) * 2002-06-21 2005-11-17 Micron Technology, Inc. Vertical NROM having a storage density of 1 bit per 1F2
US20040130934A1 (en) * 2002-06-21 2004-07-08 Micron Technology, Inc. NROM memory cell, memory array, related devices and methods
US6842370B2 (en) 2002-06-21 2005-01-11 Micron Technology, Inc. Vertical NROM having a storage density of 1 bit per 1F2
US6853587B2 (en) 2002-06-21 2005-02-08 Micron Technology, Inc. Vertical NROM having a storage density of 1 bit per 1F2
US20060126398A1 (en) * 2002-06-21 2006-06-15 Micron Technologies, Inc. NROM memory cell, memory array, related devices and methods
US20030235075A1 (en) * 2002-06-21 2003-12-25 Micron Technology, Inc. Vertical NROM having a storage density of 1bit per 1F2
US20040066672A1 (en) * 2002-06-21 2004-04-08 Micron Technology, Inc. Vertical NROM having a storage density of 1 bit per IF2
US20090072303A9 (en) * 2002-06-21 2009-03-19 Micron Technology, Inc. Nrom memory cell, memory array, related devices and methods
US20060124998A1 (en) * 2002-06-21 2006-06-15 Micron Technology, Inc. NROM memory cell, memory array, related devices and methods
US20040202032A1 (en) * 2002-06-21 2004-10-14 Micron Technology, Inc. Vertical NROM having a storage density of 1 bit per 1F2
US7230848B2 (en) 2002-06-21 2007-06-12 Micron Technology, Inc. Vertical NROM having a storage density of 1 bit per 1F2
US6906953B2 (en) 2002-06-21 2005-06-14 Micron Technology, Inc. Vertical NROM having a storage density of 1 bit per 1F2
US8441056B2 (en) 2002-06-21 2013-05-14 Micron Technology, Inc. NROM memory cell, memory array, related devices and methods
US20090010075A9 (en) * 2002-06-21 2009-01-08 Micron Technologies, Inc. NROM memory cell, memory array, related devices and methods
US7371629B2 (en) * 2002-12-09 2008-05-13 Taiwan Semiconductor Manufacturing Company N/PMOS saturation current, HCE, and Vt stability by contact etch stop film modifications
US20040110392A1 (en) * 2002-12-09 2004-06-10 Taiwan Semiconductor Manufacturing Company N/PMOS saturation current, HCE, and Vt stability by contact etch stop film modifications
US20040265011A1 (en) * 2003-06-30 2004-12-30 Kiyonori Tsuda Powder transport apparatus and image forming apparatus that can stabilize replenishment of powder
US7719046B2 (en) 2003-07-01 2010-05-18 Micron Technology, Inc. Apparatus and method for trench transistor memory having different gate dielectric thickness
US20050001229A1 (en) * 2003-07-01 2005-01-06 Leonard Forbes Apparatus and method for split transistor memory having improved endurance
US20060197143A1 (en) * 2003-07-01 2006-09-07 Micron Technology, Inc. Apparatus and method for split transistor memory having improved endurance
US20070064466A1 (en) * 2003-08-07 2007-03-22 Micron Technology, Inc. Method for programming and erasing an NROM cell
US7088619B2 (en) 2003-08-07 2006-08-08 Micron Technology, Inc. Method for programming and erasing an NROM cell
US7272045B2 (en) 2003-08-07 2007-09-18 Micron Technology, Inc. Method for programming and erasing an NROM cell
US20100067307A1 (en) * 2003-08-07 2010-03-18 Micron Technology, Inc. Method for programming and erasing an nrom cell
US20050141278A1 (en) * 2003-08-07 2005-06-30 Micron Technology, Inc. Method for programming and erasing an NROM cell
US7227787B2 (en) 2003-08-07 2007-06-05 Micron Technology, Inc. Method for erasing an NROM cell
US20070070700A1 (en) * 2003-08-07 2007-03-29 Micron Technology, Inc. Method for programming and erasing an NROM cell
US7986555B2 (en) 2003-08-07 2011-07-26 Micron Technology, Inc. Method for programming and erasing an NROM cell
US20050174855A1 (en) * 2003-08-07 2005-08-11 Micron Technology, Inc. Method for erasing an NROM cell
US20050030792A1 (en) * 2003-08-07 2005-02-10 Micron Technology, Inc. Method for programming and erasing an nrom cell
US6873550B2 (en) 2003-08-07 2005-03-29 Micron Technology, Inc. Method for programming and erasing an NROM cell
US20050030794A1 (en) * 2003-08-07 2005-02-10 Micron Technology, Inc. Method for erasing an NROM cell
US7639530B2 (en) 2003-08-07 2009-12-29 Micron Technology, Inc. Method for programming and erasing an NROM cell
US7277321B2 (en) 2003-08-07 2007-10-02 Micron Technology, Inc. Method for programming and erasing an NROM cell
US7085170B2 (en) 2003-08-07 2006-08-01 Micron Technology, Ind. Method for erasing an NROM cell
US7075831B2 (en) 2003-08-07 2006-07-11 Micron Technology, Inc. Method for erasing an NROM cell
US7075832B2 (en) 2003-08-07 2006-07-11 Micron Technology, Inc. Method for erasing an NROM cell
US20060133152A1 (en) * 2003-08-07 2006-06-22 Micron Technology, Inc. Method for programming and erasing an NROM cell
TWI382529B (en) * 2003-09-04 2013-01-11 Spansion Llc Memory cell structure having nitride layer with reduced charge loss and method for fabricating same
US7161217B2 (en) 2003-09-05 2007-01-09 Micron Technology, Inc. Trench corner effect bidirectional flash memory cell
US7329920B2 (en) 2003-09-05 2008-02-12 Micron Technology, Inc. Trench corner effect bidirectional flash memory cell
US20050258480A1 (en) * 2003-09-05 2005-11-24 Micron Technology, Inc. Trench corner effect bidirectional flash memory cell
US20050255638A1 (en) * 2003-09-05 2005-11-17 Micron Technology, Inc. Trench corner effect bidirectional flash memory cell
US6977412B2 (en) 2003-09-05 2005-12-20 Micron Technology, Inc. Trench corner effect bidirectional flash memory cell
US20050253186A1 (en) * 2003-09-05 2005-11-17 Micron Technology, Inc. Trench corner effect bidirectional flash memory cell
US20050269625A1 (en) * 2003-09-05 2005-12-08 Micron Technology, Inc. Trench corner effect bidirectional flash memory cell
US7283394B2 (en) 2003-09-05 2007-10-16 Micron Technology, Inc. Trench corner effect bidirectional flash memory cell
US7535054B2 (en) 2003-09-05 2009-05-19 Micron Technology, Inc. Trench corner effect bidirectional flash memory cell
US7285821B2 (en) 2003-09-05 2007-10-23 Micron Technology, Inc. Trench corner effect bidirectional flash memory cell
US7078770B2 (en) 2003-10-09 2006-07-18 Micron Technology, Inc. Fully depleted silicon-on-insulator CMOS logic
US7973370B2 (en) 2003-10-09 2011-07-05 Micron Technology, Inc. Fully depleted silicon-on-insulator CMOS logic
US20050077564A1 (en) * 2003-10-09 2005-04-14 Micron Technology, Inc. Fully depleted silicon-on-insulator CMOS logic
US20110204431A1 (en) * 2003-10-09 2011-08-25 Micron Technology, Inc. Fully depleted silicon-on-insulator cmos logic
US8174081B2 (en) 2003-10-09 2012-05-08 Micron Technology, Inc. Fully depleted silicon-on-insulator CMOS logic
US6830963B1 (en) 2003-10-09 2004-12-14 Micron Technology, Inc. Fully depleted silicon-on-insulator CMOS logic
US7480186B2 (en) 2003-11-04 2009-01-20 Micron Technology, Inc. NROM flash memory with self-aligned structural charge separation
US20050105341A1 (en) * 2003-11-04 2005-05-19 Micron Technology, Inc. NROM flash memory with self-aligned structural charge separation
US20070109871A1 (en) * 2003-11-04 2007-05-17 Micron Technology, Inc. NROM flash memory with self-aligned structural charge separation
US7184315B2 (en) 2003-11-04 2007-02-27 Micron Technology, Inc. NROM flash memory with self-aligned structural charge separation
US7768058B2 (en) 2003-11-17 2010-08-03 Micron Technology, Inc. NROM flash memory devices on ultrathin silicon
US7244987B2 (en) 2003-11-17 2007-07-17 Micron Technology, Inc. NROM flash memory devices on ultrathin silicon
US20050106811A1 (en) * 2003-11-17 2005-05-19 Micron Technology, Inc. NROM flash memory devices on ultrathin silicon
US20050280094A1 (en) * 2003-11-17 2005-12-22 Micron Technology, Inc. NROM flash memory devices on ultrathin silicon
US20100270610A1 (en) * 2003-11-17 2010-10-28 Micron Technology, Inc. Nrom flash memory devices on ultrathin silicon
US20080203467A1 (en) * 2003-11-17 2008-08-28 Micron Technology, Inc. Nrom flash memory devices on ultrathin silicon
US7378316B2 (en) 2003-11-17 2008-05-27 Micron Technology, Inc. Method for fabricating semiconductor vertical NROM memory cells
US7358562B2 (en) 2003-11-17 2008-04-15 Micron Technology, Inc. NROM flash memory devices on ultrathin silicon
US7915669B2 (en) 2003-11-17 2011-03-29 Micron Technology, Inc. NROM flash memory devices on ultrathin silicon
US20050280089A1 (en) * 2003-11-17 2005-12-22 Micron Technology, Inc. NROM flash memory devices on ultrathin silicon
US7276762B2 (en) 2003-11-17 2007-10-02 Micron Technology, Inc. NROM flash memory devices on ultrathin silicon
US8183625B2 (en) 2003-11-17 2012-05-22 Micron Technology, Inc. NROM flash memory devices on ultrathin silicon
US20050282334A1 (en) * 2003-11-17 2005-12-22 Micron Technology, Inc. NROM flash memory devices on ultrathin silicon
US7202523B2 (en) 2003-11-17 2007-04-10 Micron Technology, Inc. NROM flash memory devices on ultrathin silicon
US7276413B2 (en) 2003-11-17 2007-10-02 Micron Technology, Inc. NROM flash memory devices on ultrathin silicon
US20110163321A1 (en) * 2003-11-17 2011-07-07 Micron Technology, Inc. Nrom flash memory devices on ultrathin silicon
US20070170496A1 (en) * 2003-11-17 2007-07-26 Micron Technology, Inc. Nrom flash memory devices on ultrathin silicon
US20070166927A1 (en) * 2003-11-17 2007-07-19 Micron Technology, Inc. Nrom flash memory devices on ultrathin silicon
US20060166443A1 (en) * 2003-12-16 2006-07-27 Micron Technology, Inc. Multi-state NROM device
US20060124967A1 (en) * 2003-12-16 2006-06-15 Micron Technology, Inc. NROM memory cell, memory array, related devices and methods
US7750389B2 (en) 2003-12-16 2010-07-06 Micron Technology, Inc. NROM memory cell, memory array, related devices and methods
US20060128104A1 (en) * 2003-12-16 2006-06-15 Micron Technology, Inc. NROM memory cell, memory array, related devices and methods
US20060124992A1 (en) * 2003-12-16 2006-06-15 Micron Technology, Inc. NROM memory cell, memory array, related devices and methods
US20050128804A1 (en) * 2003-12-16 2005-06-16 Micron Technology, Inc. Multi-state NROM device
US20060128103A1 (en) * 2003-12-16 2006-06-15 Micron Technology, Inc. NROM memory cell, memory array, related devices and methods
US20060152978A1 (en) * 2003-12-16 2006-07-13 Micron Technology, Inc. Multi-state NROM device
US20060261404A1 (en) * 2003-12-17 2006-11-23 Micron Technology, Inc. Vertical NROM NAND flash memory array
US20050133860A1 (en) * 2003-12-17 2005-06-23 Micron Technology, Inc. Vertical NROM NAND flash memory array
US7157769B2 (en) 2003-12-18 2007-01-02 Micron Technology, Inc. Flash memory having a high-permittivity tunnel dielectric
US20050277243A1 (en) * 2003-12-18 2005-12-15 Micron Technology, Inc. Flash memory having a high-permittivity tunnel dielectric
US20090191676A1 (en) * 2003-12-18 2009-07-30 Micron Technology, Inc. Flash memory having a high-permittivity tunnel dielectric
US7528037B2 (en) 2003-12-18 2009-05-05 Micron Technology, Inc. Flash memory having a high-permittivity tunnel dielectric
US20050167743A1 (en) * 2004-01-30 2005-08-04 Micron Technology, Inc. Vertical device 4F2 EEPROM memory
US6878991B1 (en) 2004-01-30 2005-04-12 Micron Technology, Inc. Vertical device 4F2 EEPROM memory
US20060261405A1 (en) * 2004-01-30 2006-11-23 Micron Technology, Inc. Vertical device 4F² eeprom memory
US20050240867A1 (en) * 2004-02-10 2005-10-27 Micron Technology, Inc. NROM flash memory cell with integrated DRAM
US6952366B2 (en) 2004-02-10 2005-10-04 Micron Technology, Inc. NROM flash memory cell with integrated DRAM
US20050173755A1 (en) * 2004-02-10 2005-08-11 Micron Technology, Inc. NROM flash memory with a high-permittivity gate dielectric
US7479428B2 (en) 2004-02-10 2009-01-20 Leonard Forbes NROM flash memory with a high-permittivity gate dielectric
US20060019453A1 (en) * 2004-02-10 2006-01-26 Micron Technology, Inc. NROM flash memory with a high-permittivity gate dielectric
US20050174847A1 (en) * 2004-02-10 2005-08-11 Micron Technology, Inc. Nrom flash memory cell with integrated dram
US20050275011A1 (en) * 2004-02-10 2005-12-15 Micron Technology, Inc. NROM flash memory with a high-permittivity gate dielectric
US7072213B2 (en) 2004-02-10 2006-07-04 Micron Technology, Inc. NROM flash memory cell with integrated DRAM
US7319613B2 (en) 2004-02-10 2008-01-15 Micron Technology, Inc. NROM flash memory cell with integrated DRAM
US7221018B2 (en) 2004-02-10 2007-05-22 Micron Technology, Inc. NROM flash memory with a high-permittivity gate dielectric
US20060203554A1 (en) * 2004-02-24 2006-09-14 Micron Technology, Inc. Multi-state memory cell with asymmetric charge trapping
US7577027B2 (en) 2004-02-24 2009-08-18 Micron Technology, Inc. Multi-state memory cell with asymmetric charge trapping
US20060203555A1 (en) * 2004-02-24 2006-09-14 Micron Technology, Inc. Multi-state memory cell with asymmetric charge trapping
US20050185466A1 (en) * 2004-02-24 2005-08-25 Micron Technology, Inc. Multi-state memory cell with asymmetric charge trapping
US7616482B2 (en) 2004-02-24 2009-11-10 Micron Technology, Inc. Multi-state memory cell with asymmetric charge trapping
US7911837B2 (en) 2004-02-24 2011-03-22 Micron Technology, Inc. Multi-state memory cell with asymmetric charge trapping
US20100039869A1 (en) * 2004-02-24 2010-02-18 Micron Technology, Inc. Multi-state memory cell with asymmetric charge trapping
US20050184337A1 (en) * 2004-02-24 2005-08-25 Micron Technology, Inc. 4f2 eeprom nrom memory arrays with vertical devices
US7072217B2 (en) 2004-02-24 2006-07-04 Micron Technology, Inc. Multi-state memory cell with asymmetric charge trapping
US7550339B2 (en) 2004-03-24 2009-06-23 Micron Technology, Inc. Memory device with high dielectric constant gate dielectrics and metal floating gates
US20050212033A1 (en) * 2004-03-24 2005-09-29 Micron Technology, Inc. Memory device with high dielectric constant gate dielectrics and metal floating gates
US20060237775A1 (en) * 2004-03-24 2006-10-26 Micron Technology, Inc. Memory device with high dielectric constant gate dielectrics and metal floating gates
US7102191B2 (en) 2004-03-24 2006-09-05 Micron Technologies, Inc. Memory device with high dielectric constant gate dielectrics and metal floating gates
US7586144B2 (en) 2004-03-24 2009-09-08 Micron Technology, Inc. Memory device with high dielectric constant gate dielectrics and metal floating gates
US8076714B2 (en) 2004-03-24 2011-12-13 Micron Technology, Inc. Memory device with high dielectric constant gate dielectrics and metal floating gates
US7268031B2 (en) 2004-03-24 2007-09-11 Micron Technology, Inc. Memory device with high dielectric constant gate dielectrics and metal floating gates
US20050280048A1 (en) * 2004-03-24 2005-12-22 Micron Technology, Inc. Memory device with high dielectric constant gate dielectrics and metal floating gates
US20050247972A1 (en) * 2004-05-06 2005-11-10 Micron Technology, Inc. Ballistic direct injection NROM cell on strained silicon structures
US20060214220A1 (en) * 2004-05-06 2006-09-28 Micron Technology, Inc. Ballistic direct injection NROM cell on strained silicon structures
US7683424B2 (en) 2004-05-06 2010-03-23 Micron Technology, Inc. Ballistic direct injection NROM cell on strained silicon structures
US7859046B2 (en) 2004-05-06 2010-12-28 Micron Technology, Inc. Ballistic direct injection NROM cell on strained silicon structures
US7274068B2 (en) 2004-05-06 2007-09-25 Micron Technology, Inc. Ballistic direct injection NROM cell on strained silicon structures
WO2006065355A1 (en) * 2004-12-14 2006-06-22 Lattice Semiconductor Corporation Process for fabricating a semiconductor device having an rtcvd layer
US8536634B2 (en) 2005-08-30 2013-09-17 Micron Technology, Inc. Memory device transistors
US8004031B2 (en) 2005-08-30 2011-08-23 Micron Technology, Inc. Memory device transistors
US7560335B2 (en) * 2005-08-30 2009-07-14 Micron Technology, Inc. Memory device transistors
US20090273019A1 (en) * 2005-08-30 2009-11-05 Seiichi Aritome Memory device transistors
US20070048881A1 (en) * 2005-08-30 2007-03-01 Micron Technology, Inc. Memory device transistors
US20080093684A1 (en) * 2006-10-20 2008-04-24 Seiko Epson Corporation Mems device and fabrication method thereof
US20110031564A1 (en) * 2006-10-20 2011-02-10 Seiko Epson Corporation Mems device and fabrication method thereof
US7838952B2 (en) * 2006-10-20 2010-11-23 Seiko Epson Corporation MEMS device and fabrication method thereof
US8552512B2 (en) 2006-10-20 2013-10-08 Seiko Epson Corporation MEMS device and fabrication method thereof
US8294216B2 (en) * 2008-08-14 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating the formation of I/O and core MOS devices with MOS capacitors and resistors
US8728900B2 (en) 2008-08-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating the formation of I/O and core MOS devices with MOS capacitors and resistors
US20100038692A1 (en) * 2008-08-14 2010-02-18 Harry Chuang Integrating the Formation of I/O and Core MOS Devices with MOS Capacitors and Resistors
US20100308337A1 (en) * 2009-06-03 2010-12-09 Cree, Inc. Schottky Diodes Including Polysilicon Having Low Barrier Heights and Methods of Fabricating the Same
US8304783B2 (en) * 2009-06-03 2012-11-06 Cree, Inc. Schottky diodes including polysilicon having low barrier heights and methods of fabricating the same
CN102916013A (en) * 2011-08-04 2013-02-06 无锡华润上华半导体有限公司 OTP (one time programmable) device and manufacturing method thereof
US9379194B2 (en) 2014-11-09 2016-06-28 Tower Semiconductor Ltd. Floating gate NVM with low-moisture-content oxide cap layer
US9431455B2 (en) 2014-11-09 2016-08-30 Tower Semiconductor, Ltd. Back-end processing using low-moisture content oxide cap layer

Also Published As

Publication number Publication date
US6190966B1 (en) 2001-02-20

Similar Documents

Publication Publication Date Title
US6190966B1 (en) Process for fabricating semiconductor memory device with high data retention including silicon nitride etch stop layer formed at high temperature with low hydrogen ion concentration
US7588979B2 (en) Method of manufacturing a semiconductor integrated circuit using a selective disposable spacer technique and semiconductor integrated circuit manufactured thereby
US5578524A (en) Fabrication process of a semiconductor device with a wiring structure
US5989957A (en) Process for fabricating semiconductor memory device with high data retention including silicon oxynitride etch stop layer formed at high temperature with low hydrogen ion concentration
KR100469129B1 (en) Non-volatile memory device and Method of manufacturing the same
US6180454B1 (en) Method for forming flash memory devices
US7888804B2 (en) Method for forming self-aligned contacts and local interconnects simultaneously
US20060278985A1 (en) Multilevel semiconductor devices and methods of manufacturing the same
TW201946218A (en) Method for forming integrated circuit and integrated circuit
US8614475B2 (en) Void free interlayer dielectric
US6051870A (en) Process for fabricating semiconductor device including improved phosphorous-doped silicon dioxide dielectric film
US6486506B1 (en) Flash memory with less susceptibility to charge gain and charge loss
US7569429B2 (en) Antifuse having uniform dielectric thickness and method for fabricating the same
US20100176441A1 (en) Semiconductor memory device and manufacturing method therefor
US20020055230A1 (en) Structure of NROM and fabricating method thereof
US6060389A (en) Semiconductor fabrication employing a conformal layer of CVD deposited TiN at the periphery of an interconnect
US7064032B2 (en) Method for forming non-volatile memory cell with low-temperature-formed dielectric between word and bit lines, and non-volatile memory array including such memory cells
US10483322B2 (en) Memory device and method for fabricating the same
US7285499B1 (en) Polymer spacers for creating sub-lithographic spaces
US7307027B1 (en) Void free interlayer dielectric
US6506683B1 (en) In-situ process for fabricating a semiconductor device with integral removal of antireflection and etch stop layers
US6020259A (en) Method of forming a tungsten-plug contact for a semiconductor device
US6355522B1 (en) Effect of doped amorphous Si thickness on better poly 1 contact resistance performance for nand type flash memory devices
US20080116531A1 (en) Semiconductor Device
US7476604B1 (en) Aggressive cleaning process for semiconductor device contact formation

Legal Events

Date Code Title Description
AS Assignment

Owner name: LATTICE SEMICONDUCTOR CORPORATION, OREGON

Free format text: MERGER;ASSIGNOR:VANTIS CORPORATION;REEL/FRAME:012937/0738

Effective date: 20020211

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION