DE69731566T2 - Verfahren zum Herstellen eines isolierenden Zwischenfilms - Google Patents

Verfahren zum Herstellen eines isolierenden Zwischenfilms Download PDF

Info

Publication number
DE69731566T2
DE69731566T2 DE69731566T DE69731566T DE69731566T2 DE 69731566 T2 DE69731566 T2 DE 69731566T2 DE 69731566 T DE69731566 T DE 69731566T DE 69731566 T DE69731566 T DE 69731566T DE 69731566 T2 DE69731566 T2 DE 69731566T2
Authority
DE
Germany
Prior art keywords
film
insulating intermediate
intermediate film
fluorinated
carbon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69731566T
Other languages
English (en)
Other versions
DE69731566D1 (de
Inventor
Gaku Nara-shi Sugahara
Nobuo Nishinomiya-shi Aoi
Koji Ikoma-shi Arai
Kazuyuki Hirakata-shi Sawada
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Publication of DE69731566D1 publication Critical patent/DE69731566D1/de
Application granted granted Critical
Publication of DE69731566T2 publication Critical patent/DE69731566T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers

Description

  • Hintergrund der Erfindung
  • Die vorliegende Erfindung betrifft ein Verfahren zur Herstellung eines isolierenden Zwischenfilms in einer Halbleitervorrichtung.
  • Bekannte isolierende Zwischenfilme, die in Halbleitervorrichtungen gebildet werden, umfassen Siliciumoxidfilme, Filme auf der Basis von Siliciumoxid, die unter Verwendung eines organischen SOG (Spin-On-Glass)-Materials hergestellt werden und die einen organischen Bestandteil umfassen, und organische Polymerfilme.
  • Ein isolierender Zwischenfilm, der in einer Halbleitervorrichtung gebildet wird, muss durch eine ausreichend geringe Dielektrizitätskonstante, um die Kapazität der Leiterbahnen möglichst gering zu halten, sowie durch eine ausreichend hohe Wärmebeständigkeit, um nicht während der Herstellung der Halbleitervorrichtung beschädigt oder zerstört zu werden, gekennzeichnet sein.
  • In Folge der zunehmenden Miniaturisierung von LSI-Schaltungen auf Halbleitersubstraten ist die Kapazität der Leiterbahnen und die daraus resultierende Verringerung der Leistungsfähigkeit der LSI-Schaltungen zu einem ernsthaften Problem geworden. Die Kapazität der Leiterbahnen wird durch den Abstand zwischen den Leiterbahnen und durch die Dielektrizitätskonstante des isolierenden Zwischenfilms, der sich zwischen den Leiterbahnen befindet, beeinflusst. Folglich muss die Dielektrizitätskonstante des isolierenden Zwischenfilms verringert werden, um die Kapazität der Leiterbahnen möglichst gering zu halten.
  • Wenn ein isolierender Zwischenfilm mit einer unzureichenden Wärmebeständigkeit verwendet wird, führt die thermische Behandlung bei einer Temperatur von etwa 400°C, die bei der Herstellung der Halbleitervorrichtung durchgeführt wird, dazu, dass der isolierende Zwischenfilm erweicht wird und eine wellige Form annimmt, was zu einer Unterbrechung der Leiterbahnen oder zu einem Kurzschluss führen kann. Aus diesem Grund muss der isolierende Zwischenfilm durch eine ausreichende Wärmebeständigkeit gekennzeichnet sein, so dass er bei der thermischen Behandlung bei einer Temperatur von etwa 400°C nicht beschädigt oder zerstört wird.
  • Da ein isolierender Zwischenfilm aus Siliciumoxid durch eine relativ hohe Dielektrizitätskonstante gekennzeichnet ist, wurde ein mit Fluor dotierter Siliciumoxidfilm vorgeschlagen, hergestellt aus Siliciumoxid, das mit Fluor dotiert wurde. In diesem Film sind nur gering polarisierende Fluoratome an Siliciumatome, die Bestandteile des Oxidfilms sind, gebunden, so dass die Dielektrizitätskonstante des mit Fluor dotierten Siliciumoxidfilms gering ist; wenn die Menge an zugegebenem Fluor jedoch erhöht wird, nimmt der Film mehr Feuchtigkeit auf, so dass die minimal erreichbare Dielektrizitätskonstante bei etwa 3,5 liegt. Folglich ist es problematisch, mit Fluor dotierte Siliciumoxidfilme als isolierende Zwischenfilme in extrem miniaturisierten LSI-Schaltungen zu verwenden.
  • Weiterhin wurde vorgeschlagen, organische SOG-Filme oder organische Polymerfilme an Stelle von Siliciumoxidfilmen als isolierende Zwischenfilme in extrem miniaturisierten LSI-Schaltungen zu verwenden, da diese Filme durch eine geringe Dielektrizitätskonstante gekennzeichnet sind.
  • Ein organischer SOG-Film wird gebildet, indem eine Lösung mit Siliciumoxid oder einem Siloxan mit jeweils einem organischen Bestandteil, wie z. B. einer Methylgruppe oder einer Phenylgruppe, thermisch ausgehärtet wird. Da der organische Bestandteil nach dem thermischen Aushärten in dem Film verbleibt, kann ein Film mit einer geringen Dielektrizitätskonstante von etwa 3,0 erhalten werden.
  • Im Folgenden wird ein erstes herkömmliches Verfahren zur Herstellung eines isolierenden organischen SOG-Zwischenfilms mit Bezug auf die 6(a) bis 6(d) beschrieben.
  • Zuerst werden, wie in 6(a) gezeigt, metallische Verbindungen (Leiterbahnen) 2 einer ersten Leiterschicht auf einem Halbleitersubstrat 1 gebildet, und dann wird, unter Anwendung eines Plasma-CVD-Verfahrens, ein erster Siliciumoxidfilm 3 auf der gesamten Oberfläche des Halbleitersubstrats 1, einschließlich der metallischen Verbindungen 2 der ersten Leiterschicht, aufgebracht, wobei z. B. ein Gasgemisch aus Tetraethoxysilan und Sauerstoff als Ausgangsmaterial verwendet wird. Danach wird ein organisches SOG-Material unter Anwendung eines Schleuderbeschichtungsverfahrens auf dem ersten Siliciumoxidfilm 3 aufgebracht und thermisch ausgehärtet, um einen organischen SOG-Film 4 zu bilden.
  • Dann wird, wie in 6(b) gezeigt, die gesamte Oberfläche des organischen SOG-Films 4 geätzt, so dass die Bereiche, die oberhalb der metallischen Verbindungen 2 der ersten Leiterschicht liegen, entfernt werden.
  • Dann wird, wie in 6(c) gezeigt, ein zweiter Siliciumoxidfilm 5, z. B. unter Anwendung eines Plasma-CVD-Verfahrens, auf der gesamten Oberfläche des Siliciumoxidfilms 3, einschließlich des verbliebenen organischen SOG-Films 4, aufgebracht, wobei z. B. ein Gasgemisch aus Tetraethoxysilan und Sauerstoff als Ausgangsmaterial verwendet wird.
  • Dann werden, wie in 6(d) gezeigt, Kontaktlöcher in dem ersten Siliciumoxidfilm 3 und in dem zweiten Siliciumoxidfilm 5 gebildet, wobei ein Resistmuster als Maske verwendet wird, das anschließend unter Verwendung eines Sauerstoff-Plasmas entfernt wird. Danach werden die Kontaktlöcher mit einem metallischen Material gefüllt (metallisiert), um Durchkontaktierungen zu bilden. Dann werden metallische Verbindungen 7 einer zweiten Leiterschicht auf dem zweiten Siliciumoxidfilm 5 gebildet, wobei eine Struktur erhalten wird, umfassend einen isolierenden Zwischenfilm, bestehend aus dem ersten Siliciumoxidfilm 3, dem organischen SOG-Film 4 und dem zweiten Siliciumoxidfilm 5, der zwischen den metallischen Verbindungen 2 der ersten Leiterschicht und den metallischen Verbindungen 7 der zweiten Leiterschicht angeordnet ist.
  • Im Folgenden wird ein zweites herkömmliches Verfahren zur Herstellung eines isolierenden Zwischenfilms aus fluoriertem amorphem Kohlenstoff als Beispiel für einen organischen Polymerfilm beschrieben. Wie in einem technischen Bericht (Extended Abstracts of the 1995 International Conference on Solid State Devices and Materials, Osaka, 1995, Seiten 177 bis 179) beschrieben wird, kann ein Film aus fluoriertem amorphem Kohlenstoff unter Anwendung eines Plasma-CVD-Verfahrens, bei dem ein Gemisch aus einem Kohlenwasserstoffbestandteil, wie z. B. CH4, und einem Fluorbestandteil, wie z. B. CF4, als Ausgangsmaterial verwendet wird, hergestellt werden.
  • Nachdem das Gasgemisch in die Reaktionskammer einer Plasma-CVD-Vorrichtung mit parallelen plattenförmigen Elektroden eingebracht wurde, wird der Druck innerhalb der Reaktionskammer auf einen Wert von mehreren Hundert Torr eingestellt. Wenn dann an die parallelen plattenförmigen Elektroden in der Reaktionskammer eine Hochfrequenzleistung im Bereich von 100 bis 300 Watt bei 13,56 MHz angelegt wird, wird das Gasgemisch teilweise zersetzt, wobei Monomere, Ionen und Radikale erzeugt werden, die in dem Plasma polymerisieren, wobei ein Film aus fluoriertem amorphem Kohlenstoff auf einem Halbleitersubstrat abgeschieden wird. Die Dielektrizitätskonstante des Films aus fluoriertem amorphem Kohlenstoff unmittelbar nach der Abscheidung liegt im Bereich von 2,0 bis 2,5.
  • Der zuvor genannte organische SOG-Film wird durch wiederholtes Aufbringen eines organischen SOG-Materials und thermisches Aushärten des aufgebrachten organischen SOG-Materials erhalten, so dass das Verfahren zur Herstellung des organischen SOG-Films sehr zeitaufwendig ist; zusätzlich kann das Verfahren nicht kostengünstig durchgeführt werden, da ein Großteil des organischen SOG-Materials beim Schleuderbeschichten als Abfall anfällt.
  • Wenn der Ätzprozess, wie in 6(b) gezeigt, nicht auf der gesamten Oberfläche des organischen Films 4 durchgeführt wird, bevor Kontaktlöcher in dem organischen SOG-Film 4 und in dem ersten Siliciumoxidfilm 3 unter Verwendung des Resistmusters als Maske, das nachfolgend unter Verwendung eines Sauerstoff-Plasmas entfernt wird, gebildet werden und bevor die Durchkontaktierungen gebildet werden, indem die Kontaktlöcher mit einem metallischen Material gefüllt werden, treten die folgenden Probleme auf. Beim Entfernen des Resistmusters unter Verwendung des Sauerstoff-Plasmas reagieren SiCH3-Gruppen in dem organischen SOG-Film 4 an den Seitenwänden der Kontaktlöcher mit dem Sauerstoff-Plasma, wobei SiOH-Gruppen gebildet werden, die unter Wasserabspaltung kondensieren, wenn die Kontaktlöcher mit dem metallischen Material gefüllt werden. Das gebildete Wasser oxidiert und verunreinigt das Metall, das die Durchkontaktierung bildet, so dass die Durchkontaktierung fehlerhaft wird.
  • Der zuvor genannte organische Polymerfilm aus fluoriertem amorphem Kohlenstoff ist, im Vergleich mit einem organischen SOG-Film, durch eine extrem geringe Dielektrizitätskonstante gekennzeichnet; der organische Polymerfilm aus fluoriertem amorphem Kohlenstoff ist jedoch durch eine geringe Glasübergangstemperatur gekennzeichnet, so dass er, im Vergleich mit einem organischen SOG-Film, durch eine geringe Wärmebeständigkeit gekennzeichnet ist. Wenn ein herkömmlicher Film aus fluoriertem amorphem Kohlenstoff bei einer Temperatur von 300°C oder darüber thermisch behandelt wird, nimmt die Dicke des Films deutlich ab, während die Dielektrizitätskonstante des Films deutlich zunimmt. Wenn z. B. ein Film aus fluoriertem amorphem Kohlenstoff, hergestellt unter Verwendung von CH4 und CF4, der unmittelbar nach der Abscheidung durch eine Dielektrizitätskonstante von 2,2 gekennzeichnet ist, eine Stunde lang bei 300°C thermisch behandelt wird, wird die Filmdicke auf etwa 65% der ursprünglichen Dicke unmittelbar nach der Abscheidung verringert, d. h. die Filmdicke nimmt um 35% ab, während die Dielektrizitätskonstante des Films auf 2,8 ansteigt.
  • In diesem Zusammenhang wird darauf hingewiesen, dass die zuvor beschriebenen Probleme nicht nur bei einem isolierenden Zwischenfilm auftreten, der zwischen zwei Leiterschichten angeordnet ist, sondern auch bei einem isolierenden Zwischenfilm, der innerhalb einer Leiterschicht zwischen metallischen Verbindungen angeordnet ist.
  • Zusammenfassung der Erfindung
  • Eine erste Aufgabe der vorliegenden Erfindung besteht darin, eine einfache und kostengünstige Herstellung eines isolierenden Zwischenfilms auf der Basis eines organischen SOG-Films bereitzustellen. Eine zweite Aufgabe der vorliegenden Erfindung besteht darin, die Wärmebeständigkeit eines isolierenden Zwischenfilms auf der Basis eines organischen Polymers zu verbessern.
  • Die erste Aufgabe wird mit einem ersten Verfahren zur Herstellung eines isolierenden Zwischenfilms gelöst, bei dem ein Material, das als Hauptbestandteil eine organische Siliciumverbindung enthält, dargestellt durch die folgende allgemeine Formel R1 xSi(OR2)4–x, worin R1 eine Phenylgruppe oder eine Vinylgruppe ist, R2 ist eine Alkylgruppe und x ist eine ganze Zahl im Bereich von 1 bis 3, einer Plasmapolymerisation unterworfen wird oder mit einem Oxidationsmittel umgesetzt wird, um einen isolierenden Zwischenfilm auf der Basis von Siliciumoxid zu bilden, der einen organischen Bestandteil umfasst.
  • Da der erhaltene isolierende Zwischenfilm, der unter Anwendung des ersten Verfahrens zur Herstellung eines isolierenden Zwischenfilms hergestellt wurde, als Hauptbestandteil die organische Siliciumverbindung enthält, dargestellt durch die folgende allgemeine Formel R1 xSi(OR2)4–x, worin R1 eine Phenylgruppe oder eine Vinylgruppe ist, R2 ist eine Alkylgruppe und x ist eine ganze Zahl im Bereich von 1 bis 3, oder durch die folgende allgemeine Formel R1 xSiH4–x, worin R1 eine Phenylgruppe oder eine Vinylgruppe ist und x ist eine ganze Zahl im Bereich von 1 bis 3, ist der Anteil an SiCH3-Gruppen in dem isolierenden Zwischenfilm viel geringer als in einem herkömmlichen organischen SOG-Film, obwohl die Dielektrizitätskonstante des erfindungsgemäß erhaltenen isolierenden Zwischenfilms und die Dielektrizitätskonstante eines herkömmlichen organischen SOG-Films in etwa gleich sind. D. h., selbst wenn der erfindungsgemäß erhaltene isolierende Zwischenfilm einem Sauerstoff-Plasma ausgesetzt wird, wird nur eine geringe Menge an SiOH-Gruppen gebildet, und eine Wasserabspaltung in Folge der Kondensation von SiOH-Gruppen findet nicht statt, wenn die Kontaktlöcher mit dem metallischen Material gefüllt werden. Folglich wird kein Wasser erzeugt, so dass fehlerhafte Durchkontaktierungen nicht gebildet werden können.
  • Da der Film auf der Basis von Siliciumoxid, der einen organischen Bestandteil umfasst und der unter Anwendung des ersten Verfahrens zur Herstellung eines isolierenden Zwischenfilms hergestellt wurde, erhalten wird, indem das Material, das als Hauptbestandteil die zuvor beschriebene organische Siliciumverbindung enthält, einer Plasmapolymerisation unterworfen wird oder mit einem Oxidationsmittel umgesetzt wird, ist es nicht mehr erforderlich, ein organisches SOG-Material aufzubringen und das aufgebrachte SOG-Material auszuhärten, so dass das Verfahren einfach durchgeführt werden kann.
  • Bei dem ersten Verfahren zur Herstellung eines isolierenden Zwischenfilms ist es bevorzugt, dass die organische Siliciumverbindung, die durch die allgemeine Formel R1 xSi(OR2)4–x dargestellt wird, Phenyltrimethoxysilan oder Diphenyldimethoxysilan ist, und es ist ebenfalls bevorzugt, dass die organische Siliciumverbindung, die durch die allgemeine Formel R1 xSiH4–x dargestellt wird, Phenylsilan oder Diphenylsilan ist.
  • Bei dem ersten Verfahren zur Herstellung eines isolierenden Zwischenfilms ist es ebenfalls bevorzugt, dass die organische Siliciumverbindung, die durch die allgemeine Formel R1 xSi(OR2)4–x dargestellt wird, Vinyltrimethoxysilan oder Divinyldimethoxysilan ist, und es ist ebenfalls bevorzugt, dass die organische Siliciumverbindung, die durch die allgemeine Formel R1 xSiH4–x, dargestellt wird, Vinylsilan oder Divinylsilan ist.
  • Die zweite Aufgabe wird mit einem zweiten Verfahren zur Herstellung eines isolierenden Zwischenfilms gelöst, bei dem ein Material, das als Hauptbestandteil eine fluorierte Kohlenstoffverbindung mit zwei oder mehr Kohlenstoff-Kohlenstoff-Doppelbindungen enthält, einer Plasmapolymerisation unterworfen wird, um einen isolierenden Zwischenfilm auf der Basis von fluoriertem amorphem Kohlenstoff zu bilden.
  • Da die fluorierte Kohlenstoffverbindung, die in dem zweiten Verfahren zur Herstellung eines isolierenden Zwischenfilms verwendet wird, zwei oder mehr Kohlenstoff-Kohlenstoff-Doppelbindungen enthält, werden Radikale mit jeweils drei oder mehr ungepaarten Elektronen erzeugt, wenn die fluorierte Kohlenstoffverbindung in dem Plasma zersetzt wird. Diese Radikale polymerisieren dreidimensional, wobei ein Plasmapolymerisationsfilm aus einem dreidimensionalen Polymer mit einem hohen Vernetzungsgrad und einer hohen Glasübergangstemperatur erhalten wird, so dass die Wärmebeständigkeit des erhaltenen isolierenden Zwischenfilms deutlich verbessert ist.
  • Bei dem zweiten Verfahren zur Herstellung eines isolierenden Zwischenfilms ist es bevorzugt, dass die fluorierte Kohlenstoffverbindung nur aus Kohlenstoffatomen und Fluoratomen besteht. In diesem Fall enthält der erzeugte Plasmapolymerisationsfilm keinen Wasserstoff, so dass die Dielektrizitätskonstante des erhaltenen isolierenden Zwischenfilms verringert ist.
  • In diesem Fall ist es besonders bevorzugt, dass die fluorierte Kohlenstoffverbindung Hexafluor-1,3-butadien ist.
  • Die zweite Aufgabe wird weiterhin mit einem dritten Verfahren zur Herstellung eines isolierenden Zwischenfilms gelöst, bei dem ein Material, das als Hauptbestandteil eine fluorierte Kohlenstoffverbindung mit einer Kohlenstoff-Kohlenstoff-Dreifachbindung enthält, einer Plasmapolymerisation unterworfen wird, um einen isolierenden Zwischenfilm auf der Basis von fluoriertem amorphem Kohlenstoff zu bilden.
  • Da die fluorierte Kohlenstoffverbindung, die in dem dritten Verfahren zur Herstellung eines isolierenden Zwischenfilms verwendet wird, eine Kohlenstoff-Kohlenstoff-Dreifachbindung enthält, werden Radikale mit jeweils drei oder mehr ungepaarten Elektronen erzeugt, wenn die fuorierte Kohlenstoffverbindung in dem Plasma zersetzt wird. Diese Radikale polymerisieren dreidimensional, wobei ein Plasmapolymerisationsfilm aus einem dreidimensionalen Polymer mit einem hohen Vernetzungsgrad und einer hohen Glasübergangstemperatur erhalten wird, so dass die Wärmebeständigkeit des erhaltenen isolierenden Zwischenfilms deutlich verbessert ist.
  • Bei dem dritten Verfahren zur Herstellung eines isolierenden Zwischenfilms ist es bevorzugt, dass die fluorierte Kohlenstoffverbindung nur aus Kohlenstoffatomen und Fluoratomen besteht. In diesem Fall enthält der erzeugte Plasmapolymerisationsfilm keinen Wasserstoff, so dass die Dielektrizitätskonstante des erhaltenen isolierenden Zwischenfilms verringert ist.
  • In diesem Fall ist es besonders bevorzugt, dass die fluorierte Kohlenstoffverbindung Hexafluor-2-butin ist.
  • Die zweite Aufgabe wird weiterhin mit einem vierten erfindungsgemäßen Verfahren zur Herstellung eines isolierenden Zwischenfilms gelöst, bei dem ein Material, das als Hauptbestandteil eine fluorierte Kohlenstoffverbindung mit einer polycyclischen Struktur enthält, einer Plasmapolymerisation unterworfen wird, um einen isolierenden Zwischenfilm auf der Basis von fluoriertem amorphem Kohlenstoff zu bilden.
  • Da die fluorierte Kohlenstoffverbindung, die in dem vierten erfindungsgemäßen Verfahren zur Herstellung eines isolierenden Zwischenfilms gemäß Patentanspruch 1 verwendet wird, eine polycyclische Struktur umfasst, werden Radikale mit jeweils drei oder mehr ungepaarten Elektronen erzeugt, wenn die fluorierte Kohlenstoffverbindung in dem Plasma zersetzt wird. Diese Radikale polymerisieren dreidimensional, wobei ein Plasmapolymerisationsfilm aus einem dreidimensionalen Polymer mit einem hohen Vernetzungsgrad und einer hohen Glasübergangsternperatur erhalten wird, so dass die Wärmebeständigkeit des erhaltenen isolierenden Zwischenfilms deutlich verbessert ist.
  • Bei dem vierten Verfahren zur Herstellung eines isolierenden Zwischenfilms ist es bevorzugt, dass die fluorierte Kohlenstoffverbindung nur aus Kohlenstoffatomen und Fluoratomen besteht. In diesem Fall enthält der erzeugte Plasmapolymerisationsfilm keinen Wasserstoff, so dass die Dielektrizitätskonstante des erhaltenen isolierenden Zwischenfilms verringert ist.
  • Bei dem vierten Verfahren zur Herstellung eines isolierenden Zwischenfilms ist es bevorzugt, dass die fluorierte Kohlenstoffverbindung eine kondensierte cyclische Struktur umfasst. Dies erhöht die Möglichkeit, dass Radikale mit jeweils drei oder mehr ungebundenen Elektronen erzeugt werden, so dass der Vernetzungsgrad des erhaltenen isolierenden Zwischenfilms weiter erhöht wird, was zu einer weiterhin verbesserten Wärmebeständigkeit des isolierenden Zwischenfilms führt.
  • In diesem Fall ist es besonders bevorzugt, dass die fluorierte Kohlenstoffverbindung Perfluordecalin, Perfluorfluoren oder Perfluor(tetradecahydrophenanthren) ist.
  • Bei einem fünften Verfahren zur Herstellung eines isolierenden Zwischenfilms wird ein Material, das als Hauptbestandteil ein Gasgemisch aus einer organischen Siliciumverbindung, dargestellt durch die folgende allgemeine Formel R1 xSi(OR2)4–x, worin R1 eine Phenylgruppe oder eine Vinylgruppe ist, R2 ist eine Alkylgruppe und x ist eine ganze Zahl im Bereich von 1 bis 3, oder einem Siloxanderivat und einer fluorierten Kohlenstoffverbindung enthält, einer Plasmapolymerisation unterworfen oder mit einem Oxidationsmittel umgesetzt, um einen isolierenden Zwischenfilm auf der Basis von Siliciumoxid zu bilden, der fluorierten Kohlenstoff umfasst.
  • Entsprechend dem fünften Verfahren zur Herstellung eines isolierenden Zwischenfilms wird ein Siliciumoxidfilm mit fluoriertem Kohlenstoff gebildet, indem das Material, das als Hauptbestandteile die organische Siliciumverbindung und die fluorierte Kohlenstoffverbindung enthält, einer Plasmapolymerisation unterworfen oder mit einem Oxidationsmittel umgesetzt wird, so dass der erhaltene isolierende Zwischenfilm die organische Siliciumverbindung und die fluorierte Kohlenstoffverbindung umfasst, wodurch die Dielektrizitätskonstante des isolierenden Zwischenfilms deutlich verringert wird. Da es nicht mehr erforderlich ist, ein organisches SOG-Material aufzubringen und das aufgebrachte SOG-Material auszuhärten, wie dies bei der Herstellung eines herkömmlichen SOG-Films der Fall ist, kann das Verfahren, wie das erste erfindungsgemäße Verfahren zur Herstellung eines isolierenden Zwischenfilms, einfach durchgeführt werden.
  • Bei einem sechsten Verfahren zur Herstellung eines isolierenden Zwischenfilms wird ein Material, das als Hauptbestandteil ein Gasgemisch aus einer organischen Siliciumverbindung und einer fluorierten Kohlenstoffverbindung mit zwei oder mehr Kohlenstoff-Kohlenstoff-Doppelbindungen enthält, einer Plasmapolymerisation unterworfen oder mit einem Oxidationsmittel umgesetzt, um einen isolierenden Zwischenfilm auf der Basis von Siliciumoxid zu bilden, der fluorierten Kohlenstoff umfasst.
  • Entsprechend dem sechsten Verfahren zur Herstellung eines isolierenden Zwischenfilms wird ein Siliciumoxidfilm mit fluoriertem Kohlenstoff gebildet, indem das Material, das als Hauptbestandteil das Gasgemisch aus der organischen Siliciumverbindung und der fluorierten Kohlenstoffverbindung enthält, einer Plasmapolymerisation unterworfen oder mit einem Oxidationsmittel umgesetzt wird, so dass der erhaltene isolierende Zwischenfilm die organische Siliciumverbindung und die fluorierte Kohlenstoffverbindung umfasst, wodurch die Dielektrizitätskonstante des isolierenden Zwischenfilms deutlich verringert wird. Da die fluorierte Kohlenstoffverbindung, wie in dem zweiten erfindungsgemäßen Verfahren zur Herstellung eines isolierenden Zwischenfilms, zwei oder mehr Kohlenstoff-Kohlenstoff-Doppelbindungen enthält, werden Radikale mit jeweils drei oder mehr ungepaarten Elektronen erzeugt, wenn die fluorierte Kohlenstoffverbindung in dem Plasma zersetzt wird. Diese Radikale polymerisieren dreidimensional, wobei ein Silici umoxidfilm mit fluoriertem Kohlenstoff mit einem hohen Vernetzungsgrad und einer ausgezeichneten Wärmebeständigkeit erhalten wird.
  • Bei einem siebenten Verfahren zur Herstellung eines isolierenden Zwischenfilms wird ein Material, das als Hauptbestandteil ein Gasgemisch aus einer organischen Siliciumverbindung und einer fluorierten Kohlenstoffverbindung mit einer Kohlenstoff-Kohlenstoff-Dreifachbindung enthält, einer Plasmapolymerisation unterworfen oder mit einem Oxidationsmittel umgesetzt, um einen isolierenden Zwischenfilm auf der Basis von Siliciumoxid zu bilden, der fluorierten Kohlenstoff umfasst.
  • Entsprechend dem siebenten Verfahren zur Herstellung eines isolierenden Zwischenfilms wird ein Siliciumoxidfilm mit fluoriertem Kohlenstoff gebildet, indem das Material, das als Hauptbestandteil das Gasgemisch aus der organischen Siliciumverbindung und der fluorierten Kohlenstoffverbindung enthält, einer Plasmapolymerisation unterworfen oder mit einem Oxidationsmittel umgesetzt wird, so dass der erhaltene isolierende Zwischenfilm die organische Siliciumverbindung und die fluorierte Kohlenstoffverbindung umfasst, wodurch die Dielektrizitätskonstante des isolierenden Zwischenfilms deutlich verringert wird. Da die fluorierte Kohlenstoffverbindung, wie in dem dritten erfindungsgemäßen Verfahren zur Herstellung eines isolierenden Zwischenfilms, eine Kohlenstoff-Kohlenstoff-Dreifachbindung enthält, werden Radikale mit jeweils drei oder mehr ungepaarten Elektronen erzeugt, wenn die fluorierte Kohlenstoffverbindung in dem Plasma zersetzt wird. Diese Radikale polymerisieren dreidimensional, wobei ein Siliciumoxidfilm mit fluoriertem Kohlenstoff mit einem hohen Vernetzungsgrad und einer ausgezeichneten Wärmebeständigkeit erhalten wird.
  • Bei einem achten Verfahren zur Herstellung eines isolierenden Zwischenfilms wird ein Material, das als Hauptbestandteil ein Gasgemisch aus einer organischen Siliciumverbindung und einer fluorierten Kohlenstoffverbindung mit einer polycyclischen Struktur enthält, einer Plasmapolymerisation unterworfen oder mit einem Oxidationsmittel umgesetzt, um einen isolierenden Zwischenfilm auf der Basis von Siliciumoxid zu bilden, der fluorierten Kohlenstoff umfasst.
  • Entsprechend dem achten Verfahren zur Herstellung eines isolierenden Zwischenfilms wird ein Siliciumoxidfilm mit fluoriertem Kohlenstoff gebildet, indem das Material, das als Hauptbestandteil das Gasgemisch aus der organischen Siliciumverbindung und der fluorierten Kohlenstoffverbindung enthält, einer Plasmapolymerisation unterworfen oder mit einem Oxidationsmittel umgesetzt wird, so dass der erhaltene isolierende Zwischenfilm die organische Siliciumverbindung und die fluorierte Kohlenstoffverbindung umfasst, wodurch die Dielektrizitätskonstante des isolierenden Zwischenfilms deutlich verringert wird. Da die fluorierte Kohlenstoffverbindung, wie in dem vierten erfindungsgemäßen Verfahren zur Herstellung eines isolierenden Zwischenfilms, eine polycyclische Struktur umfasst, werden Radikale mit jeweils drei oder mehr ungepaarten Elektronen erzeugt, wenn die fluorierte Kohlenstoffverbindung in dem Plasma zersetzt wird. Diese Radikale polymerisieren dreidimensional, wobei ein Siliciumoxidfilm mit fluoriertem Kohlenstoff mit einem hohen Vernetzungsgrad und einer ausgezeichneten Wärmebeständigkeit erhalten wird.
  • Bei dem sechsten, siebenten und achten Verfahren zur Herstellung eines isolierenden Zwischenfilms ist die organische Siliciumverbindung eine Verbindung, dargestellt durch die folgende Formel R1 xSi(OR2)4–x, worin R1 eine Phenylgruppe oder eine Vinylgruppe ist, R2 ist eine Alkylgruppe und x ist eine ganze Zahl im Bereich von 1 bis 3, oder ein Siloxanderivat. In diesen Fällen kann ein isolierender Zwischenfilm, der sich durch eine verbesserte Dielektrizitätskonstante und durch eine verbesserte Wärmebeständigkeit auszeichnet, einfach hergestellt werden.
  • Kurze Beschreibung der Zeichnungen
  • 1 zeigt eine schematische Ansicht einer Plasma-CVD-Vorrichtung, die in dem erfindungsgemäßen Verfahren zur Herstellung eines isolierenden Zwischenfilms verwendet werden kann;
  • die 2(a) bis 2(d) zeigen die einzelnen Schritte entsprechend einem ersten Verfahren zur Herstellung einer Halbleitervorrichtung, bei dem das erfindungsgemäße Verfahren zur Herstellung eines isolierenden Zwischenfilms angewandt werden kann;
  • die 3(a) bis 3(d) zeigen die einzelnen Schritte entsprechend einem zweiten Verfahren zur Herstellung einer Halbleitervorrichtung, bei dem das erfindungsgemäße Verfahren zur Herstellung eines isolierenden Zwischenfilms angewandt werden kann;
  • 4 zeigt die FT-IR-Spektren eines isolierenden Zwischenfilms, der entsprechend dem ersten Verfahren hergestellt wurde, und eines herkömmlichen organischen SOG-Films;
  • 5 zeigt die FT-IR-Spektren von isolierenden Zwischenfilmen, die entsprechend dem ersten Verfahren hergestellt wurden, vor der thermischen Behandlung, nach einer thermischen Behandlung bei 450°C und nach einer thermischen Behandlung bei 500°C; und
  • die 6(a) bis 6(d) zeigen die Schritte eines herkömmlichen Verfahrens zur Herstellung eines isolierenden Zwischenfilms.
  • Genaue Beschreibung der Erfindung
  • Zuerst wird mit Bezug auf 1 eine CVD-Vorrichtung beschrieben, die entsprechend dem erfindungsgemäßen Verfahren zur Herstellung eines isolierenden Zwischenfilms, das später beschrieben wird, verwendet werden kann.
  • 1 zeigt schematisch den Aufbau einer Plasma-CVD-Vorrichtung mit parallelen Elektroden. Ein Halbleitersubstrat 12 aus Silicium und ein Probenträger 13, der ebenfalls als untere Elektrode dient, sind in einer hermetisch abgeschlossenen Reaktionskammer 11 angeordnet. Der Probenträger 13 ist über einen Schalter 14 mit einer ersten Hochfrequenzquelle 15 oder mit der Erdung verbunden. Der Probenträger 13 umfasst eine Heizung (nicht gezeigt), die im Innern des Probenträgers angeordnet ist und mit der das Halbleitersubstrat 12, das auf dem Probenträger 13 angeordnet ist, auf die gewünschte Temperatur erwärmt werden kann. Gegenüber dem Probenträger 13 in der Reaktionskammer 11 ist eine Sprühelektrode 16 angeordnet, die als obere Elektrode dient. Die Sprühelektrode 16 ist mit einer zweiten Hochfrequenzquelle 17 verbunden, die eine Hochfrequenzleistung bei 13,56 MHz abgibt.
  • Die Reaktionskammer 11 ist mit einer ersten Gaszufuhrleitung 21, einer zweiten Gaszufuhrleitung 22 und einer dritten Gaszufuhrleitung 23 versehen, über die Gase in die Reaktionskammer 11 eingeleitet werden. Die erste Gaszufuhrleitung 21 ist mit einem ersten Behälter 24 versehen, der ein flüssiges Ausgangsmaterial enthält. Wenn dem ersten Behälter 24 ein Trägergas mit einer bestimmten Geschwindigkeit, die durch eine Steuervorrichtung (nicht gezeigt) gesteuert wird, zugeführt wird, wird das Ausgangsmaterial zusammen mit dem Trägergas vom ersten Behälter 24 in die Reaktionskammer 11 überführt. Die zweite Gaszufuhrleitung 22 ist mit einem zweiter Behälter 25 versehen, der ein flüssiges Ausgangsmaterial enthält. Wenn dem zweiten Behälter 25 ein Trägergas mit einer bestimmten Geschwindigkeit, die durch eine Steuervorrichtung (nicht gezeigt) gesteuert wird, zugeführt wird, wird das Ausgangsmaterial zusammen mit dem Trägergas vom zweiten Behälter 25 in die Reaktionskammer 11 überführt. Die Reaktionskammer 11 ist mit einer Vakuumpumpe 26 verbunden, mit der die Gase aus der Reaktionskammer 11 abgezogen werden können.
  • Im Folgenden wird mit Bezug auf die 2(a) bis 2(d) ein erstes Verfahren zur Herstellung einer Halbleitervorrichtung beschrieben, bei dem das erfindungsgemäße Verfahren zur Herstellung eines isolierenden Zwischenfilms angewandt werden kann.
  • Zuerst werden, wie in 2(a) gezeigt, metallische Verbindungen (Leiterbahnen) 101 (z. B. aus Aluminium) einer ersten Leiterschicht auf einem Halbleitersubstrat 100 gebildet. Dann wird, wie in 2(b) gezeigt, ein isolierender Zwischenfilm 102 auf dem Halbleitersubstrat 100, einschließlich der metallischen Verbindungen 101 der ersten Leiterschicht, aufgebracht. Das Verfahren zum Aufbringen des isolierenden Zwischenfilms 102 wird später beschrieben.
  • Dann wird die Oberfläche des isolierenden Zwischenfilms 102, wie in 2(c) gezeigt, eben gemacht. Danach wird, wie in 2(d) gezeigt, eine Durchkontaktierung 103 in dem isolierenden Zwischenfilm 102 erzeugt, und anschließend werden metallische Verbindungen 104 (z. B. aus Aluminium) einer zweiten Leiterschicht auf dem isolierenden Zwischenfilm 102 gebildet.
  • Im Folgenden wird mit Bezug auf die 3(a) bis 3(d) ein zweites Verfahren zur Herstellung einer Halbleitervorrichtung beschrieben, bei dem das erfindungsgemäße Verfahren zur Herstellung eines isolierenden Zwischenfilms angewandt werden kann.
  • Zuerst werden, wir in 3(a) gezeigt, ein erster Siliciumnitridfilm 201, ein erster isolierender Zwischenfilm 202, ein zweiter Siliciumnitridfilm 203 und ein zweiter isolierender Zwischenfilm 204 nacheinander auf einem Halbleitersubstrat 200 aufgebracht. Das Verfahren zum Aufbringen des ersten isolierenden Zwischenfilms 202 und zum Aufbringen des zweiten isolierenden Zwischenfilms 204 wird später beschrieben.
  • Dann werden, wie in 3(b) gezeigt, der zweite Siliciumnitridfilm 203 und der zweite isolierende Zwischenfilm 204 photolithographisch bearbeitet, um Öffnungen 205 für die Leiterbahnen zu erzeugen. Dann werden der erste Siliciumnitridfilm 201 und der erste isolierende Zwischenfilm 202 photolithographisch bearbeitet, um Öffnungen 206 für die Durchkontaktierungen zu erzeugen. In diesem Fall dient der zweite Siliciumnitridfilm 203 als Ätzbarriere beim Ätzen des zweiten isolierenden Zwischenfilms 204, während der erste Siliciumnitridfilm 201 als Ätzbarriere beim Ätzen des ersten isolierenden Zwischenfilms 202 dient.
  • Dann wird, wie in 3(c) gezeigt, ein Metallfilm (z. B. aus Kupfer) unter Anwendung eines Sputterverfahrens oder eines CVD-Verfahrens auf der gesamten Oberfläche des Halbleitersubstrats 200 aufgebracht und anschließend thermisch behandelt, so dass das Metall die Öffnungen 205 für die Leiterbahnen und die Öffnungen 206 für die Durchkontaktierungen ausfüllt.
  • Der Metallfilm 207 wird dann einem CMP-Verfahren unterworfen, um die Leiterbahnen 208 und die Durchkontaktierungen 209 zu bilden, wie in 3(d) gezeigt, wobei eine Halbleitervorrichtung mit darin eingelagerten metallischen Verbindungen erhalten wird.
  • Erstes Verfahren
  • Entsprechend einem ersten Verfahren zur Herstellung eines isolierenden Zwischenfilms wird ein Material, das z. B. als Hauptbestandteil Phenyltrimethoxysilan enthält, d. h. eine organische Siliciumverbindung, dargestellt durch die folgende allgemeine Formel R1 xSi(OR2)4–x, worin R1 eine Phenylgruppe oder eine Vinylgruppe ist, R2 ist eine Alkylgruppe und x ist eine ganze Zahl im Bereich von 1 bis 3, einer Plasmapolymerisation unterworfen.
  • Das erste Verfahren zur Herstellung eines isolierenden Zwischenfilms wird im Folgenden beschrieben.
  • Zuerst wird ein Halbleitersubstrat 12 auf dem Probenträger 13 angeordnet, auf eine Temperatur von z. B. 400°C erwärmt und über den Schalter 14 geerdet, und dann wird die Reaktionskammer 11 mit Hilfe der Vakuumpumpe 26 evakuiert.
  • Dann wird Phenyltrimethoxysilan, dargestellt durch die folgende Formel 1: Formel 1
    Figure 00160001
    das in dem ersten Behälter 24 enthalten ist, mit einem Trägergas, wie z. B. Argon, das mit einer Fließgeschwindigkeit von 480 cm3/Min. in den ersten Behälter 24 eingeleitet wird, durchspült, und das mit Phenyltrimethoxysilan beladene Trägergas wird in die Reaktionskammer 11 eingebracht.
  • Dann wird der Druck innerhalb der Reaktionskammer 11 auf etwa 1,0 Torr eingestellt, und an die Sprühelektrode 16, die als obere Elektrode dient, wird mit Hilfe der zweiten Hochfrequenzquelle 17 eine Hochfrequenzleistung von 250 Watt bei einer Frequenz von 13,56 MHz angelegt. Dabei wird das Phenyltrimethoxysilangas teilweise zersetzt, wobei Monomere, Ionen und Radikale gebildet werden, die polymerisieren und einen isolieren den Zwischenfilm auf dem Halbleitersubstrat 12 bilden. Die Struktur des erzeugten Films kann durch die folgende Formel 2 wiedergegeben werden:
  • Formel 2
    Figure 00170001
  • Da der isolierende Zwischenfilm, der entsprechend dem ersten Verfahren erhalten wurde, unter Anwendung eines Plasma-CVD-Verfahrens erzeugt wurde, ist es nicht erforderlich, mehrfach ein organisches SOG-Material aufzubringen und das aufgebrachte SOG-Material thermisch auszuhärten, so dass das Verfahren einfach und kostengünstig durchgeführt werden kann.
  • Da der isolierende Zwischenfilm, der entsprechend dem ersten Verfahren erhalten wurde, weniger SiCH3-Gruppen als ein herkömmlicher organischer SOG-Film enthält, wird nur eine geringe Anzahl an SiOH-Gruppen gebildet, wenn der isolierende Zwischenfilm mit einem Sauerstoff-Plasma geätzt wird. Folglich findet keine Wasserabspaltung in Folge der Kondensation von SiOH-Gruppen statt, wenn die Kontaktlöcher mit dem metallischen Material gefüllt werden, so dass die gebildeten Durchkontaktierungen fehlerfrei sind.
  • 4 zeigt das FT-IR-Spektrum des isolierenden Zwischenfilms, der entsprechend dem ersten Verfahren erhalten wurde, sowie das FT-IR-Spektrum eines herkömmlichen organischen SOG-Films. Das FT-IR-Spektrum des herkömmlichen organischen SOG-Films zeigt einen deutlichen Absorptionspeak bei einer Wellenzahl von etwa 1300 cm–1, während das FT-IR-Spektrum des isolierenden Zwischenfilms, der entsprechend dem ersten Verfahren erhalten wurde, nur einen kleinen Absorptionspeak bei einer Wellenzahl von etwa 1300 cm–1 zeigt, was darauf hindeutet, dass der isolierende Zwischenfilm, der entsprechend dem ersten Verfahren erhalten wurde, weniger SiCH3-Gruppen als der herkömmliche organische SOG-Film enthält.
  • 5 zeigt die FT-IR-Spektren von erfindungsgemäß hergestellten isolierenden Zwischenfilmen vor der thermischen Behandlung, nach einer thermischen Behandlung bei 450°C in einer Stickstoffatmosphäre und nach einer thermischen Behandlung bei 500°C in einer Stickstoffatmosphäre. Die Tatsache, dass die Spektren der isolierenden Zwischenfilme, erhalten vor der thermischen Behandlung, nach der thermischen Behandlung bei 450°C und nach der thermischen Behandlung bei 500°C, identisch sind, belegt, dass die entsprechend dem ersten Verfahren hergestellten isolierenden Zwischenfilme durch eine hervorragende Wärmebeständigkeit gekennzeichnet sind, so dass sie in einem Verfahren zur Herstellung einer LSI-Schaltung nicht beschädigt oder zerstört werden.
  • Die Dielektrizitätskonstante des isolierenden Zwischenfilms, der entsprechend dem ersten Verfahren erhalten wurde, betrug etwa 3,0. Nachdem der isolierende Zwischenfilm 2 Wochen lang bei Raumtemperatur gelagert worden war, wurde die Dielektrizitätskonstante erneut gemessen, wobei sich ein Wert von etwa 3,1 ergab. Diese Tatsache belegt, dass sich die Filmeigenschaften des isolierenden Zwischenfilms, der entsprechend dem ersten Verfahren erhalten wurde, im Laufe der Zeit kaum verändern.
  • Das Ausmaß an Kriechströmen wurde ebenfalls gemessen, wobei sich ein Wert von etwa 4,5 × 10–8 A/cm2 bei 5 MV/cm ergab; dieser Wert ist akzeptabel.
  • Wie zuvor beschrieben, wurde der Druck innerhalb der Reaktionskammer 11 auf 1,0 Torr eingestellt; der Druck innerhalb der Reaktionskammer 11 kann jedoch auch auf einen beliebigen anderen Wert im Bereich von 100 mTorr bis 20 Torr eingestellt werden. Es ist bevorzugt, dass der Druck innerhalb der Reaktionskammer 11 auf einen Wert im Bereich von 0,5 bis 5,0 Torr eingestellt wird.
  • Wie zuvor beschrieben, wurde das Halbleitersubstrat 12 auf eine Temperatur von 400°C erwärmt; das Halbleitersubstrat 12 kann jedoch auch auf eine beliebige andere Temperatur im Bereich von 25 bis 500°C erwärmt werden. Wenn das Halbleitersubstrat 12 jedoch auf eine Temperatur von oberhalb 400°C erwärmt wird, besteht die Gefahr, dass das Aluminium, das die Leiterbahnen auf dem Halbleitersubstrat 12 bildet, seine Wärmebeständigkeit verliert, so dass es bevorzugt ist, dass das Halbleitersubstrat 12 auf eine Temperatur von 400°C oder darunter erwärmt wird. Wenn das Halbleitersubstrat 12 andererseits auf eine Temperatur von weniger als 200°C erwärmt wird, besteht die Gefahr, dass unerwünschte Bestandteile in dem gebildeten isolierenden Zwischenfilm verbleiben, so dass es bevorzugt ist, dass das Halbleitersubstrat 12 auf eine Temperatur von 200°C oder darüber erwärmt wird.
  • Die Hochfrequenzleistung, die an die Sprühelektrode 16, die als obere Elektrode verwendet wird, angelegt wird, kann im Bereich von 100 bis 1000 Watt liegen. Es ist bevorzugt, dass die Hochfrequenzleistung im Bereich von 250 bis 500 Watt liegt.
  • Beispiele für die Verbindungen, die durch die Formel R1 xSi(OR2)4–x dargestellt werden, worin R1 eine Phenylgruppe ist, umfassen Diphenyldimethoxysilan (Ph2Si(OCH3)2) und das zuvor beschriebene Phenyltrimethoxysilan. Beispiele für die Verbindungen, die durch die Formel R1 xSi(OR2)4–x dargestellt werden, worin R1 eine Vinylgruppe ist, umfassen Vinyltrimethoxysilan (CH2=CHSi(OCH3) 3 ) und Divinyldimethoxysilan ((CH2=CH)2Si(OCH3) 2 ).
  • Zuvor wurde ein erstes Verfahren zur Herstellung eines isolierenden Plasmapolymerisationsfilms beschrieben, bei dem ein Material, das als Hauptbestandteil eine organische Siliciumverbindung enthält, dargestellt durch die folgende allgemeine Formel R1 xSi(OR2)4–x, einer Plasmapolymerisation unterworfen wurde; der isolierende Zwischenfilm kann alternativ erhalten werden, wenn ein Material, das als Hauptbestandteil eine organische Siliciumverbindung enthält, dargestellt durch die folgende allgemeine Formel R1 xSiH4–x, worin R1 eine Phenylgruppe oder eine Vinylgruppe ist und x ist eine ganze Zahl im Bereich von 1 bis 3, einer Plasmapolymerisation unterworfen wird, oder wenn ein Material, das als Hauptbestandteil eine organische Siliciumverbindung enthält, dargestellt durch die allgemeine Formel R1 xSi(OR2)4–x oder durch die allgemeine Formel R1 xSiH4–x, mit einem Oxidationsmittel, wie z. B. O2 oder H2O, umgesetzt wird. In diesem Fall wird gasförmiger Sauerstoff, Wasserdampf oder dgl. über die dritte Gaszufuhrleitung 23 in die Reaktionskammer 11 der in 1 gezeigten CVD-Vorrichtung eingebracht.
  • Beispiele für die Verbindungen, dargestellt durch die allgemeine Formel R1 xSiH4–x, worin R1 eine Phenylgruppe ist, umfassen Phenylsilan und Diphenylsilan. Beispiele für die Verbindungen, dargestellt durch die allgemeine Formel R1 xSiH4–x, worin R1 eine Vinylgruppe ist, umfassen Vinylsilan und Divinylsilan.
  • Zweites Verfahren
  • Entsprechend einem zweiten Verfahren zur Herstellung eines isolierenden Zwischenfilms auf der Basis von fluoriertem amorphem Kohlenstoff wird ein Material, das z. B. als Hauptbestandteil 1,1,1,3,3-Pentafluorpropen, d. h. eine fluorierte Kohlenstoffverbindung mit einer Kohlenstoff-Kohlenstoff-Doppelbindung, die ein Wasserstoffatom enthält, enthält, einer Plasmapolymerisation unterworfen.
  • Das zweite Verfahren zur Herstellung eines isolierenden Zwischenfilms wird im Folgenden beschrieben.
  • Zuerst wird ein Halbleitersubstrat 12 auf dem Probenträger 13 angeordnet, der über den Schalter 14 geerdet ist, und dann wird die Reaktionskammer 11 mit Hilfe der Vakuumpumpe 26 evakuiert.
  • Dann wird 1,1,1,3,3-Pentafluorpropen, das in dem ersten Behälter 24 enthalten ist, mit einem Trägergas, wie z. B. Argon, das mit einer Fließgeschwindigkeit von 50 bis 500 cm3/Min. in den ersten Behälter 24 eingeleitet wird, durchspült, und das mit 1,1,1,3,3-Pentafluorpropen beladene Trägergas wird in die Reaktionskammer 11 eingebracht.
  • Dann wird der Druck innerhalb der Reaktionskammer 11 auf 100 bis 500 mTorr eingestellt, und an die Sprühelektrode 16, die als obere Elektrode dient, wird mit Hilfe der zweiten Hochfrequenzquelle 17 eine Hochfrequenzleistung im Bereich von 100 bis 500 Watt bei einer Frequenz von 13,56 MHz angelegt. Dabei wird das gasförmige 1,1,1,3,3-Pentafluorpropen teilweise zersetzt, wobei Monomere, Ionen und Radikale gebildet werden, die polymerisieren und einen isolierenden Zwischenfilm, der ein Plasmapolymerisationsfilm ist, auf dem Halbleitersubstrat 12 bilden.
  • Da der Plasmapolymerisationsfilm als Hauptbestandteil 1,1,1,3,3-Pentafluorpropen enthält, umfasst der erhaltene isolierende Zwischenfilm, der ein Film aus fluoriertem amorphem Kohlenstoff ist, Kohlenstoffatome, Fluoratome und Wasserstoffatome. Die Dielektrizitätskonstante des Films aus fluoriertem amorphem Kohlenstoff unmittelbar nach der Abscheidung betrug 2,5.
  • Da der Plasmapolymerisationsfilm aus Ionen und Radikalen, d. h. aus den Zersetzungsprodukten, die bei der Zersetzung des Gases in dem Plasma entstehen und die mit der Oberfläche des Halbleitersubstrats 12 reagieren, gebildet wird, hängt die Struktur des Plasmapolymerisationsfilms von den Eigenschaften der Zersetzungsprodukte in dem Plasma ab. Die Wärmebeständigkeit des Plasmapolymerisationsfilms hängt vom Vernetzungsgrad des Films ab, welcher die Struktur des Plasmapolymerisationsfilms bestimmt.
  • In einem herkömmlichen Plasmapolymerisationsfilm aus fluoriertem amorphem Kohlenstoff sind die Polymermoleküle, die den Plasmapolymerisationsfilm bilden, linear und eindimensional miteinander verknüpft, so dass die Glasübergangstemperatur des Films gering ist, was zu einer unzureichenden Wärmebeständigkeit führt.
  • In dem isolierenden Zwischenfilm, der entsprechend dem zweiten Verfahren erhalten wurde, sind die Polymermoleküle, die den Plasmapolymerisationsfilm bilden, dreidimensional miteinander verknüpft, so dass der Vernetzungsgrad und die Glasübergangstemperatur hoch sind, was zu einer hervorragenden Wärmebeständigkeit des Films führt. Genauer gesagt, da 1,1,1,3,3-Pentafluorpropen eine Kohlenstoff-Kohlenstoff-Doppelbindung enthält, vernetzen die Zersetzungsprodukte, die bei der Zersetzung des 1,1,1,3,3- Pentafluorpropens in dem Plasma gebildet werden, während der Bildung des Plasmapolymerisationsfilms auf dem Halbleitersubstrat 12. Der erzeugte Plasmapolymerisationsfilm hat deshalb eine hohe Glasübergangstemperatur und zeichnet sich durch eine hervorragende Wärmebeständigkeit aus.
  • Das Halbleitersubstrat 12 mit dem darauf abgeschiedenen Film aus fluoriertem amorphem Kohlenstoff, der entsprechend dem zweiten Verfahren erhalten worden war, wurde 1 Stunde lang bei einer Temperatur von 400°C im Vakuum erwärmt, um die Wärmebeständigkeit des isolierenden Zwischenfilms zu bestimmen. Danach wurden die Dicke und die Dielektrizitätskonstante des Films aus fluoriertem amorphem Kohlenstoff bestimmt, wobei sich zeigte, dass die Verringerung der Filmdicke nur etwa 6% betrug und dass die Dielektrizitätskonstante, die zu etwa 2,6 bestimmt wurde, nur um etwa 0,1 zugenommen hatte. Diese Ergebnisse bestätigen die ausgezeichnete Wärmebeständigkeit des Films aus fluoriertem amorphem Kohlenstoff, der entsprechend dem zweiten Verfahren erhalten worden war.
  • Entsprechend dem zweiten Verfahren wurde 1,1,1,3,3-Pentafluorpropen als fluorierte Kohlenstoffverbindung, die eine Kohlenstoff-Kohlenstoff-Doppelbindung sowie ein Wasserstoffatom enthält, verwendet; es ist ebenfalls möglich, 1H,1H,2H-Perfluorhexen, 1H,1H,2H-Pertluor-1-octen, Trifluorethylen oder 3,3,3-Trifluorpropen an Stelle von 1,1,1,3,3-Pentafluorpropen zu verwenden.
  • Entsprechend dem zweiten Verfahren wurde eine fluorierte Kohlenstoffverbindung, die eine Kohlenstoff-Kohlenstoff-Doppelbindung sowie ein Wasserstoffatom enthält, verwendet; das Ausgangsmaterial kann aber noch andere Bestandteile enthalten, wie z. B. Stickstoff.
  • Drittes Verfahren
  • Entsprechend einem dritten Verfahren zur Herstellung eines isolierenden Zwischenfilms auf der Basis von fluoriertem amorphem Kohlenstoff wird ein Material, das z. B. als Hauptbestandteil Hexafluorpropen, d. h. eine fluorierte Kohlenstoffverbindung mit einer Kohlenstoff-Kohlenstoff-Doppelbindung, die kein Wasserstoffatom enthält, enthält, einer Plasmapolymerisation unterworfen.
  • Da das dritte Verfahren wie das zweite Verfahren durchgeführt wird, mit der Ausnahme, dass ein anderes Ausgangsmaterial verwendet wird, wird im Folgenden nur das Ausgangsmaterial beschrieben.
  • Wenn Hexafluorpropen in die Reaktionskammer 11 eingebracht wird, wird es teilweise zersetzt und in ein Plasma umgewandelt, wobei Monomere, Ionen und Radikale als Zersetzungsprodukte gebildet werden, die dann polymerisieren, wobei ein Plasmapolymerisationsfilm auf dem Halbleitersubstrat 12 gebildet wird.
  • Da Hexafluorpropen, das entsprechend dem dritten Verfahren verwendet wird, kein Wasserstoffatom enthält, ist der erhaltene isolierende Zwischenfilm ein Film aus fluoriertem amorphem Kohlenstoff, der nur Kohlenstoffatome und Fluoratome enthält. Der Film aus fluoriertem amorphem Kohlenstoff hat unmittelbar nach der Abscheidung eine Dielektrizitätskonstante von 2,3.
  • Da die Polymermoleküle in dem Plasmapolymerisationsfilm, der entsprechend der dritten Ausführungsform erhalten wurde, ebenfalls dreidimensional miteinander vernetzt sind, hat der Film eine hohe Glasübergangsternperatur und zeichnet sich durch eine hervorragende Wärmebeständigkeit aus.
  • Das Halbleitersubstrat 12 mit dem darauf abgeschiedenen Film aus fluoriertem amorphem Kohlenstoff, der entsprechend dem dritten Verfahren erhalten worden war, wurde 1 Stunde lang bei einer Temperatur von 400°C im Vakuum erwärmt, um die Wärmebeständigkeit des isolierenden Zwischenfilms zu bestimmen. Danach wurden die Dicke und die Dielektrizitätskonstante des Films aus fluoriertem amorphem Kohlenstoff bestimmt, wobei sich zeigte, dass die Verringerung der Filmdicke nur etwa 5% betrug und dass die Dielektrizitätskonstante, die zu etwa 2,5 bestimmt wurde, nur um etwa 0,2 zugenommen hatte. Diese Ergebnisse bestätigen die ausgezeichnete Wärmebeständigkeit des Films aus fluoriertem amorphem Kohlenstoff, der entsprechend dem dritten Verfahren erhalten worden war. Da der Film aus fluoriertem amorphem Kohlenstoff, der entsprechend dem dritten Verfahren erhalten wird, keine Wasserstoffatome enthält und nur aus fluoriertem Kohlenstoff besteht, hat er eine höhere Wärmebeständigkeit und eine kleinere Dielektrizitätskonstante als der Film aus fluoriertem amorphem Kohlenstoff, der entsprechend dem zweiten Verfahren erhalten wird.
  • Entsprechend dem dritten Verfahren wurde eine fluorierte Kohlenstoffverbindung, die eine Kohlenstoff-Kohlenstoff-Doppelbindung, jedoch kein Wasserstoffatom enthält, verwendet; das Ausgangsmaterial kann aber noch andere Bestandteile enthalten, wie z. B. Stickstoff.
  • Viertes Verfahren
  • Entsprechend einem vierten Verfahren zur Herstellung eines isolierenden Zwischenfilms auf der Basis von fluoriertem amorphem Kohlenstoff wird ein Material, das z. B. als Hauptbestandteil Hexafluor-1,3-butadien, d. h. eine fluorierte Kohlenstoffverbindung mit zwei Kohlenstoff-Kohlenstoff-Doppelbindungen, die kein Wasserstoffatom enthält, enthält, einer Plasmapolymerisation unterworfen.
  • Da das vierte Verfahren wie das zweite Verfahren durchgeführt wird, mit der Ausnahme, dass ein anderes Ausgangsmaterial verwendet wird, wird im Folgenden nur das Ausgangsmaterial beschrieben.
  • Wenn Hexafluor-1,3-butadien, dargestellt durch die folgende Formel 3, in die Reaktionskammer 11 eingebracht wird, wird es teilweise zersetzt, wobei Monomere, Ionen und Radikale als Zersetzungsprodukte gebildet werden, die dann polymerisieren, wobei ein Plasmapolymerisationsfilm auf dem Halbleitersubstrat 12 gebildet wird.
  • Formel 3
    Figure 00240001
  • Da Hexafluor-1,3-butadien, das entsprechend dem vierten Verfahren verwendet wird, zwei Kohlenstoff-Kohlenstoff-Doppelbindungen enthält, werden die beiden Doppelbindungen in dem Plasma gespalten, wobei Radikale mit jeweils vier ungepaarten Elektronen, dargestellt durch die Formel 4, gebildet werden, die dann polymerisieren.
  • Formel 4
    Figure 00250001
  • Da die Polymermoleküle in dem Plasmapolymerisationsfilm dreidimensional vernetzt werden, sind die Vernetzungsdichte und die Glasübergangstemperatur des erhaltenen isolierenden Zwischenfilms höher als die der isolierenden Zwischenfilme, die entsprechend dem zweiten und dem dritten Verfahren erhalten werden, so dass die Wärmebeständigkeit des Films weiterhin verbessert wird.
  • Entsprechend dem vierten Verfahren wurde eine fluorierte Kohlenstoffverbindung, die zwei Kohlenstoff-Kohlenstoff-Doppelbindungen, jedoch kein Wasserstoffatom enthält, verwendet; das Ausgangsmaterial kann aber noch andere Bestandteile enthalten, wie z. B. Stickstoff.
  • Fünftes Verfahren
  • Entsprechend einem fünften Verfahren zur Herstellung eines isolierenden Zwischenfilms auf der Basis von fluoriertem amorphem Kohlenstoff wird ein Material, das z. B. als Hauptbestandteil 3,3,3-Trifluorpropin, d. h. eine fluorierte Kohlenstoffverbindung mit einer Kohlenstoff-Kohlenstoff-Dreifachbindung, die ein Wasserstoffatom enthält, enthält, einer Plasmapolymerisation unterworfen.
  • Da das fünfte Verfahren wie das zweite Verfahren durchgeführt wird, mit der Ausnahme, dass ein anderes Ausgangsmaterial verwendet wird, wird im Folgenden nur das Ausgangsmaterial beschrieben.
  • Wenn 3,3,3-Trifluorpropin (CF3C≡CH) in die Reaktionskammer 11 eingebracht wird, wird es teilweise zersetzt, wobei Monomere, Ionen und Radikale als Zersetzungsprodukte gebildet werden, die dann polymerisieren, wobei ein Plasmapolymerisationsfilm auf dem Halbleitersubstrat 12 gebildet wird.
  • Da 3,3,3-Trifluorpropin, das entsprechend dem fünften Verfahren verwendet wird, ein Wasserstoffatom enthält, ist der erhaltene isolierende Zwischenfilm ein Film aus fluoriertem amorphem Kohlenstoff, der sowohl Wasserstoffatome als auch Kohlenstoffatome und Fluoratome enthält. Der Film aus fluoriertem amorphem Kohlenstoff hatte unmittelbar nach der Abscheidung eine Dielektrizitätskonstante von 2,5.
  • Da 3,3,3-Trifluorpropin, das entsprechend dem fünften Verfahren verwendet wird, eine Kohlenstoff-Kohlenstoff-Dreifachbindung enthält, wie in der folgenden Formel 5 gezeigt, wird die Dreifachbindung in dem Plasma gespalten, wobei Radikale mit jeweils vier ungepaarten Elektronen, dargestellt durch die folgende Formel 6, gebildet werden, die dann polymerisieren.
  • Formel 5
    Figure 00260001
  • Formel 6
    Figure 00270001
  • Da die Polymermoleküle in dem Plasmapolymerisationsfilm dreidimensional vernetzt werden, sind die Vernetzungsdichte und die Glasübergangstemperatur des erhaltenen isolierenden Zwischenfilms höher als die der isolierenden Zwischenfilme, die entsprechend der zweiten und der dritten Ausführungsform der Erfindung erhalten werden, so dass die Wärmebeständigkeit des Films weiterhin verbessert wird.
  • Das Halbleitersubstrat 12 mit dem darauf abgeschiedenen Film aus fluoriertem amorphem Kohlenstoff, der entsprechend dem fünften Verfahren erhalten worden war, wurde 1 Stunde lang bei einer Temperatur von 400°C im Vakuum erwärmt, um die Wärmebeständigkeit des isolierenden Zwischenfilms zu bestimmen. Danach wurden die Dicke und die Dielektrizitätskonstante des Films aus fluoriertem amorphem Kohlenstoff bestimmt, wobei sich zeigte, dass die Verringerung der Filmdicke nur etwa 5% betrug und dass die Dielektrizitätskonstante, die zu etwa 2,6 bestimmt wurde, nur um etwa 0,1 zugenommen hatte. Diese Ergebnisse bestätigen die ausgezeichnete Wärmebeständigkeit des Films aus fluoriertem amorphem Kohlenstoff, der entsprechend dem fünften Verfahren erhalten worden war.
  • Entsprechend dem fünften Verfahren wurde 3,3,3-Trifluorpropin, d. h. eine fluorierte Kohlenstoffverbindung mit einer Kohlenstoff-Kohlenstoff-Dreifachbindung, die ein Wasserstoffatom enthält, verwendet; es kann jedoch auch Perfluor(t-butyl)acetylen (HC≡CC(CF3) 3 ) an Stelle von 3,3,3-Trifluorpropin verwendet werden.
  • Entsprechend dem fünften Verfahren wurde eine fluorierte Kohlenstoffverbindung, die eine Kohlenstoff-Kohlenstoff-Dreifachbindung und ein Wasserstoffatom enthält, verwendet; das Ausgangsmaterial kann aber noch andere Bestandteile enthalten, wie z. B. Stickstoff.
  • Sechstes Verfahren
  • Entsprechend einem sechsten Verfahren zur Herstellung eines isolierenden Zwischenfilms auf der Basis von fluoriertem amorphem Kohlenstoff wird ein Material, das z. B. als Hauptbestandteil Hexafluor-2-butin, d. h. eine fluorierte Kohlenstoffverbindung mit einer Kohlenstoff-Kohlenstoff-Dreifachbindung, die kein Wasserstoffatom enthält, enthält, einer Plasmapolymerisation unterworfen.
  • Da das sechste Verfahren wie das zweite Verfahren durchgeführt wird, mit der Ausnahme, dass ein anderes Ausgangsmaterial verwendet wird, wird im Folgenden nur das Ausgangsmaterial beschrieben.
  • Wenn Hexafluor-2-butin (CF3C≡CCF3) in die Reaktionskammer 11 eingebracht wird, wird es teilweise zersetzt, wobei Monomere, Ionen und Radikale als Zersetzungsprodukte gebildet werden, die dann polymerisieren, wobei ein Plasmapolymerisationsfilm auf dem Halbleitersubstrat 12 gebildet wird.
  • Da Hexafluor-2-butin, das entsprechend dem sechsten Verfahren verwendet wird, kein Wasserstoffatom enthält, ist der erhaltene isolierende Zwischenfilm ein Film aus fluoriertem amorphem Kohlenstoff, der nur Kohlenstoffatome und Fluoratome enthält. Der Film aus fluoriertem amorphem Kohlenstoff hatte unmittelbar nach der Abscheidung eine Dielektrizitätskonstante von 2,3.
  • Da Hexafluor-2-butin, das entsprechend dem sechsten Verfahren verwendet wird, eine Kohlenstoff-Kohlenstoff-Dreifachbindung enthält, ähnlich wie 3,3,3-Trifluorpropin, dargestellt durch die Formel 5, wird die Dreifachbindung in dem Plasma gespalten, wobei Radikale mit jeweils vier ungepaarten Elektronen gebildet werden, ähnlich wie im Falle von 3,3,3-Trifluorpropin, die dann polymerisieren. Da die Polymermoleküle in dem Plasmapolymerisationsfilm dreidimensional vernetzt werden, sind die Vernetzungsdichte und die Glasübergangstemperatur des erhaltenen isolierenden Zwischenfilms höher als die der isolierenden Zwischenfilme, die entsprechend dem zweiten und dem dritten Verfahren erhalten werden, so dass die Wärmebeständigkeit des Films verbessert wird.
  • Das Halbleitersubstrat 12 mit dem darauf abgeschiedenen Film aus fluoriertem amorphem Kohlenstoff, der entsprechend dem sechsten Verfahren erhalten worden war, wurde 1 Stunde lang bei einer Temperatur von 400°C im Vakuum erwärmt, um die Wärmebeständigkeit des isolierenden Zwischenfilms zu bestimmen. Danach wurden die Dicke und die Dielektrizitätskonstante des Films aus fluoriertem amorphem Kohlenstoff bestimmt, wobei sich zeigte, dass die Verringerung der Filmdicke nur etwa 5% betrug und dass die Dielektrizitätskonstante, die zu etwa 2,4 bestimmt wurde, nur um etwa 0,1 zugenommen hatte. Diese Ergebnisse bestätigen die ausgezeichnete Wärmebeständigkeit des Films aus fluoriertem amorphem Kohlenstoff, der entsprechend dem sechsten Verfahren erhalten worden war.
  • Entsprechend dem sechsten Verfahren wurde eine fluorierte Kohlenstoffverbindung, die eine Kohlenstoff-Kohlenstoff-Dreifachbindung, jedoch kein Wasserstoffatom enthält, verwendet; das Ausgangsmaterial kann aber noch andere Bestandteile enthalten, wie z. B. Stickstoff.
  • Siebentes Verfahren
  • Entsprechend einem siebenten erfindungsgemäßen Verfahren zur Herstellung eines isolierenden Zwischenfilms auf der Basis von fluoriertem amorphem Kohlenstoff wird ein Material, das z. B. als Hauptbestandteil Perfluordecalin, d. h. eine fluorierte Kohlenstoffverbindung mit einer polycyclischen Struktur (kondensierten cyclischen Struktur), die kein Wasserstoffatom enthält, enthält, einer Plasmapolymerisation unterworfen.
  • Da das siebente Verfahren wie das zweite Verfahren durchgeführt wird, mit der Ausnahme, dass ein anderes Ausgangsmaterial verwendet wird, wird im Folgenden nur das Ausgangsmaterial beschrieben.
  • Wenn Perfluordecalin, dargestellt durch die folgende Formel 7, in die Reaktionskammer 11 eingebracht wird, wird es teilweise zersetzt, wobei Monomere, Ionen und Radikale als Zersetzungsprodukte gebildet werden, die dann polymerisieren, wobei ein Plasmapolymerisationsfilm auf dem Halbleitersubstrat 12 gebildet wird.
  • Formel 7
    Figure 00300001
  • Da Perfluordecalin, das entsprechend dem siebenten Verfahren verwendet wird, kein Wasserstoffatom enthält, ist der erhaltene isolierende Zwischenfilm ein Film aus fluoriertem amorphem Kohlenstoff, der nur Kohlenstoffatome und Fluoratome enthält. Die Dielektrizitätskonstante des Films aus fluoriertem amorphem Kohlenstoff unmittelbar nach der Abscheidung betrug 2,3.
  • Da Perfluordecalin, das entsprechend dem siebenten Verfahren verwendet wird, eine polycyclische Struktur (kondensierte Struktur) umfasst, wie in Formel 7 gezeigt, wird die polycyclische Struktur in dem Plasma gespalten, wobei Radikale mit jeweils vier ungepaarten Elektronen, dargestellt durch die folgende Formel 8, gebildet werden, die dann polymerisieren.
  • Formel 8
    Figure 00300002
  • Da die Polymermoleküle in dem Plasmapolymerisationsfilm dreidimensional vernetzt werden, sind die Vernetzungsdichte und die Glasübergangstemperatur des erhaltenen isolierenden Zwischenfilms höher als die der isolierenden Zwischenfilme, die entsprechend dem zweiten und dem dritten Verfahren erhalten werden, so dass die Wärmebeständigkeit des Films verbessert wird.
  • Das Halbleitersubstrat 12 mit dem darauf abgeschiedenen Film aus fluoriertem amorphem Kohlenstoff, der entsprechend dem siebenten Verfahren erhalten worden war, wurde 1 Stunde lang bei einer Temperatur von 400°C im Vakuum erwärmt, um die Wärmebeständigkeit des isolierenden Zwischenfilms zu bestimmen. Danach wurden die Dicke und die Dielektrizitätskonstante des Films aus fluoriertem amorphem Kohlenstoff bestimmt, wobei sich zeigte, dass die Verringerung der Filmdicke nur etwa 5% betrug und dass die Dielektrizitätskonstante, die zu etwa 2,4 bestimmt wurde, nur um etwa 0,1 zugenommen hatte. Diese Ergebnisse bestätigen die ausgezeichnete Wärmebeständigkeit des Films aus fluoriertem amorphem Kohlenstoff, der entsprechend dem siebenten Verfahren erhalten worden war.
  • Entsprechend dem siebenten Verfahren wurde Perfluordecalin, d. h. eine fluorierte Kohlenstoffverbindung mit einer polycyclischen Struktur, die kein Wasserstoffatom enthält, verwendet; erfindungsgemäß kann jedoch auch eine fluorierte Kohlenstoffverbindung mit einer kondensierten cyclischen Struktur, wie z. B. Perfluorfluoren, dargestellt durch die folgende Formel 9, Perfluor-1-methyldecalin, dargestellt durch die folgende Formel 10, oder Perfluor(tetradecahydrophenanthren), dargestellt durch die folgende Formel 11, an Stelle von Perfluordecalin verwendet werden
  • Formel 9
    Figure 00310001
  • Formel 10
    Figure 00320001
  • Formel 11
    Figure 00320002
  • Es ist ebenfalls möglich, eine fluorierte Kohlenstoffverbindung mit einer gewöhnlichen polycyclischen Struktur, wie z. B. Perfluorbiphenyl, dargestellt durch die folgende Formel 12, zu verwenden.
  • Formel 12
    Figure 00320003
  • Achtes Verfahren
  • Entsprechend einem achten Verfahren zur Herstellung eines isolierenden Zwischenfilms auf der Basis von Siliciumoxid, der fluorierten Kohlenstoff umfasst, wird ein Material, das z. B. als Hauptbestandteil ein Gasgemisch aus Phenyltrimethoxysilan, d. h. eine organische Siliciumverbindung, dargestellt durch die folgende allgemeine Formel R1 xSi(OR2)4–x, worin R1 eine Phenylgruppe oder eine Vinylgruppe ist, R2 ist eine Alkylgruppe und x ist eine ganze Zahl im Bereich von 1 bis 3, und einem Benzolderivat mit einer F-C-Bindung, d. h. eine fluorierte Kohlenstoffverbindung, umfasst, einer Plasmapolymerisation unterworfen.
  • Das achte Verfahren zur Herstellung eines isolierenden Zwischenfilms wird im Folgenden beschrieben.
  • Zuerst wird ein Halbleitersubstrat 12 auf dem Probenträger 13 angeordnet, auf eine Temperatur von z. B. 400°C erwärmt und über den Schalter 14 geerdet, und dann wird die Reaktionskammer 11 mit Hilfe der Vakuumpumpe 26 evakuiert.
  • Dann wird Phenyltrimethoxysilan, dargestellt durch die Formel 1, das in dem ersten Behälter 24 enthalten ist, mit einem Trägergas, wie z. B. Argon, das mit einer Fließgeschwindigkeit von 200 cm3/Min. in den ersten Behälter 24 eingeleitet wird, durchspült, und das mit Phenyltrimethoxysilan beladene Trägergas wird in die Reaktionskammer 11 eingebracht. Weiterhin wird Difluorbenzol, d. h. ein Benzolderivat mit einer F-C-Bindung, dargestellt durch die folgende Formel 13, das in dem zweiten Behälter 25 enthalten ist, mit einem Trägergas, wie z. B. Argon, das mit einer Fließgeschwindigkeit von 200 cm3/Min. in den zweiten Behälter 25 eingeleitet wird, durchspült, und das mit Difluorbenzol beladene Trägergas wird in die Reaktionskammer 11 eingebracht.
  • Formel 13
    Figure 00340001
  • Dann wird der Druck innerhalb der Reaktionskammer 11 auf etwa 1,0 Torr eingestellt, und an die Sprühelektrode 16, die als obere Elektrode dient, wird mit Hilfe der zweiten Hochfrequenzquelle 17 eine Hochfrequenzleistung von 600 Watt bei einer Frequenz von 13,56 MHz angelegt. Dabei werden das Phenyltrimethoxysilangas und das Difluorbenzol teilweise zersetzt, wobei Monomere, Ionen und Radikale als Zersetzungsprodukte gebildet werden, die polymerisieren und einen isolierenden Zwischenfilm auf dem Halbleitersubstrat 12 bilden. Die Struktur des erzeugten Plasmapolymerisationsfilms kann durch die folgende Formel 14 wiedergegeben werden.
  • Formel 14
    Figure 00340002
  • Da der isolierende Zwischenfilm, der entsprechend dem achten Verfahren erhalten wurde, unter Anwendung eines Plasma-CVD-Verfahrens erzeugt wurde, ist es nicht erforderlich, mehrfach ein organisches SOG-Material aufzubringen und das aufgebrachte SOG-Material thermisch auszuhärten, so dass das Verfahren einfach und kostengünstig durchgeführt werden kann.
  • Die Dielektrizitätskonstante des isolierenden Zwischenfilms, der entsprechend dem achten Verfahren erhalten wurde, betrug etwa 2,5. Nachdem der isolierende Zwischenfilm 2 Wochen lang bei Raumtemperatur gelagert worden war, wurde die Dielektrizitätskonstante erneut gemessen, wobei sich ein Wert von etwa 2,7 ergab; diese Tatsache belegt, dass sich die Filmeigenschaften des isolierenden Zwischenfilms im Laufe der Zeit kaum verändern. Mit anderen Worten, mit dem Verfahren entsprechend dem achten Verfahren ist es möglich, einen isolierenden Zwischenfilm mit einer verringerten Dielektrizitätskonstante einfach herzustellen.
  • Das Ausmaß an Kriechströmen wurde ebenfalls gemessen, wobei sich ein Wert von etwa 4,5 × 10–8 A/cm2 bei 5 MV/cm ergab; dieser Wert ist akzeptabel.
  • Wie zuvor beschrieben, wurde der Druck innerhalb der Reaktionskammer 11 auf 1,0 Torr eingestellt; der Druck innerhalb der Reaktionskammer 11 kann jedoch auch auf einen beliebigen anderen Wert im Bereich von 100 mTorr bis 20 Torr eingestellt werden. Es ist bevorzugt, dass der Druck innerhalb der Reaktionskammer 11 auf einen Wert im Bereich von 0,5 bis 5,0 Torr eingestellt wird.
  • Die Hochfrequenzleistung, die an die Sprühelektrode 16, die als obere Elektrode verwendet wird, angelegt wird, kann im Bereich von 100 bis 1000 Watt liegen. Es ist bevorzugt, dass die Hochfrequenzleistung im Bereich von 250 bis 500 Watt liegt.
  • Obwohl das Halbleitersubstrat 12 auf eine beliebige Temperatur im Bereich von 25 bis 500°C erwärmt werden kann, ähnlich wie in der ersten Ausführungsform, ist es bevorzugt, dass das Halbleitersubstrat 12 auf eine Temperatur im Bereich von 200 bis 400°C erwärmt wird.
  • Beispiele für die Verbindungen, die durch die Formel R1 xSi(OR2)4–x dargestellt werden, worin R1 eine Phenylgruppe ist, umfassen Diphenyldimethoxysilan und das zuvor beschriebene Phenyltrimethoxysilan. Beispiele für die Verbindungen, die durch die Formel R1 xSi(OR2)4–x dargestellt werden, worin R1 eine Vinylgruppe ist, umfassen Vinyltrimethoxysilan und Divinyldimethoxysilan.
  • Beispiele für Benzolderivate mit einer F-C-Bindung, die fluorierte Kohlenstoffverbindungen sind, umfassen, neben Difluorbenzol, auch andere Fluorbenzole, wie z. B. Fluorbenzol oder Hexafluorbenzol.
  • Neuntes Verfahren
  • Entsprechend einem neunten Verfahren zur Herstellung eines isolierenden Zwischenfilms auf der Basis von Siliciumoxid, der fluorierten Kohlenstoff umfasst, wird ein Material, das z. B. als Hauptbestandteil ein Gasgemisch aus Phenyltrimethoxysilan, d. h. eine organische Siliciumverbindung, dargestellt durch die folgende allgemeine Formel R1 xSi(OR2)4–x, worin R1 eine Phenylgruppe oder eine Vinylgruppe ist, R2 ist eine Alkylgruppe und x ist eine ganze Zahl im Bereich von 1 bis 3, und C2F6, d. h. eine fluorierte Kohlenstoffverbindung, umfasst, einer Plasmapolymerisation unterworfen.
  • Das neunte Verfahren zur Herstellung eines isolierenden Zwischenfilms wird im Folgenden beschrieben.
  • Zuerst wird ein Halbleitersubstrat 12 auf dem Probenträger 13 angeordnet, auf eine Temperatur von z. B. 400°C erwärmt und über den Schalter 14 geerdet, und dann wird die Reaktionskammer 11 mit Hilfe der Vakuumpumpe 26 evakuiert.
  • Dann wird Phenyltrimethoxysilan, das in dem ersten Behälter 24 enthalten ist, mit einem Trägergas, wie z. B. Argon, das mit einer Fließgeschwindigkeit von 200 cm3/Min. in den ersten Behälter 24 eingeleitet wird, durchspült, und das mit Phenyltrimethoxysilan beladene Trägergas wird in die Reaktionskammer 11 eingebracht, während gasförmiges C2F6 über die dritte Gaszuführungsleitung 23 in die Reaktionskammer 11 eingeleitet wird.
  • Dann wird der Druck innerhalb der Reaktionskammer 11 auf etwa 1,0 Torr eingestellt, und an die Sprühelektrode 16, die als obere Elektrode dient, wird mit Hilfe der zweiten Hochfrequenzquelle 17 eine Hochfrequenzleistung von 700 Watt bei einer Frequenz von 13,56 MHz angelegt. Dabei werden das Phenyltrimethoxysilangas und das gasförmige C2F6 teilweise zersetzt, wobei Monomere, Ionen und Radikale als Zersetzungsprodukte gebildet werden, die polymerisieren und einen isolierenden Zwischenfilm auf dem Halbleitersubstrat 12 bilden. Die Struktur des erzeugten Plasmapolymerisationsfilms kann durch die folgende Formel 15 wiedergegeben werden.
  • Formel 15
    Figure 00370001
  • Da der isolierende Zwischenfilm, der entsprechend dem neunten Verfahren erhalten wurde, unter Anwendung eines Plasma-CVD-Verfahrens erzeugt wurde, ist es nicht erforderlich, mehrfach ein organisches SOG-Material aufzubringen und das aufgebrachte SOG-Material thermisch auszuhärten, so dass das Verfahren einfach und kostengünstig durchgeführt werden kann.
  • Die Dielektrizitätskonstante des isolierenden Zwischenfilms, der entsprechend dem neunten Verfahren erhalten wurde, betrug etwa 2,9. Nachdem der isolierende Zwischenfilm 2 Wochen lang bei Raumtemperatur gelagert worden war, wurde die Dielektrizitätskonstante erneut gemessen, wobei sich ein Wert von etwa 3,0 ergab; diese Tatsache belegt, dass sich die Filmeigenschaften des isolierenden Zwischenfilms im Laufe der Zeit kaum verändern. Mit anderen Worten, mit dem neunten Verfahren ist es möglich, einen isolierenden Zwischenfilm mit einer verringerten Dielektrizitätskonstante einfach herzustellen.
  • Das Ausmaß an Kriechströmen wurde ebenfalls gemessen, wobei sich ein Wert von etwa 5,5 × 10–8 A/cm2 bei 5 MV/cm ergab; dieser Wert ist akzeptabel.
  • Wie zuvor beschrieben, wurde der Druck innerhalb der Reaktionskammer 11 auf 1,0 Torr eingestellt; der Druck innerhalb der Reaktionskammer 11 kann jedoch auch auf einen beliebigen anderen Wert im Bereich von 100 mTorr bis 20 Torr eingestellt werden. Es ist bevorzugt, dass der Druck innerhalb der Reaktionskammer 11 auf einen Wert im Bereich von 0,5 bis 5,0 Torr eingestellt wird.
  • Die Hochfrequenzleistung, die an die Sprühelektrode 16, die als obere Elektrode verwendet wird, angelegt wird, kann im Bereich von 100 bis 2000 Watt liegen. Es ist bevorzugt, dass die Hochfrequenzleistung im Bereich von 300 bis 750 Watt liegt.
  • Obwohl das Halbleitersubstrat 12 auf eine beliebige Temperatur im Bereich von 25 bis 500°C erwärmt werden kann, ist es bevorzugt, dass das Halbleitersubstrat 12 auf eine Temperatur im Bereich von 200 bis 400°C erwärmt wird.
  • Beispiele für die Verbindungen, die durch die Formel R1 xSi(OR2)4–x dargestellt werden, worin R1 eine Phenylgruppe ist, umfassen Diphenyldimethoxysilan und das zuvor beschriebene Phenyltrimethoxysilan. Beispiele für die Verbindungen, die durch die Formel R1 xSi(OR2)4–x dargestellt werden, worin R1 eine Vinylgruppe ist, umfassen Vinyltrimethoxysilan und Divinyldimethoxysilan.
  • Beispiele für fluorierte Kohlenstoffverbindungen umfassen, neben C2F6, auch CF4, C4F8 oder dgl.
  • Entsprechend dem neunten Verfahren wurde ein isolierender Zwischenfilm gebildet, indem ein Material, das als Hauptbestandteil eine organische Siliciumverbindung enthält, dargestellt durch die allgemeine Formel R1 xSi(OR2)4–x, einer Plasmapolymerisation unterworfen wurde; der isolierende Zwischenfilm kann alternativ erhalten werden, wenn ein Material, das als Hauptbestandteil eine organische Siliciumverbindung enthält, dargestellt durch die folgende allgemeine Formel R1 xSiH4–x, worin R1 eine Phenylgruppe oder eine Vinylgruppe ist und x ist eine ganze Zahl im Bereich von 1 bis 3, einer Plasmapolymerisation unterworfen wird, oder wenn ein Material, das als Hauptbestandteil eine organische Siliciumverbindung enthält, dargestellt durch die allgemeine Formel R1 xSi(OR2)4–x oder durch die allgemeine Formel R1 xSiH4–x, mit einem Oxidationsmittel, wie z. B. O2 oder H2O, umgesetzt wird. In diesem Fall werden gasförmiger Sauerstoff oder Wasserdampf sowie gasförmiges C2F6 über die dritte Gaszufuhrleitung 23 in die Reaktionskammer 11 eingebracht.
  • Beispiele für die Verbindungen, dargestellt durch die allgemeine Formel R1 xSiH4–x, worin R1 eine Phenylgruppe ist, umfassen Phenylsilan und Diphenylsilan. Beispiele für die Verbindungen, dargestellt durch die allgemeine Formel R1 xSiH4–x, worin R1 eine Vinylgruppe ist, umfassen Vinylsilan und Divinylsilan.
  • Zehntes Verfahren
  • Entsprechend einem zehnten Verfahren zur Herstellung eines isolierenden Zwischenfilms auf der Basis von Siliciumoxid, der fluorierten Kohlenstoff umfasst, wird ein Material, das z. B. als Hauptbestandteil ein Gasgemisch aus Phenyltrimethoxysilan, d. h. eine organische Siliciumverbindung, dargestellt durch die folgende allgemeine Formel R1 xSi(OR2)4–x, worin R1 eine Phenylgruppe oder eine Vinylgruppe ist, R2 ist eine Alkylgruppe und x ist eine ganze Zahl im Bereich von 1 bis 3, und Perfluordecalin, d. h. einer fluorierten Kohlenstoffverbindung, dargestellt durch die Formel 7, umfasst, einer Plasmapolymerisation unterworfen.
  • Das zehnte Verfahren zur Herstellung eines isolierenden Zwischenfilms wird im Folgenden beschrieben.
  • Zuerst wird ein Halbleitersubstrat 12 auf dem Probenträger 13 angeordnet, auf eine Temperatur von z. B. 400°C erwärmt und über den Schalter 14 geerdet, und dann wird die Reaktionskammer 11 mit Hilfe der Vakuumpumpe 26 evakuiert.
  • Dann wird Phenyltrimethoxysilan, das in dem ersten Behälter 24 enthalten ist, mit einem Trägergas, wie z. B. Argon, das mit einer Fließgeschwindigkeit von 280 cm3/Min. in den ersten Behälter 24 eingeleitet wird, durchspült, und das mit Phenyltrimethoxysilan beladene Trägergas wird in die Reaktionskammer 11 eingebracht. Weiterhin wird Perfluordecalin, das in dem zweiten Behälter 25 enthalten ist, mit einem Trägergas, wie z. B. Argon, das mit einer Fließgeschwindigkeit von 42 cm3/Min. in den zweiten Behälter 25 eingeleitet wird, durchspült, und das mit Perfluordecalin beladene Trägergas wird in die Reaktionskammer 11 eingebracht:
  • Dann wird der Druck innerhalb der Reaktionskammer 11 auf etwa 2,0 Torr eingestellt, und an die Sprühelektrode 16, die als obere Elektrode dient, wird mit Hilfe der zweiten Hochfrequenzquelle 17 eine Hochfrequenzleistung von 500 Watt bei einer Frequenz von 13,56 MHz angelegt. Dabei werden das Phenyltrimethoxysilangas und das Perfluordecalin teilweise zersetzt, wobei Monomere, Ionen und Radikale als Zersetzungsprodukte gebildet werden, die polymerisieren und einen isolierenden Zwischenfilm auf dem Halbleitersubstrat 12 bilden.
  • Da der isolierende Zwischenfilm, der entsprechend dem zehnten Verfahren erhalten wurde, unter Anwendung eines Plasma-CVD-Verfahrens erzeugt wurde, ist es nicht erforderlich, mehrfach ein organisches SOG-Material aufzubringen und das aufgebrachte SOG-Material thermisch auszuhärten, so dass das Verfahren einfach und kostengünstig durchgeführt werden kann.
  • Die Dielektrizitätskonstante des isolierenden Zwischenfilms, der entsprechend dem zehnten Verfahren erhalten wurde, betrug etwa 2,6. Nachdem der isolierende Zwischenfilm 2 Wochen lang bei Raumtemperatur gelagert worden war, wurde die Dielektrizitätskonstante erneut gemessen, wobei sich ein Wert von etwa 2,7 ergab; diese Tatsache belegt, dass sich die Filmeigenschaften des isolierenden Zwischenfilms im Laufe der Zeit kaum verändern. Mit anderen Worten, mit dem zehnten Verfahren ist es möglich, einen isolierenden Zwischenfilm mit einer verringerten Dielektrizitätskonstante einfach herzustellen.
  • Der isolierende Zwischenfilm hatte eine Glasübergangstemperatur von 430°C oder darüber, was auf eine hervorragende Wärmebeständigkeit hindeutet.
  • Wie zuvor beschrieben, wurde der Druck innerhalb der Reaktionskammer 11 auf 1,0 Torr eingestellt; der Druck innerhalb der Reaktionskammer 11 kann jedoch auch auf einen beliebigen anderen Wert im Bereich von 100 mTorr bis 20 Torr eingestellt werden. Es ist bevorzugt, dass der Druck innerhalb der Reaktionskammer 11 auf einen Wert im Bereich von 0,5 bis 5,0 Torr eingestellt wird.
  • Die Hochfrequenzleistung, die an die Sprühelektrode 16, die als obere Elektrode verwendet wird, angelegt wird, kann im Bereich von 100 bis 1000 Watt liegen. Es ist bevorzugt, dass die Hochfrequenzleistung im Bereich von 250 bis 500 Watt liegt.
  • Obwohl das Halbleitersubstrat 12 auf eine beliebige Temperatur im Bereich von 25 bis 500°C erwärmt werden kann, ähnlich wie in dem ersten Verfahren, ist es bevorzugt, dass das Halbleitersubstrat 12 auf eine Temperatur im Bereich von 200 bis 400°C erwärmt wird.
  • Beispiele für die Verbindungen, die durch die Formel R1 xSi(OR2)4–x dargestellt werden, worin R1 eine Phenylgruppe ist, umfassen Diphenyldimethoxysilan und das zuvor beschriebene Phenyltrimethoxysilan. Beispiele für die Verbindungen, die durch die Formel R1 xSi(OR2)4–x dargestellt werden, worin R1 eine Vinylgruppe ist, umfassen Vinyltrimethoxysilan und Divinyldimethoxysilan.
  • Die fluorierte Kohlenstoffverbindung, die in diesem Verfahren verwendet wurde, ist nicht auf Perfluordecalin beschränkt, und es können ebenfalls die Verbindungen verwendet werden, die entsprechend dem zweiten bis siebenten Verfahren verwendet wurden.
  • Elftes Verfahren
  • Entsprechend einem elften Verfahren zur Herstellung eines isolierenden Zwischenfilms auf der Basis von Siliciumoxid, der fluorierten Kohlenstoff umfasst, wird ein Material, das z. B. als Hauptbestandteil ein Gasgemisch aus Hexamethyldisiloxan, d. h. einem Siloxanderivat, und Perfluordecalin, d. h. einer fluorierten Kohlenstoffverbindung, dargestellt durch die Formel 7, umfasst, einer Plasmapolymerisation unterworfen.
  • Das elfte Verfahren zur Herstellung eines isolierenden Zwischenfilms wird im Folgenden beschrieben.
  • Zuerst wird ein Halbleitersubstrat 12 auf dem Probenträger 13 angeordnet, auf eine Temperatur von z. B. 400°C erwärmt und über den Schalter 14 geerdet, und dann wird die Reaktionskammer 11 mit Hilfe der Vakuumpumpe 26 evakuiert.
  • Dann wird Hexamethyldisiloxan, das in dem ersten Behälter 24 enthalten ist, mit einem Trägergas, wie z. B. Argon, das mit einer Fließgeschwindigkeit von 28 cm3/Min. in den ersten Behälter 24 eingeleitet wird, durchspült, und das mit Hexamethyldisiloxan beladene Trägergas wird in die Reaktionskammer 11 eingebracht. Weiterhin wird Perfluordecalin, das in dem zweiten Behälter 25 enthalten ist, mit einem Trägergas, wie z. B. Argon, das mit einer Fließgeschwindigkeit von 280 cm3/Min. in den zweiten Behälter 25 eingeleitet wird, durchspült, und das mit Perfluordecalin beladene Trägergas wird in die Reaktionskammer 11 eingebracht:
  • Dann wird der Druck innerhalb der Reaktionskammer 11 auf etwa 0,8 Torr eingestellt, und an die Sprühelektrode 16, die als obere Elektrode dient, wird mit Hilfe der zweiten Hochfrequenzquelle 17 eine Hochfrequenzleistung von 250 Watt bei einer Frequenz von 13,56 MHz angelegt. Dabei werden das Hexamethyldisiloxan und das Perfluordecalin teilweise zersetzt, wobei Monomere, Ionen und Radikale als Zersetzungsprodukte gebil det werden, die polymerisieren und einen isolierenden Zwischenfilm auf dem Halbleitersubstrat 12 bilden.
  • Da der isolierende Zwischenfilm, der entsprechend dem elften Verfahren erhalten wurde, unter Anwendung eines Plasma-CVD-Verfahrens erzeugt wurde, ist es nicht erforderlich, mehrfach ein organisches SOG-Material aufzubringen und das aufgebrachte SOG-Material thermisch auszuhärten, so dass das Verfahren einfach und kostengünstig durchgeführt werden kann.
  • Die Dielektrizitätskonstante des isolierenden Zwischenfilms, der entsprechend dem elften Verfahren erhalten wurde, betrug etwa 2,75. Nachdem der isolierende Zwischenfilm 2 Wochen lang bei Raumtemperatur gelagert worden war, wurde die Dielektrizitätskonstante erneut gemessen, wobei sich ein Wert von etwa 2,8 ergab; diese Tatsache belegt, dass sich die Filmeigenschaften des isolierenden Zwischenfilms im Laufe der Zeit kaum verändern. Mit anderen Worten, mit dem elften Verfahren ist es möglich, einen isolierenden Zwischenfilm mit einer verringerten Dielektrizitätskonstante einfach herzustellen.
  • Der isolierende Zwischenfilm hatte eine Glasübergangstemperatur von 430°C oder darüber, was auf eine hervorragende Wärmebeständigkeit hindeutet.
  • Wie zuvor beschrieben, wurde der Druck innerhalb der Reaktionskammer 11 auf 0,8 Torr eingestellt; der Druck innerhalb der Reaktionskammer 11 kann jedoch auch auf einen beliebigen anderen Wert im Bereich von 100 mTorr bis 20 Torr eingestellt werden. Es ist bevorzugt, dass der Druck innerhalb der Reaktionskammer 11 auf einen Wert im Bereich von 0,5 bis 5,0 Torr eingestellt wird.
  • Die Hochfrequenzleistung, die an die Sprühelektrode 16, die als obere Elektrode verwendet wird, angelegt wird, kann im Bereich von 100 bis 1000 Watt liegen. Es ist bevorzugt, dass die Hochfrequenzleistung im Bereich von 250 bis 500 Watt liegt.
  • Obwohl das Halbleitersubstrat 12 auf eine beliebige Temperatur im Bereich von 25 bis 500°C erwärmt werden kann, ähnlich wie in der ersten Ausführungsform, ist es bevor zugt, dass das Halbleitersubstrat 12 auf eine Temperatur im Bereich von 200 bis 400°C erwärmt wird.
  • Beispiele für weitere Siloxanderivate, die an Stelle von Hexamethyldisiloxan verwendet werden können, umfassen 1,1,3,3-Tetramethyldisiloxan (H(CH3)2Si-O-Si(CH3)2H und 1,3,5,7-Tetramethylcyclotetrasiloxan, dargestellt durch die folgende Formel 16.
  • Formel 16
    Figure 00440001
  • Die fluorierte Kohlenstoffverbindung, die in diesem Verfahren verwendet wurde, ist nicht auf Perfluordecalin beschränkt, und es können ebenfalls die Verbindungen verwendet werden, die entsprechend dem zweiten bis siebenten Verfahren verwendet wurden.
  • Entsprechend dem elften Verfahren wurde ein isolierender Zwischenfilm gebildet, indem ein Material, das als Hauptbestandteil ein Siloxanderivat enthält, einer Plasmapolymerisation unterworfen wurde; der isolierende Zwischenfilm kann alternativ erhalten werden, wenn ein Material, das als Hauptbestandteil ein Siloxanderivat enthält, mit einem Oxidationsmittel, wie z. B. O2 oder H2O, umgesetzt wird. In diesem Fall werden gasförmiger Sauerstoff oder Wasserdampf über die dritte Gaszufuhrleitung 23 in die Reaktionskammer 11 eingebracht.
  • Entsprechend dem ersten bis elften Verfahren wurde Argongas als Trägergas verwendet; es ist jedoch auch möglich, Wasserstoff, Stickstoff oder Helium an Stelle von Argon als Trägergas zu verwenden.
  • Entsprechend dem ersten bis elften Verfahren wurde der Probenträger 13, der als untere Elektrode diente, geerdet; wenn der Schalter 14 umgelegt wird, so dass die erste Hochfrequenzquelle 15 mit dem Probenträger 13 verbunden ist, kann das Plasma, das das in der Reaktionskammer 11 gebildete reaktive Gas umfasst, dem Probenträger 13 effektiv zugeführt werden, so dass die Geschwindigkeit, mit der der isolierende Zwischenfilm gebildet wird, um das etwa 2- bis 5-fache erhöht werden kann.

Claims (4)

  1. Verfahren zur Herstellung eines isolierenden Zwischenfilms, bei dem ein Material, das als Hauptbestandteil eine fluorierte Kohlenstoffverbindung mit einer polycyclischen Struktur enthält, einer Plasmapolymerisation unterworfen wird, um einen isolierenden Zwischenfilm auf der Basis von fluoriertem amorphem Kohlenstoff zu bilden.
  2. Verfahren zur Herstellung eines isolierenden Zwischenfilms nach Anspruch 1, wobei die fluorierte Kohlenstoffverbindung nur aus Kohlenstoffatomen und Fluoratomen besteht.
  3. Verfahren zur Herstellung eines isolierenden Zwischenfilms nach Anspruch 1, wobei die fluorierte Kohlenstoffverbindung eine kondensierte cyclische Struktur umfasst.
  4. Verfahren zur Herstellung eines isolierenden Zwischenfilms nach Anspruch 3, wobei die fluorierte Kohlenstoffverbindung Perfluordecalin, Perfluorfluoren oder Perfluor(tetradecahydrophenanthren) ist.
DE69731566T 1996-08-29 1997-08-29 Verfahren zum Herstellen eines isolierenden Zwischenfilms Expired - Fee Related DE69731566T2 (de)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP22811296 1996-08-29
JP22811296 1996-08-29
JP26857196 1996-10-09
JP26857196 1996-10-09
JP2352297 1997-02-06
JP2352297 1997-02-06

Publications (2)

Publication Number Publication Date
DE69731566D1 DE69731566D1 (de) 2004-12-16
DE69731566T2 true DE69731566T2 (de) 2005-03-24

Family

ID=27284296

Family Applications (4)

Application Number Title Priority Date Filing Date
DE69711515T Expired - Fee Related DE69711515T2 (de) 1996-08-29 1997-08-29 Verfahren zum Herstellen eines isolierenden Zwischenfilms
DE69731566T Expired - Fee Related DE69731566T2 (de) 1996-08-29 1997-08-29 Verfahren zum Herstellen eines isolierenden Zwischenfilms
DE69727846T Expired - Fee Related DE69727846T2 (de) 1996-08-29 1997-08-29 Verfahren zum Herstellen eines isolierenden Zwischenfilms
DE69729490T Expired - Fee Related DE69729490T2 (de) 1996-08-29 1997-08-29 Verfahren zum Herstellen eines isolierenden Zwischenfilms

Family Applications Before (1)

Application Number Title Priority Date Filing Date
DE69711515T Expired - Fee Related DE69711515T2 (de) 1996-08-29 1997-08-29 Verfahren zum Herstellen eines isolierenden Zwischenfilms

Family Applications After (2)

Application Number Title Priority Date Filing Date
DE69727846T Expired - Fee Related DE69727846T2 (de) 1996-08-29 1997-08-29 Verfahren zum Herstellen eines isolierenden Zwischenfilms
DE69729490T Expired - Fee Related DE69729490T2 (de) 1996-08-29 1997-08-29 Verfahren zum Herstellen eines isolierenden Zwischenfilms

Country Status (3)

Country Link
US (3) US5989998A (de)
EP (4) EP1207217B1 (de)
DE (4) DE69711515T2 (de)

Families Citing this family (182)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
JP3173426B2 (ja) * 1997-06-09 2001-06-04 日本電気株式会社 シリカ絶縁膜の製造方法及び半導体装置の製造方法
US6121159A (en) 1997-06-19 2000-09-19 Lsi Logic Corporation Polymeric dielectric layers having low dielectric constants and improved adhesion to metal lines
US6731007B1 (en) * 1997-08-29 2004-05-04 Hitachi, Ltd. Semiconductor integrated circuit device with vertically stacked conductor interconnections
DE69836146T2 (de) * 1997-11-27 2007-08-23 Tokyo Electron Ltd. Plasma-abscheidung von filmen
US6432846B1 (en) 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6852650B2 (en) * 1998-02-05 2005-02-08 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6881683B2 (en) * 1998-02-05 2005-04-19 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6593247B1 (en) * 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
JP3305251B2 (ja) * 1998-02-26 2002-07-22 松下電器産業株式会社 配線構造体の形成方法
US6207545B1 (en) * 1998-11-30 2001-03-27 Taiwan Semiconductor Manufacturing Corporation Method for forming a T-shaped plug having increased contact area
US6252303B1 (en) 1998-12-02 2001-06-26 Advanced Micro Devices, Inc. Intergration of low-K SiOF as inter-layer dielectric
US6177364B1 (en) * 1998-12-02 2001-01-23 Advanced Micro Devices, Inc. Integration of low-K SiOF for damascene structure
JP2000174123A (ja) * 1998-12-09 2000-06-23 Nec Corp 半導体装置及びその製造方法
FR2789804B1 (fr) * 1999-02-17 2002-08-23 France Telecom Procede de gravure anisotrope par plasma gazeux d'un materiau polymere dielectrique organique et application a la microelectronique
GB2361809B (en) 1999-02-26 2003-11-05 Trikon Holdings Ltd A method of processing a polymer layer
US6849923B2 (en) * 1999-03-12 2005-02-01 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
JP4270632B2 (ja) 1999-03-12 2009-06-03 株式会社東芝 ドライエッチングを用いた半導体装置の製造方法
US20060017162A1 (en) * 1999-03-12 2006-01-26 Shoji Seta Semiconductor device and manufacturing method of the same
JP2000332010A (ja) * 1999-03-17 2000-11-30 Canon Sales Co Inc 層間絶縁膜の形成方法及び半導体装置
US6114233A (en) * 1999-05-12 2000-09-05 United Microelectronics Corp. Dual damascene process using low-dielectric constant materials
WO2001001472A1 (en) * 1999-06-26 2001-01-04 Trikon Holdings Limited Method and apparatus for forming a film on a substrate
US6458720B1 (en) * 1999-07-23 2002-10-01 Matsushita Electric Industrial Co., Ltd. Method for forming interlayer dielectric film
JP2001044191A (ja) * 1999-07-27 2001-02-16 Sony Corp 積層絶縁膜とその製造方法および半導体装置とその製造方法
JP2001077086A (ja) * 1999-08-31 2001-03-23 Oki Electric Ind Co Ltd 半導体装置のドライエッチング方法
US6498399B2 (en) * 1999-09-08 2002-12-24 Alliedsignal Inc. Low dielectric-constant dielectric for etchstop in dual damascene backend of integrated circuits
US6391795B1 (en) * 1999-10-22 2002-05-21 Lsi Logic Corporation Low k dielectric composite layer for intergrated circuit structure which provides void-free low k dielectric material between metal lines while mitigating via poisoning
JP3838614B2 (ja) * 1999-11-10 2006-10-25 松下電器産業株式会社 半導体装置の製造方法
DE19960092A1 (de) * 1999-12-14 2001-07-12 Bosch Gmbh Robert Beschichtungsverfahren
JP3348084B2 (ja) 1999-12-28 2002-11-20 キヤノン販売株式会社 成膜方法及び半導体装置
JP3615979B2 (ja) * 2000-01-18 2005-02-02 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
EP1123991A3 (de) 2000-02-08 2002-11-13 Asm Japan K.K. Materialen mit niedrieger Dielektrizitätskonstante und Verfahren
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
JP2001267310A (ja) * 2000-03-17 2001-09-28 Tokyo Electron Ltd プラズマ成膜方法及びその装置
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
EP1149933A1 (de) 2000-04-28 2001-10-31 STMicroelectronics S.r.l. Prozess zur Abscheidung von dielectrischen Filmen mit niedriger Dielektrizitätskonstante
US6521546B1 (en) 2000-06-14 2003-02-18 Applied Materials, Inc. Method of making a fluoro-organosilicate layer
US6492731B1 (en) 2000-06-27 2002-12-10 Lsi Logic Corporation Composite low dielectric constant film for integrated circuit structure
CN1194393C (zh) * 2000-06-30 2005-03-23 东京威力科创股份有限公司 半导体器件制造工艺
FR2811686B1 (fr) * 2000-07-17 2003-01-10 Commissariat Energie Atomique Procede de fabrication de couches de carbone aptes a emettre des electrons, par depot chimique en phase vapeur
US6835669B2 (en) * 2000-07-21 2004-12-28 Canon Sales Co., Inc. Film forming method, semiconductor device and semiconductor device manufacturing method
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6573196B1 (en) * 2000-08-12 2003-06-03 Applied Materials Inc. Method of depositing organosilicate layers
KR100795714B1 (ko) * 2000-08-21 2008-01-21 다우 글로벌 테크놀로지스 인크. 마이크로일렉트로닉 장치의 제조에 있어서 유기 중합체유전체용 하드마스크로서의 유기 규산염 수지
JP2002075980A (ja) * 2000-08-30 2002-03-15 Miyazaki Oki Electric Co Ltd 真空紫外光cvdによる低誘電体膜の製造方法
US6489242B1 (en) 2000-09-13 2002-12-03 Lsi Logic Corporation Process for planarization of integrated circuit structure which inhibits cracking of low dielectric constant dielectric material adjacent underlying raised structures
JP3934387B2 (ja) * 2000-10-18 2007-06-20 日本エー・エス・エム株式会社 半導体基板上に低誘電率を有する膜を形成する方法
US6768200B2 (en) 2000-10-25 2004-07-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
EP1352107A2 (de) * 2000-10-25 2003-10-15 International Business Machines Corporation Ein material mit ultra-niedriger dielektrizitätskonstante als dielektrikum innerhalb einer schicht oder zwischen schichten in einem halbleiterbauelement, ein verfahren zu dessen herstellung und ein elektronisches bauelement mit diesem material
US6531398B1 (en) * 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
US6537923B1 (en) 2000-10-31 2003-03-25 Lsi Logic Corporation Process for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6423630B1 (en) 2000-10-31 2002-07-23 Lsi Logic Corporation Process for forming low K dielectric material between metal lines
US6607967B1 (en) 2000-11-15 2003-08-19 Lsi Logic Corporation Process for forming planarized isolation trench in integrated circuit structure on semiconductor substrate
US6905981B1 (en) 2000-11-24 2005-06-14 Asm Japan K.K. Low-k dielectric materials and processes
US6500773B1 (en) 2000-11-27 2002-12-31 Applied Materials, Inc. Method of depositing organosilicate layers
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6455443B1 (en) * 2001-02-21 2002-09-24 International Business Machines Corporation Method of fabricating low-dielectric constant interlevel dielectric films for BEOL interconnects with enhanced adhesion and low-defect density
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6858195B2 (en) 2001-02-23 2005-02-22 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material
US6572925B2 (en) 2001-02-23 2003-06-03 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon containing silicon oxide dielectric material
US6649219B2 (en) 2001-02-23 2003-11-18 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material characterized by improved resistance to oxidation
US6593248B2 (en) 2001-03-23 2003-07-15 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6472333B2 (en) 2001-03-28 2002-10-29 Applied Materials, Inc. Silicon carbide cap layers for low dielectric constant silicon oxide layers
US6777171B2 (en) 2001-04-20 2004-08-17 Applied Materials, Inc. Fluorine-containing layers for damascene structures
US7074489B2 (en) 2001-05-23 2006-07-11 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6559048B1 (en) 2001-05-30 2003-05-06 Lsi Logic Corporation Method of making a sloped sidewall via for integrated circuit structure to suppress via poisoning
US6583026B1 (en) 2001-05-31 2003-06-24 Lsi Logic Corporation Process for forming a low k carbon-doped silicon oxide dielectric material on an integrated circuit structure
US6562700B1 (en) 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US6599826B2 (en) * 2001-06-01 2003-07-29 United Microelectronics Corp. Method for fabricating a low dielectric constant material layer
US6566171B1 (en) 2001-06-12 2003-05-20 Lsi Logic Corporation Fuse construction for integrated circuit structure having low dielectric constant dielectric material
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US6930056B1 (en) 2001-06-19 2005-08-16 Lsi Logic Corporation Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure
US6559033B1 (en) 2001-06-27 2003-05-06 Lsi Logic Corporation Processing for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US7183201B2 (en) * 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US6881664B2 (en) * 2001-08-28 2005-04-19 Lsi Logic Corporation Process for planarizing upper surface of damascene wiring structure for integrated circuit structures
US6521520B1 (en) 2001-08-30 2003-02-18 Lsi Logic Corporation Semiconductor wafer arrangement and method of processing a semiconductor wafer
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US6528423B1 (en) 2001-10-26 2003-03-04 Lsi Logic Corporation Process for forming composite of barrier layers of dielectric material to inhibit migration of copper from copper metal interconnect of integrated circuit structure into adjacent layer of low k dielectric material
US6613665B1 (en) 2001-10-26 2003-09-02 Lsi Logic Corporation Process for forming integrated circuit structure comprising layer of low k dielectric material having antireflective properties in an upper surface
US7001823B1 (en) 2001-11-14 2006-02-21 Lsi Logic Corporation Method of manufacturing a shallow trench isolation structure with low trench parasitic capacitance
US6537896B1 (en) 2001-12-04 2003-03-25 Lsi Logic Corporation Process for treating porous low k dielectric material in damascene structure to form a non-porous dielectric diffusion barrier on etched via and trench surfaces in the porous low k dielectric material
US6562735B1 (en) 2001-12-11 2003-05-13 Lsi Logic Corporation Control of reaction rate in formation of low k carbon-containing silicon oxide dielectric material using organosilane, unsubstituted silane, and hydrogen peroxide reactants
US6699784B2 (en) 2001-12-14 2004-03-02 Applied Materials Inc. Method for depositing a low k dielectric film (K>3.5) for hard mask application
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6838393B2 (en) 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
KR101227664B1 (ko) 2002-01-31 2013-01-29 도소 가부시키가이샤 유기실란화합물을 포함하여 구성되는 절연막용 재료, 그 제조방법 및 반도체장치
JP2003234180A (ja) * 2002-02-08 2003-08-22 Nippon Zeon Co Ltd 有機エレクトロルミネッセンス素子用カバー膜、並びにそれを用いた有機エレクトロルミネッセンス素子及びその製造方法
US6818570B2 (en) * 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
US6849562B2 (en) * 2002-03-04 2005-02-01 Applied Materials, Inc. Method of depositing a low k dielectric barrier film for copper damascene application
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6936309B2 (en) 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US6858548B2 (en) * 2002-04-18 2005-02-22 Applied Materials, Inc. Application of carbon doped silicon oxide film to flat panel industry
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
TWI288443B (en) 2002-05-17 2007-10-11 Semiconductor Energy Lab SiN film, semiconductor device, and the manufacturing method thereof
US6927178B2 (en) 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
US7105460B2 (en) * 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
US6939808B2 (en) * 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
JP3967253B2 (ja) * 2002-11-08 2007-08-29 東京エレクトロン株式会社 多孔質絶縁膜の形成方法及び多孔質絶縁膜の形成装置
TWI282124B (en) * 2002-11-28 2007-06-01 Tosoh Corp Insulating film material containing an organic silane compound, its production method and semiconductor device
CN101442003B (zh) * 2002-11-28 2012-12-26 东曹株式会社 含有有机硅烷、有机硅氧烷化合物形成的绝缘膜用材料、其制造方法和半导体器件
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US6897163B2 (en) 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US6740602B1 (en) * 2003-03-17 2004-05-25 Asm Japan K.K. Method of forming low-dielectric constant film on semiconductor substrate by plasma reaction using high-RF power
US8137764B2 (en) * 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
WO2005004221A2 (en) * 2003-07-08 2005-01-13 Silecs Oy Low-k-dielectric material
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
CN101556948B (zh) * 2003-08-15 2012-09-19 东京毅力科创株式会社 半导体装置、半导体装置的制造方法及等离子体cvd用气体
JP4413556B2 (ja) * 2003-08-15 2010-02-10 東京エレクトロン株式会社 成膜方法、半導体装置の製造方法
JP5009527B2 (ja) * 2003-08-15 2012-08-22 東京エレクトロン株式会社 半導体装置、半導体装置の製造方法及びプラズマcvd用ガス
EP1662556B1 (de) * 2003-08-25 2013-11-06 Ulvac, Inc. Prozess zur herstellung eines oxid-dünnfilms
US7520790B2 (en) 2003-09-19 2009-04-21 Semiconductor Energy Laboratory Co., Ltd. Display device and manufacturing method of display device
CN100446193C (zh) * 2004-02-13 2008-12-24 松下电器产业株式会社 有机无机混合绝缘膜的形成方法
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US20050214457A1 (en) * 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
US7229911B2 (en) 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
CN1976790A (zh) * 2004-05-04 2007-06-06 米纽塔技术株式会社 由非晶体氟树脂制成的模及其制造方法
US7098105B2 (en) * 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
KR100685675B1 (ko) * 2004-06-30 2007-02-23 주식회사 하이닉스반도체 반도체소자의 콘택홀 형성 방법
US7288205B2 (en) 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7442976B2 (en) * 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US8139131B2 (en) * 2005-01-18 2012-03-20 Panasonic Corporation Solid state imaging device and fabrication method thereof, and camera incorporating the solid state imaging device
US7902598B2 (en) * 2005-06-24 2011-03-08 Micron Technology, Inc. Two-sided surround access transistor for a 4.5F2 DRAM cell
US7768051B2 (en) * 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US7842558B2 (en) * 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7476933B2 (en) * 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7297376B1 (en) 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
US20100034970A1 (en) * 2006-07-28 2010-02-11 Daisankasei Co., Ltd. Apparatus and method for chemical vapor deposition
TWI418036B (zh) 2006-12-05 2013-12-01 Semiconductor Energy Lab 半導體裝置及其製造方法
US7968884B2 (en) * 2006-12-05 2011-06-28 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8298965B2 (en) * 2008-09-03 2012-10-30 American Air Liquide, Inc. Volatile precursors for deposition of C-linked SiCOH dielectrics
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
TWI535028B (zh) 2009-12-21 2016-05-21 半導體能源研究所股份有限公司 薄膜電晶體
KR101836067B1 (ko) 2009-12-21 2018-03-08 가부시키가이샤 한도오따이 에네루기 켄큐쇼 박막 트랜지스터와 그 제작 방법
US8476744B2 (en) 2009-12-28 2013-07-02 Semiconductor Energy Laboratory Co., Ltd. Thin film transistor with channel including microcrystalline and amorphous semiconductor regions
US8932674B2 (en) 2010-02-17 2015-01-13 American Air Liquide, Inc. Vapor deposition methods of SiCOH low-k films
US9230826B2 (en) 2010-08-26 2016-01-05 Semiconductor Energy Laboratory Co., Ltd. Etching method using mixed gas and method for manufacturing semiconductor device
US8704230B2 (en) 2010-08-26 2014-04-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
WO2015157202A1 (en) 2014-04-09 2015-10-15 Corning Incorporated Device modified substrate article and methods for making
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
JP6770432B2 (ja) 2014-01-27 2020-10-14 コーニング インコーポレイテッド 薄いシートの担体との制御された結合のための物品および方法
WO2016187186A1 (en) 2015-05-19 2016-11-24 Corning Incorporated Articles and methods for bonding sheets with carriers
CN107810168A (zh) 2015-06-26 2018-03-16 康宁股份有限公司 包含板材和载体的方法和制品
US10283348B2 (en) * 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
US20170358445A1 (en) 2016-06-13 2017-12-14 Gvd Corporation Methods for plasma depositing polymers comprising cyclic siloxanes and related compositions and articles
US11679412B2 (en) 2016-06-13 2023-06-20 Gvd Corporation Methods for plasma depositing polymers comprising cyclic siloxanes and related compositions and articles
TW201825623A (zh) 2016-08-30 2018-07-16 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI821867B (zh) 2016-08-31 2023-11-11 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
CN111615567B (zh) 2017-12-15 2023-04-14 康宁股份有限公司 用于处理基板的方法和用于制备包括粘合片材的制品的方法
US11600486B2 (en) 2020-09-15 2023-03-07 Applied Materials, Inc. Systems and methods for depositing low-κdielectric films
US11621162B2 (en) 2020-10-05 2023-04-04 Applied Materials, Inc. Systems and methods for forming UV-cured low-κ dielectric films

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3632386A (en) * 1968-10-31 1972-01-04 Arbco Inc Treated silicone surface
CA1077787A (en) * 1975-11-21 1980-05-20 National Aeronautics And Space Administration Abrasion resistant coatings for plastic surfaces
JPS5949215B2 (ja) * 1976-11-27 1984-12-01 日本化薬株式会社 メタクリル酸又はアクリル酸の製造方法
US4252848A (en) * 1977-04-11 1981-02-24 Rca Corporation Perfluorinated polymer thin films
US4123308A (en) * 1977-10-19 1978-10-31 Union Carbide Corporation Process for chemically bonding a poly-p-xylylene to a thermosetting resin and article produced thereby
SE7804052L (sv) 1978-04-11 1979-10-12 Atlas Copco Ab Bergborrmaskin
US4435476A (en) * 1982-08-18 1984-03-06 Foster Grant Corporation Method of making an abrasion resistant coating on a solid substrate and articles produced thereby
US4599243A (en) * 1982-12-23 1986-07-08 International Business Machines Corporation Use of plasma polymerized organosilicon films in fabrication of lift-off masks
US4493855A (en) * 1982-12-23 1985-01-15 International Business Machines Corporation Use of plasma polymerized organosilicon films in fabrication of lift-off masks
US4590117A (en) * 1983-03-10 1986-05-20 Toray Industries, Inc. Transparent material having antireflective coating
US4693927A (en) * 1984-03-19 1987-09-15 Fuji Photo Film Company Limited Magnetic recording medium and process for producing the same
KR870000750A (ko) * 1985-06-14 1987-02-20 이마드 마하윌리 이산화실리콘 필름을 화학적으로 증기피복하는 방법
DE3531578A1 (de) * 1985-09-04 1987-03-05 Siemens Ag Verfahren zum herstellen von dielektrikumsschichten durch polymerisation von gasen
EP0393271A1 (de) * 1987-08-08 1990-10-24 The Standard Oil Company Dünnschichtüberzüge aus Fluorpolymer und Verfahren zu ihrer Herstellung durch Plasmapolymerisation
RO101057B1 (en) * 1988-04-27 1992-11-23 Amorphous silicon and polysilicon thin layers coating method for electronic device production
JPH03101123A (ja) * 1989-09-13 1991-04-25 Agency Of Ind Science & Technol 非晶質系半導体膜の製造法
DE69101116T2 (de) * 1990-02-21 1994-08-25 Bridgestone Corp Elektrorheologische Flüssigkeit.
US5112025A (en) * 1990-02-22 1992-05-12 Tdk Corporation Molds having wear resistant release coatings
US5198263A (en) * 1991-03-15 1993-03-30 The United States Of America As Represented By The United States Department Of Energy High rate chemical vapor deposition of carbon films using fluorinated gases
US5270082A (en) * 1991-04-15 1993-12-14 Lin Tyau Jeen Organic vapor deposition process for corrosion protection of metal substrates
DE4126759A1 (de) * 1991-08-13 1993-02-18 Siemens Ag Verfahren zur erzeugung siliciumhaltiger organischer schichten
EP0560617A3 (en) * 1992-03-13 1993-11-24 Kawasaki Steel Co Method of manufacturing insulating film on semiconductor device and apparatus for carrying out the same
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5298587A (en) * 1992-12-21 1994-03-29 The Dow Chemical Company Protective film for articles and method
JPH0758100A (ja) 1993-08-10 1995-03-03 Kawasaki Steel Corp 半導体装置の製造方法
JP3419045B2 (ja) * 1993-10-20 2003-06-23 松下電器産業株式会社 磁気記録媒体の製造方法
JPH07183292A (ja) 1993-12-24 1995-07-21 Kawasaki Steel Corp 半導体装置の製造方法
JPH07254592A (ja) * 1994-03-16 1995-10-03 Fujitsu Ltd 半導体装置の製造方法
JP2748864B2 (ja) 1994-09-12 1998-05-13 日本電気株式会社 半導体装置及びその製造方法及び非晶質炭素膜の製造方法及びプラズマcvd装置
CA2157257C (en) * 1994-09-12 1999-08-10 Kazuhiko Endo Semiconductor device with amorphous carbon layer and method of fabricating the same
US5462784A (en) * 1994-11-02 1995-10-31 International Business Machines Corporation Fluorinated diamond-like carbon protective coating for magnetic recording media devices
JP2655821B2 (ja) 1994-11-16 1997-09-24 工業技術院長 一方向電気伝導性ケイ素系プラズマ重合膜及びその製造方法
JP3482725B2 (ja) 1995-02-23 2004-01-06 東亞合成株式会社 フッ素含有シリコン酸化膜の製造方法
US5637351A (en) 1995-05-11 1997-06-10 Air Products And Chemicals, Inc. Chemical vapor deposition (CVD) of silicon dioxide films using oxygen-silicon source reactants and a free radical promoter
JP3401993B2 (ja) * 1995-05-26 2003-04-28 ソニー株式会社 層間絶縁膜および層間絶縁膜の形成方法
JPH0969518A (ja) 1995-08-31 1997-03-11 Sony Corp シリコン化合物系絶縁膜の成膜方法
JP2737720B2 (ja) * 1995-10-12 1998-04-08 日本電気株式会社 薄膜形成方法及び装置
AU7435896A (en) * 1995-10-13 1997-04-30 Dow Chemical Company, The Coated plastic substrate
US5942328A (en) 1996-02-29 1999-08-24 International Business Machines Corporation Low dielectric constant amorphous fluorinated carbon and method of preparation
JP3666106B2 (ja) 1996-02-29 2005-06-29 ソニー株式会社 半導体装置の製造方法
JP2850834B2 (ja) 1996-03-07 1999-01-27 日本電気株式会社 非晶質炭素膜の製造方法及び半導体装置
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
JP3260352B2 (ja) 1996-08-29 2002-02-25 松下電器産業株式会社 層間絶縁膜の形成方法
JP3226524B1 (ja) 1996-08-29 2001-11-05 松下電器産業株式会社 層間絶縁膜の形成方法
JP3538159B2 (ja) 1996-08-29 2004-06-14 松下電器産業株式会社 層間絶縁膜の形成方法
JP3226479B2 (ja) 1996-08-29 2001-11-05 松下電器産業株式会社 層間絶縁膜の形成方法
KR100205318B1 (ko) 1996-10-11 1999-07-01 구본준 자유전율의 절연막 제조방법
US6310300B1 (en) * 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
JP3409984B2 (ja) * 1996-11-14 2003-05-26 東京エレクトロン株式会社 半導体装置及び半導体装置の製造方法
JPH10214829A (ja) 1997-01-28 1998-08-11 Matsushita Electric Ind Co Ltd 層間絶縁膜の形成方法
JPH10242143A (ja) 1997-02-27 1998-09-11 Mitsubishi Electric Corp 半導体装置、半導体装置の製造方法及び半導体装置の絶縁膜形成方法
JP3202657B2 (ja) 1997-05-23 2001-08-27 日本電気株式会社 半導体装置の製造方法
JP3178375B2 (ja) * 1997-06-03 2001-06-18 日本電気株式会社 絶縁膜の形成方法
JP3173426B2 (ja) 1997-06-09 2001-06-04 日本電気株式会社 シリカ絶縁膜の製造方法及び半導体装置の製造方法
US6051321A (en) * 1997-10-24 2000-04-18 Quester Technology, Inc. Low dielectric constant materials and method
US6660656B2 (en) * 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US5900290A (en) * 1998-02-13 1999-05-04 Sharp Microelectronics Technology, Inc. Method of making low-k fluorinated amorphous carbon dielectric
JP3189781B2 (ja) * 1998-04-08 2001-07-16 日本電気株式会社 半導体装置の製造方法
US6184572B1 (en) * 1998-04-29 2001-02-06 Novellus Systems, Inc. Interlevel dielectric stack containing plasma deposited fluorinated amorphous carbon films for semiconductor devices
US6716770B2 (en) * 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD

Also Published As

Publication number Publication date
DE69727846T2 (de) 2004-07-15
EP0826791B1 (de) 2002-04-03
EP1207217B1 (de) 2004-11-10
EP1050599A2 (de) 2000-11-08
EP0826791A3 (de) 1998-08-12
US5989998A (en) 1999-11-23
DE69729490T2 (de) 2004-10-07
EP1182275A2 (de) 2002-02-27
US20030203655A1 (en) 2003-10-30
US20020004298A1 (en) 2002-01-10
EP0826791A2 (de) 1998-03-04
EP1050599B1 (de) 2004-06-09
US6558756B2 (en) 2003-05-06
DE69731566D1 (de) 2004-12-16
EP1182275B1 (de) 2004-02-25
DE69729490D1 (de) 2004-07-15
EP1182275A3 (de) 2002-04-24
DE69727846D1 (de) 2004-04-01
EP1050599A3 (de) 2000-12-13
EP1207217A1 (de) 2002-05-22
US7060323B2 (en) 2006-06-13
DE69711515T2 (de) 2002-07-18
DE69711515D1 (de) 2002-05-08

Similar Documents

Publication Publication Date Title
DE69731566T2 (de) Verfahren zum Herstellen eines isolierenden Zwischenfilms
DE19781956B4 (de) Verfahren zum Aufbringen einer planarisierten dielektrischen Schicht auf einem Halbleitersubstrat
DE60005874T2 (de) Herstellungsverfahren für einen porösen Siliziumoxid-Film
DE69933598T2 (de) Dielektrikum aus fluoriertem amorphen Kohlenstoff mit einem niedrigen k-Wert, und Verfahren zu dessen Herstellung
DE60025072T2 (de) Verfahren zur Nachbehandlung einer abgeschiedenen, kohlenstoffhaltigen Schicht auf einem Substrat
DE3609681C2 (de) Verfahren zur Dünnfilmerzeugung
DE112005002692B3 (de) Verwendung polydentater Liganden zum Versiegeln von Poren in Low-k-Dielektrika, sowie damit hergestellte Halbleitervorrichtungen
DE60124965T2 (de) UV-Härtungsverfahren zur Erzeugung eines Films mit geringem k-Wert
DE69929771T2 (de) Plasmaabscheidungsprozess von dielektrischen filmen mit geringer dielektrizitätskonstante
DE10101766A1 (de) Verfahren und Vorrichtung zum Herstellen einer dünnen Schicht auf einem Substrat
DE60210337T2 (de) Verfahren zur herstellung eines films aus kohlenstoffdotiertem oxid
DE19904311A1 (de) Verfahren zum Aufbringen eines kohlenstoffdotierten Dünnfilms aus Siliciumoxid auf ein Substrat
DE102009023379B4 (de) Erzeugen einer hydrophoben Oberfläche empfindlicher Dielektrika mit kleinem ε von Mikrostrukturbauelementen durch eine in-situ-Plasmabehandlung
DE4140180C2 (de) Verfahren zur Herstellung einer Halbleitereinrichtung
EP0582724A1 (de) Verfahren zur lokal und global planarisierenden CVD-Abscheidung von SiO2-Schichten auf strukturierten Siliziumsubstraten
DE10238024B4 (de) Verfahren zur Integration von Luft als Dielektrikum in Halbleitervorrichtungen
DE60005875T2 (de) Herstellungsverfahren für einen porösen Siliziumdioxid-Film
DE60034979T2 (de) Eine verbesserte Methode für die Abscheidung und Planarisierung von fluorierten BPSG Filmen
DE112004003075B4 (de) Filme mit niedriger Dielektrizitätskonstante und Herstellungsverfahren für diese Filme sowie elektronische Bauteile, die diese Filme verwenden
DE69838226T2 (de) Verfahren zur plasmabehandlung
DE2805152A1 (de) Aus einem organischen polymerisat bestehendes dielektrikum und verfahren zu seiner herstellung
EP0013711A2 (de) Verfahren zum Ätzen von Chrom
DE102006029334A1 (de) Material zum Ausbilden eines Belichtungslicht blockierenden Films, mehrschichtige Verbindungsstruktur und Herstellungsverfahren dafür, und Halbleitervorrichtung
DE2302148C2 (de) Verfahren zur Herstellung eines Phosphorsilicatglasschichtmusters
EP0498258B1 (de) Mikromehrlagenverdrahtung

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee