DE69729659T2 - Mikrolinsen-rastereinrichtung für mikrolithografie und für konfokale mikroskopie mit grossem aufnahmefeld - Google Patents

Mikrolinsen-rastereinrichtung für mikrolithografie und für konfokale mikroskopie mit grossem aufnahmefeld Download PDF

Info

Publication number
DE69729659T2
DE69729659T2 DE69729659T DE69729659T DE69729659T2 DE 69729659 T2 DE69729659 T2 DE 69729659T2 DE 69729659 T DE69729659 T DE 69729659T DE 69729659 T DE69729659 T DE 69729659T DE 69729659 T2 DE69729659 T2 DE 69729659T2
Authority
DE
Germany
Prior art keywords
microlens
aperture
array
projection
focus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69729659T
Other languages
English (en)
Other versions
DE69729659D1 (de
Inventor
Kenneth C. Johnson
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Application granted granted Critical
Publication of DE69729659D1 publication Critical patent/DE69729659D1/de
Publication of DE69729659T2 publication Critical patent/DE69729659T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B21/00Microscopes
    • G02B21/0004Microscopes specially adapted for specific applications
    • G02B21/002Scanning microscopes
    • G02B21/0024Confocal scanning microscopes (CSOMs) or confocal "macroscopes"; Accessories which are not restricted to use with CSOMs, e.g. sample holders
    • G02B21/0036Scanning details, e.g. scanning stages
    • G02B21/0044Scanning details, e.g. scanning stages moving apertures, e.g. Nipkow disks, rotating lens arrays
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B21/00Microscopes
    • G02B21/0004Microscopes specially adapted for specific applications
    • G02B21/002Scanning microscopes
    • G02B21/0024Confocal scanning microscopes (CSOMs) or confocal "macroscopes"; Accessories which are not restricted to use with CSOMs, e.g. sample holders
    • G02B21/0028Confocal scanning microscopes (CSOMs) or confocal "macroscopes"; Accessories which are not restricted to use with CSOMs, e.g. sample holders specially adapted for specific applications, e.g. for endoscopes, ophthalmoscopes, attachments to conventional microscopes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70241Optical aspects of refractive lens systems, i.e. comprising only refractive elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70275Multiple projection paths, e.g. array of projection systems, microlens projection systems or tandem projection systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70358Scanning exposure, i.e. relative movement of patterned beam and workpiece during imaging

Description

  • QUERVERWEIS AUF VERWANDTE ANMELDUNG
  • Diese Anmeldung beansprucht Priorität von der vorläufigen Anmeldung 60/012 434, eingereicht am 28. Februar 1996.
  • HINTERGRUND DER ERFINDUNG
  • Der Erfindung bezieht sich auf zwei Gebiete, die als „Bildlesen" und „Bildschreiben" kategorisiert werden können. Ihre primäre beabsichtigte Anwendung auf dem Bildlesegebiet würde als ein konfokales Tandemabtast-Mikroskop sein, obwohl sie potenziell ebenfalls für andere Anwendungen, beispielsweise als ein hochauflösender Dokumentenscanner oder als ein Leser für optische Massenspeicher-Medien etc., verwendet werden könnte. Die primäre beabsichtigte Anwendung der Erfindung zum Bildschreiben würde als ein Mikrolithographiedrucker für die Halbleiterherstellung sein; dieses Gebiet kann jedoch ebenfalls Anwendungen, wie beispielsweise Dokumentdrucken, photographische Reproduktion, etc. umfassen. Die folgende Beschreibung wird sich auf konfokale Mikroskopie- und Mikrolithographie-Anwendungen konzentrieren, obwohl die Spezifikation durch offensichtliche Erweiterung ebenfalls auf andere Anwendungen angewendet werden kann.
  • Ein konfokales Mikroskop (Ref. 1) ist einem herkömmlichen Mikroskop mit der Ausnahme ähnlich, dass die Beleuchtung durch ein kleines Loch gefiltert wird, auf einen beugungsbegrenzten Mikrospot auf der Probe fokussiert wird, und (im Fall eines konfokalen Reflexionsmikroskops) wird das von der Probe reflektierte Licht erneut durch das gleiche Loch gefiltert. Der fokussierte Strahl wird über die Probe rasterabgetastet (indem entweder das Loch oder die Probe abgetastet wird), um ein hochauflösendes Rasterbild der Probe aufzubauen. (Ein konfokales Transmissionsmikroskop ist mit der Ausnahme ähnlich, dass getrennte Löcher verwendet werden, um die Beleuchtung und das Durchlicht zu filtern.) Im Vergleich zu herkömmlichen Mikroskopen weist ein konfokales Mikroskop eine hervorragende seitliche Bildauflösung auf und zeigt ebenfalls eine extrem feine Tiefenauflösung.
  • Ein konfokales Tandemabtast-Mikroskop des Nipkow-Typs (siehe Ref. 1, Kapitel 14) verwendet ein Array von Löchern anstatt eines einzigen Lochs, um eine sehr hohe Bild-Framerate zu erreichen. Die Löcher werden auf einer Platte ausgebildet, die sich mit einer hohen Rate dreht, um eine Echtzeit-Bildgebung bereitzustellen. Ein Nachteil des Systems von Nipkow-Typ besteht darin, dass seine Feldgröße durch die Leistung herkömmlicher Mikroskopobjektive begrenzt ist. Mit den Feldgrößenbegrenzungen handelsüblicher Hochleistungsobjektive würde sogar für ein System vom Nipkow-Typ mit seiner hohen Bildframerate eine sehr lange Zeit benötigt werden, um beispielsweise einen vollständigen Halbleiterwafer abzutasten.
  • Im Vergleich zu typischen Mikroskopie-Anwendungen sind Feldgrößenanforderungen für Mikrolithographie-Schrittmotoren weit anspruchsvoller. Aktuelle Schrittmotoren müssen eine Bildgebungsleistung mit hoher Auflösung, geebnetem Feld und niedriger Verzerrung vergleichbar mit Hochqualitäts-Mikroskopobjektiven, jedoch über eine Feldgröße von ungefähr 20 mm oder größer, erreichen. Dieses Leistungsniveau wird durch Verwenden von massiven Multielement-Allglas-Projektionslinsen oder katadioptrischen Systemen, wie beispielsweise den Perkin-Elmer Micralign und Wynne-Dyson Systeme (Ref. 2, Kap. 8), erreicht. Die Optik muss bei derartigen Systemen auf Submikrometer-Genauigkeiten hergestellt werden, und Submikrometer-Ausrichtung und Maßbeständigkeitstoleranzen müssen über sehr große Abstände zwischen massiven optischen und mechanischen Komponenten gehalten werden, um Auflösungs-, Brennpunkt- und Überlagerungsgenauigkeit beizubehalten. Die mit den kombinierten Anforderungen für hohe Bildauflösung und große Feldgröße verbundenen technischen Schwierigkeiten stellen erhebliche Herausforderungen für einen weiteren Fortschritt der optischen Lithographie für Halbleiteranwendungen dar.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Die oben erwähnten Probleme werden durch das System gelöst, wie in den Ansprüchen dargelegt.
  • Die Erfindung stellt Drucksysteme und Techniken bereit, die den Kompromiss zwischen Bildauflösung und Feldgröße umgehen, der die Quelle von viel der Komplexität und dem Aufwand von herkömmlichen Weitwinkel-Mikroskopiesystemen mit hoher Na und Mikrolithographiesystemen ist.
  • Dies wird kurz gesagt dadurch erreicht, dass ein Bildprojektionssystem mit vergleichsweise niedriger Auflösung, das eine sehr kleine numerische Apertur jedoch ein großes Bildfeld aufweist, in Verbindung mit einem Mikrolinsen-Array verwendet wird, das Miniaturlinsenelemente mit einer großen numerischen Apertur jedoch einem sehr kleinen Feld umfasst. Das Projektionssystem enthält eine kleine Aperturblende, die von den Mikrolinsen auf ein Array von beugungsbegrenzten Mikrospots auf der Mikroskop-Probe oder Druckoberfläche an den Mikrolinsen-Brennpunktpositionen abgebildet wird, und die Oberfläche wird abgetastet, um ein vollständiges Rasterbild von dem Brennpunkt-Array aufzubauen.
  • In Übereinstimmung mit der vorliegenden Erfindung umfasst ein Drucksystem:
    ein optisches Projektionssystem mit einer Objektebene, einer Bildebene, die zu der Objektebene konjugiert ist, und einer begrenzenden Aperturblende, die als die Projektions-Apertur bezeichnet wird;
    ein planares Array von Mikrolinsen mit jeweiligen Aperturen, die ein Mikrolinsen-Aperturarray definieren, wobei das Array an der Bildebene des Projektionssystems positioniert ist, und wobei die Mikrolinsen jeweilige Brennpunkte aufweisen, die mit der Projektions-Apertur konjugiert sind und die ein Brennpunkt-Array definieren;
    einen Abtastmechanismus, der eine relative Bewegung zwischen dem Mikrolinsen-Array und einer Druckoberfläche nahe dem Brennpunkt-Array festlegt, wobei die von den Brennpunkten bezüglich der Druckoberfläche durchlaufenden Pfade einen Satz von eng beabstandeten Rasterlinien umfassen;
    eine Bildquelle mit einem Array von lichtmodulierten Bildquellenelementen, wobei die Bildquelle an der Objektebene des Projektionssystems positioniert ist, und wobei das Projektionssystem jedes Bildquellenelemente auf eine entsprechende Mikrolinsen-Apertur abbildet, und das Bildquellenelement somit die Lichtintensität über einen Mikrospot auf der Druckoberfläche nahe dem entsprechenden Mikrolinsenbrennpunkt steuert; und
    einen Bildmodulationsmechanismus, der die Bildquelle steuert, wenn die Druckoberfläche abgetastet wird, wodurch, wenn ein photoempfindliches Material in der Druckoberfläche positioniert ist, ein synthetisiertes hochauflösendes Rasterbild auf dem photoempfindlichen Material aufgezeichnet wird.
  • Die kritischen Abmessungs- und Ausrichtungstoleranzen des Systems werden in dem Mikrolinsen-Array selbst und in seiner Positionierung bezüglich der Proben- oder Druckoberfläche lokalisiert. Dies minimiert die Empfindlichkeit des Systems gegen Summierung von Abmessungstoleranzen, thermischen Effekten und Gewichtsbelastung, die bei herkömmlichen Mikrobildgebungssystemen problematisch sind. Für die Mikrolithographieanwendung kann das Mikrolinsen-Array ebenfalls als das Bildgebungselement eines Positionscodierers arbeiten, der die Ausrichtung des Arrays bezüglich der Druckoberfläche steuert. Indem die Belichtungsbildgebungsoptik und die Positioniercodiereroptik ein und dieselbe gemacht wird, wird die Summierung von Abmessungstoleranzen in dem Positionierservomechanismus auf ein Minimum gehalten. Das Mikrolinsen-Positionierservo könnte die X-Y-Positionierung, den Brennpunkt und die Neigung genau und präzise steuern; und bei einer Ausführungsform könnte es ebenfalls die Verwölbung in entweder der Druckoberfläche oder dem Linsen-Array selbst ausgleichen.
  • Ein weiteres Verständnis der Art und der Vorteile der vorliegenden Erfindung können mit Bezug auf die verbleibenden Teile der Spezifikation und der Zeichnungen realisiert werden.
  • KURZBESCHREIBUNG DER ZEICHNUNGEN
  • 1 veranschaulicht eine einfache Anordnung, die als ein konfokales Tandemabtast-Mikroskop arbeiten könnte;
  • 2 veranschaulicht eine Ausführungsform der Erfindung, die als ein Lithographiedrucker arbeiten könnte;
  • 3 veranschaulicht eine Variante des Lithographiesystems, die die Druck- und mikroskopischen Bildgebungsfunktionen in einer einzigen Vorrichtung kombiniert;
  • 4 bis 6 veranschaulichen verschiedene alternative Abtastmuster, die verwendet werden könnten, einschließlich der bidirektionalen Rasterabtastung (4), der kontinuierlichen Linienabtastung (5) und der segmentierten Linienabtastung oder „Mehrfachabtastung" (6);
  • 7 veranschaulicht Fokusebenen-Feldkoordinaten (X, Y) und Aperturebenen-Koordinaten (X', Y') einer Mikrolinse;
  • 8 veranschaulicht die Punkt-, Linien- und Ebenen-Belichtungsprofile einer Mikrolinse;
  • 9 veranschaulicht das Belichtungsprofil (E als Funktion von X) für eine Bildstruktur und ihr Komplement;
  • 10 veranschaulicht Konturen konstanter Belichtung für verschiedene positive Linienbilder;
  • 11af veranschaulichen eine Mehrebenen-Verarbeitungsprozedur zum Ausführen der logischen Operationen der Konjunktion (logisches UND) und der Disjunktion (logisches ODER);
  • 12 und 13 zeigen einfache Darstellungen von Boolescher Zusammensetzung;
  • 14 veranschaulicht die grundlegenden Auslegungskompromisse bezüglich der Aperturgröße und Mikrolinsenbeabstandung;
  • 15 veranschaulicht eine mögliche Konfiguration für die Mikrolinsenausgestaltung;
  • 16ag veranschaulichen einen auf lasergestütztes chemischen Ätzen basierenden Fertigungsprozess;
  • 17 veranschaulicht das Belichtungsverfahren für Mikrolinsennachbildung;
  • 18 veranschaulicht eine alternative Ausgestaltungskonfiguration, die die Beleuchtungsoptik vereinfacht;
  • 19 veranschaulicht ein noch einfacheres Beleuchtungssystem;
  • 20 veranschaulicht eine Variante der Konfiguration von 19, bei der die beiden Kollimatorlinsen durch einen einzigen kollimierenden Spiegel ersetzt werden;
  • 21a, b veranschaulichen eine Konfiguration, die 20 mit der Ausnahme ähnlich ist, dass sie ein konfokales Betrachtungssystem umfasst, das als ein Positionscodierer verwendet wird, um Wafer-Ausrichtung und Brennpunkt zu überwachen;
  • 22 veranschaulicht eine Ausgestaltungskonfiguration, die ein Objektebenen-Mikrolinsen-Array in Verbindung mit einem Mikrospiegel-Array verwendet;
  • 23 veranschaulicht eine alternative Ausführungsform, die eine Photomaske in Verbindung mit einem Objektebenen-Mikrolinsen-Array verwendet;
  • 24 und 25 veranschaulichen eine abgetastete Beleuchtungstechnik;
  • 26 veranschaulicht die Moiré-Technik zur X-Verfolgung;
  • 27 veranschaulicht das mit der Moiré-Technik interpolierte Detektorsignal;
  • 28 veranschaulicht drei dazwischen angeordnete Mikrolinsensätze mit unterschiedlichen Brennpunkthöhen h1, h2 und h3;
  • 29 veranschaulicht eine Draufsicht (Herunterblicken auf die Wafer-Ebene) eines Musters, das zum Verfolgen von X, Y und θ-Rotation verwendet werden könnte;
  • 30 veranschaulicht die konfokale Antwort eines Systems mit 0,5 NA, das bei einer Wellenlänge λ = 0,633 μm arbeitet;
  • 31 veranschaulicht Sensor-Mikrolinsen mit doppeltem Fokus, wobei ihre Brennebenen jeweils über und unter der Wafer-Oberfläche um einen Abstand ΔΖ versetzt sind;
  • 32 veranschaulicht eine Konfiguration, bei der die Brennpunkt-Sensor-Mikrolinsen ausgestaltet sind, um eine gemeinsame Brennebene aufzuweisen, die um einen kleinen Abstand ΔΖ unter der Wafer-Oberfläche versetzt ist, und Abschnitte der Wafer-Oberfläche (d. h. Bereiche innerhalb der Ritzgraben) werden auf eine Tiefe von 2ΔΖ geätzt;
  • 33 veranschaulicht das Brennpunkt-Rückkopplungssignal F[Z] für ein 0,5 NA-System, das bei einer Wellenlänge λ = 0,633 μm arbeitet, wobei ΔZ = 0,5 μm ist;
  • 34 veranschaulicht ein Mikrolinsen-Layout mit dazwischen angeordneten Z-Sensoren;
  • 35a, b sind Drauf- und Schnittseitenansichten eines Systems, das eine Sechs-Achsen-Mikropositionierungssteuerung des Mikrolinsen-Arrays plus Verwölbungskompensation bereitstellt; und
  • 36 zeigt ein Schema auf Systemebene, das die verschiedenen Rückkopplungs- und Steuermechanismen zusammenfasst.
  • BESCHREIBUNG SPEZIFISCHER AUSFÜHRUNGSFORMEN
  • Grundprinzipien des Betriebs
  • 1 veranschaulicht eine einfache Anordnung, die als ein konfokales Tandemabtast-Mikroskop arbeiten könnte. Das System enthält ein doppeltes telezentrisches optisches Projektionssystem von niedriger Auflösung 1, das ein Mikrolinsen-Array 2 auf ein optisches Detektor-Array 3 abbildet, wobei jedes Mikrolinsenelement auf ein entsprechendes Lichtabfühldetektorelement abgebildet wird (z. Β. eine Mikrolinse 4 wird auf ein Detektorelement 5 abgebildet). Jede einzelne Mikrolinse bildet einen entsprechenden Brennpunkt bei oder nahe der Probenoberfläche 6 auf die Aperturblende des Projektionssystems 7 ab, sodass das entsprechende Detektorelement die Probenreflektivität über einen kleinen Mikrospot an den Brennpunkt der Mikrolinse abfühlt (z. Β. bildet die Mikrolinse 4 den Punkt 8 auf die Projektions-Apertur 7 ab, sodass das Element 5 die Reflektivität am Punkt 8 abfühlt). Die Probe wird im Reflexionsmodus von einem Beleuchtungssystem 9 beleuchtet. Die Mikrospots werden selektiv beleuchtet, indem die Beleuchtung durch die Projektions-Apertur 7 und das Mikrolinsen-Array 2 mittels eines Strahlenteilers 12 geleitet wird, um die Beleuchtung in den Lichtpfad zu mischen.
  • Die beugungsbegrenzten Bilder der Projektions-Apertur durch die Mikrolinsen definieren die Mikrospots, die mindestens eine Größenordnung kleiner als die Mikrolinsen-Aperturabmessung ist. Die Mikrospots würden vorzugsweise in der Größe mit der Beugungspunktstreufunktion der Mikrolinsen vergleichbar sein. Somit führt bei den bevorzugten Ausführungsformen die Projektions-Apertur die gleiche Funktion wie das Loch bei einem konfokalen Mikroskop durch. Ein Unterschied zwischen diesem System und konfokalen Τandemabtast-Mikroskopen des Stands der Technik besteht darin, dass anstatt ein Array von Löchern durch ein einziges Objektiv abzubilden, verwendet das System ein einziges „Loch" (d. h. die Projektions-Apertur), das durch ein Array von „Objektiven" (Mikrolinsen) abgebildet wird.
  • 2 veranschaulicht eine Ausführungsform, die dem in 1 gezeigten Mikroskopiesystem sehr ähnlich ist, das jedoch als ein Lithographiedrucker arbeiten könnte. (Bei dieser Figur sowie auch späteren Figuren werden Elemente, die denjenigen in einer früheren Figur entsprechen, im Allgemeinen mit der gleichen Bezugsziffer bezeichnet.) Dieses System enthält ebenfalls ein doppeltes telezentrisches Projektionssystem mit niedriger Auflösung 1, wobei jedoch bei dieser Ausführungsform das Projektionssystem arbeitet, um eine Bildquelle 11 auf das Mikrolinsen-Array 2 zu fokussieren. Die Bildquelle umfasst ein Array von lichtmodulierenden Quellenelementen (z. B. Spots oder Auflagen mit variabler Reflektivität), wobei jedes Quellenelement auf ein entsprechendes Mikrolinsenelement abgebildet wird. Die Bildquelle könnte eine digitale Mikrospiegelvorrichtung (DMD = Digital Micromirror Device, Ref. 3) sein, wobei jedes Quellenelement ein einzelnes Mikrospiegelpixelelement umfasst. Jede Mikrolinse bildet die Projektions-Apertur 7 auf einen entsprechenden Mikrospot auf der Druckoberfläche 12 ab, und jedes Quellenelement steuert die Belichtungsintensität über den entsprechenden Mikrospot. Die Bildquelle 11 wird im Reflexionsmodus von dem Beleuchtungssystem 9 mittels eines Strahlenteilers 13 beleuchtet, um die Beleuchtung in den Lichtpfad zu mischen.
  • Dieses System unterscheidet sich in einem Paar von Aspekten von der Mikrolinsen-Photolithographie-Erfindung von Hugle u. a. (Referenzen 4, 5). Das System von Hugle verwendet keine einzige Projektions-Apertur für das gesamte Mikrolinsen-Array (wie in 2 dargestellt), sondern umfasst stattdessen ein Array von Mikrolinseneinheiten mit getrennten parallelen optischen Pfaden. Die Mikrolinsen von Hugle sind nicht abtastende Weitwinkelbildgebungsvorrichtungen, die jeweils ein Bildfeld abdecken, das in der Größe mit der Mikrolinsen-Aperturabmessung vergleichbar ist. Im Gegensatz dazu verwendet die vorliegende Erfindung eine Abtasttechnik, um eine Vollfeldabdeckung mit Mikrolinsen zu erreichen, deren momentane Bildfelder (d. h. die Mikrospots) mindestens eine Größenordnung kleiner als die Mikrolinsen-Aperturen sind. (Wie mit der Ausführungsform des konfokalen Mikroskops sind die Mikrospots des Lithographiesystems durch die beugungsbegrenzten Bilder der Projektions-Apertur durch die Mikrolinsen definiert und würden vorzugsweise in der Größe mit der Βeugungspunkt-Streufunktion der Mikrolinsen vergleichbar sein.)
  • 3 veranschaulicht eine Variante des Lithographiesystems, die die Druck- und mikroskopischen Bildgebungsfunktionen in einer einzigen Vorrichtung kombiniert. Diese ist dem System von 2 mit der Ausnahme ähnlich, dass das reflektierte Licht von der Druckoberfläche 12 aus dem Lichtpfad durch den Strahlenteiler 13 gespaltet und auf ein optisches Detektor-Array 14 gerichtet wird. (Ein typisches System könnte zwei Wellenlängen, wie beispielsweise eine UV-Wellenlänge zur Belichtung und eine HeΝe-Laser-Wellenlänge zur Bildgebung, verwenden.) Der Detektor könnte Ausrichtungsmarken auf der Druckoberfläche abfühlen und aus der genauen Tiefenunterscheidung der konfokalen Bildgebung Vorteil ziehen, um Brennpunkthöhen-Variationen über die Oberfläche abzufühlen. Die Positionsinformation würde von einem Servomechanismus mit geschlossener Schleife verwendet werden, um die Übereinstimmungsausrichtung und/oder Brennpunkt und Neigung zu steuern. Der Servomechanismus könnte ebenfalls Verwölbungsverzerrung in entweder der Druckoberfläche oder dem Mikrolinsen-Array korrigieren, indem eine kompensierende Spannungsverteilung um die Peripherie des Arrays angelegt wird.
  • Bei jeder der obigen Ausführungsformen wird die Probe oder Druckoberfläche abgetastet, so dass das Brennpunkt-Array der Mikrolinsen ein vollständiges Rasterbild umreißt. (Alternativ könnte das Mikrolinsensystem selbst bezüglich einer festen Probe oder Druckoberfläche abgetastet werden.)
  • 4 bis 6 veranschaulichen verschiedene alternative Abtastmuster, die verwendet werden können, einschließlich der bidirektionalen Rasterabtastung (4), der kontinuierlichen Linienabtastung (5) und der segmentierten Linienabtastung oder „Mehrfachabtastung" (6). Mit der bidirektionalen Rasterabtastung (4) wird die Bildoberfläche in ein Array von quadratischen oder rechtwinkligen Zellen mit Zellenabmessungen aufgeteilt, die mit der Mikrolinsenbeabstandung übereinstimmen, und die Oberfläche wird bidirektional abgetastet, sodass jeder Brennpunkt 15 ein Muster von Rasterlinien abtastet, die eine einzige Zelle 16 abdeckt. Mit der kontinuierlichen Linienabtastung (5) tastet jeder Brennpunkt 17 gerade eine Rasterlinie 18 ab, die sich über das gesamte Bildfeld erstreckt. Die Mikrolinsen sind in Reihen angeordnet, die bezüglich der Abtastrichtung 19 um einen kleinen Winkel δ schräg verlaufen, sodass die Brennpunkte ein Muster von eng beabstandeten Rasterlinien umreißen, d. h. dass die Rasterlinienperiode dr viel kleiner als die Mikrolinsen-Mittenbeabstandung dm ist (dr = dmsinδ). Die segmentierte Linienabtastung (6) ist mit der Ausnahme ähnlich, dass jede Rasterlinie in eine Anzahl von Segmenten (z. B. Segmente 20 und 21) aufgeteilt ist, die von unterschiedlichen Linsenbrennpunkten (z. B. 22 und 23) abgetastet werden.
  • Der „Mehrfach-Abtastungs"-Bildgebungsmodus ist der segmentierten Abtastung (6) mit der Ausnahme ähnlich, dass sich die Abtastsegmente überlappen, sodass die jede Rasterlinie mehr als einmal abgetastet wird. Die Mehrfachabtastungs-Bildgebung könnte eine Vielfalt von Verwendungen aufweisen. Eine Anwendung würde sein, Farbbilder oder -abzüge durch Verändern der Beleuchtungs-Chromatizität zwischen aufeinander folgenden Abtastungen zu erzeugen. (Beispielsweise würde das Liniensegment 20 in 6 zuerst durch den Linsenbrennpunkt 22 und dann erneut durch den Brennpunkt 23 mit unterschiedlicher Beleuchtung abgetastet werden.) Ein Mikroskopsystem könnte ebenfalls die Mehrfachabtastungs-Bildgebung verwenden, um dreidimensionale Bilder durch Neigen des Mikrolinsen-Arrays geringfügig bezüglich der Abtastrichtung erzeugen, sodass aufeinander folgende Bildabtastungen mit geringfügig unterschiedlichen Brenntiefen erfasst werden. (Alternativ könnte, anstatt das Mikrolinsensystem zu neigen, eine Verteilung von Βrennebenenhöhen in das Array ausgestaltet sein.) Bei einem Lithographiesystem könnte die Beleuchtungshelligkeit zwischen aufeinander folgenden Abtastungen verändert werden, um eine Steuerung der Belichtungsdosis bereitzustellen. (Dies würde nützlich sein, wenn die Bildquelle keine Graustufensteuerung bereitstellt. Mit N Abstufungen jeweils bei einem unterschiedlichen Beleuchtungsniveau ist die Gesamtzahl möglicher Beleuchtungsdosisniveaus 2N.) Alternativ könnte ein Lithographiesystem eine redundante Abtastung einfach verwenden, um statistische Bildgebungsfehler aufgrund von Faktoren, wie beispielsweise Mikrolinsenfehler, zu minimieren.
  • Die Ausgestaltungsprinzipien für Komponenten und Subsysteme von Mikrolinsen-Scanner werden nachstehend ausführlicher beschrieben, wobei die primäre Betonung auf Mikrolithographie und Halbleiterwafer-Herstellung liegt. (Vieles in der Beschreibung findet jedoch direkt auf Mikroskopie und andere Anwendungen Anwendung.) Eine praktische Ausführungsform des Mikrolithographiesystems könnte eine kontinuierliche Laserlichtquelle im tiefen UV, wie beispielsweise einen Frequenz-vervierfachten 266 nm-Nd:YAG-Laser (Ref. 6) und eine DMD-Bildquelle verwenden, die einer Prototypenvorrichtung ähnlich ist, die mit etwa 2.000.000 Pixeln (Ref. 3) gezeigt wurde. Die DMD ist im Stande, mit einer Framerate von über 10 kHz zu arbeiten, was zu einer Pixelrate von 2·1010 Pixel/s führt. Unter der Annahme einer Rasterlinienperiode von etwa 0,1 μm, würde die Belichtungsbereichsrate 2 cm2/s betragen. Das System könnte ein katadioptrisches Projektionsoptiksystem verwenden, dessen einfache kompakte Ausgestaltung es möglich machen würde, mehrere Mikrolinsen-Scannereinheiten in einer Vorrichtung zu kombinieren, was zu einem Gesamtdurchsatz von der Größenordnung von 10 cm2/s führt.
  • Mikrolinsenbildgebungstheorie und -technik
  • Die grundlegenden Bildgebungscharakteristiken von Mikrolinsen-Arrays können mit Verfahren der Fourier-Optik hergeleitet werden. (Dies nimmt eine kleine numerische Apertur mit unbedeutenden optischen Aberrationen an, wobei diese Verfahren nichtsdestotrotz eine nützliche erste Näherung für die Eigenschaften von Mikrolinsen mit einer numerischen Apertur von etwa 0,5 oder weniger bereitstellen können.)
  • Wir werden die Brennebenen-Feldkoordinaten einer Mikrolinse mit (X, Y) und ihre Aperturebenen-Koordinaten mit (X', Y') bezeichnen (7). Die Analyse wird durch Einführen von dimensionslosen Feldkoordinaten (x, y) und Aperturkoordinaten (x', y') vereinfacht: x = X/λ, y = Y/λ (1) x = –X'/Fm, y' = –Y'/Fm (2)wobei λ die Belichtungswellenlänge und Fm die Mikrolinsen-Brennweite ist (7). Die (skalare) elektrische Feldamplitudenverteilung an der Brennebene wird mit A[x, y] und die elektrische Feldverteilung an der Mikrolinsen- Aperturebene mit A'[x', y'] bezeichnet. (Anmerkung: Eckige Klammern „[...]" werden hier als Funktionsargument-Begrenzer; runde Klammern „(...)" zum Gruppieren verwendet.) Wenn ein unbedeutender Phasenfaktor ignoriert wird, stehen A und A' ungefähr durch eine Fourier-Transformationsbeziehung in Zusammenhang. A'[x', y'] = ∫∫A[x, y]exp[i2π(xx' + yy')]dxdy (3) A[x, y] = ∫∫A'[x', y']exp[–i2π(xx' + yy')]dx'dy' (4)
  • (Ein Zeittrennungsfaktor des elektrischen Feldes von exp[+iωt] wird angenommen, und alle Integrale werden von –∞ bis ∞ genommen.) Die an der Brennebene erzeugte momentane Energieverteilung ist proportional zu |A[x, y]|2. (Dies nimmt an, dass es keine bedeutende Überlappung zwischen Verteilungen von benachbarten Mikrolinsen gibt, was eine sichere Annahme ist, da die Mittenbeabstandung der Mikrolinsen typischerweise über eine Größenordnung größer als die beugungsbegrenzte Spot-Größe sein würde.) Wenn die Spots mittels einer gepulsten Lichtquelle (wie beispielsweise einem Excimerlaser) „Blitz-belichtet" werden, wird die Gesamtbelichtungsdosis E[x, y] nach Abschluss einer vollständigen Abtastung eine inkohärente Überlagerung von beugungsbegrenzten, überlappenden Mikrospot-Verteilungen sein, die auf einem Gittermuster ausgelegt sind:
    Figure 00150001
    wobei s die Gittergröße (in Wellenlängeneinheiten) und gjk die Belichtungsgraustufe (auf einer Skala von 0 bis 1) an einem Punkt (x, y) = (js, ks) ist. Wenn eine kontinuierliche Lichtquelle verwendet wird, würde es eine Verschmierung der Mikrospots aufgrund der Bewegung des Wafers geben, während jeder Spot belichtet wird, wobei dieser "Punkt-Verschmierungs"-Effekt hier vernachlässigt wird. Das Bild würde typischerweise mit einer Gittergröße s belichtet, die gleich der Rasterlinienperiode dr (5) oder eines Mehrfachen davon ist, die auf die Wellenlänge normiert ist: s = mdr/λ (6)wobei m eine ganze Zahl ist.
  • Drei Belichtungsverteilungen sind bei der Mikrolinsenbildgebung von primärer Bedeutung: Die Punktverteilung Epoint[x, y], die erhalten wird, wenn der Punkt (x, y) = (0, 0) mit Einheitsgraustufe belichtet wird und alle anderen Punkte nicht belichtet werden, die Linienverteilung Eline[x, y], die erhalten wird, wenn alle Gitterpunkte an der Linie x = 0 mit Einheitsgraustufe belichtet werden und alle anderen nicht belichtet werden, und die Ebenenverteilung Eplane[x, y], die erhalten wird, wenn alle Gitterpunkte mit Einheitsgraustufe belichtet werden. Epoint[x, y] = |A[x, y]|2 (7)
  • Figure 00160001
  • Ein fundamentales Ergebnis der Fourier-Optik besteht darin, dass, wenn die Gittergröße innerhalb der Grenze s < 1/(2NAm) (10)liegt, wobei NAm die numerische Apertur der Mikrolinsen ist, dann verringert sich Eplane[x, y] auf eine Konstante, und Eplane[x, y] weist einen gleichmäßigen Querschnitt in x auf (d. h. sie weist keine y Abhängigkeit auf). Eplane = (∫∫|A[x, y]|2dxdy)/s2 (11) Eline[x] = (∫|A[x, y]|2dy)/s (12)
  • (Diese Ergebnisse, die wir jeweils als das "Ebenen-Belichtungstheorem" und als das "Linien-Belichtungstheorem" bezeichnen werden, werden in Abschnitten nahe dem Ende dieser Beschreibung nachstehend hergeleitet. Es sei bemerkt, dass mit einem positiven Resist, die Eplane-Verteilung dazu führen wird, dass das gesamte Resist aufgelöst ist, Eline sich in einen isolierten linearen Raum und Epoint in ein isoliertes Loch entwickeln wird.) Gleichungen 11 und 12 können alternativ hinsichtlich Apertur-Integrale anstatt Feldintegrale ausgedrückt werden. Eplane = (∫∫|A'[x' y']|2dx'dy')/s2 (13) Eline[x] = (∫|∫A'[x', y']exp[–i2πxx']2dx'dy')/s (14)
  • Für den speziellen Fall einer gleichmäßig beleuchteten kreisförmigen Apertur weist die Apertur-Funktion A' die Form
    Figure 00170001
    auf, wobei A ' / 0 eine Konstante ist. Ihre inverse Fourier-Transformierte A weist die Form A[x, y] = A'0 NAmJ1[2πNAmx² + y²]/√x² +y²] (16)auf, wobei J1 eine Bessel-Funktion der ersten Art ist. (Gl. 16 stellt das klassische Airy-Beugungsmuster dar.) Durch Einsetzen von Gleichungen 15 und 16 in Gleichungen 7, 13 und 14 erhalten wir: Epoint[x, y] = Eplanes2J1[2πNAmx² + y²]2/(π(x2 + y2)) (17) Eline[x] = Eplane(s/(NAmπ2x2))(1 – 1F2[1; 1/2, 3/2; –(2πNAmx)2]), (18)wobei 1F2 eine verallgemeinerte hypergeometrische Funktion ist. Die Spitzenwerte dieser Verteilungen sind: Epoint[0, 0] = EplaneS2NAm 2π (19) Eline[0] = Eplanes16NAm/(3π) (20)
  • Aus Gl. 10 erhalten wir die folgenden maximalen Grenzen an Epoint[0] und Eline[0]: Epoint[0, 0] < Eplaneπ/4 (21) Eline[0] < Eplane8/(3π) (22)
  • 8 veranschaulicht die Punkt-, Linien- und Ebenen-Belichtungsprofile für NAm = 0,5 und s = 1. (Für kleinere Schrittgrößen skaliert Epoint/Eplane im Verhältnis zu s2 und Eline/Eplane im Verhältnis zu s.)
  • Das Ebenen-Belichtungs-Theorem impliziert eine nützliche Bildumkehr-Charakteristik von Mikrolinsen-Array-Scanners: Wenn das Beleuchtungsniveau eingestellt wird, sodass die Resist-Löslichkeitsschwelle ES bei Eplane/2 ist, dann kann die Bildumkehrung durch einfaches Umkehren der Bildgraustufe erreicht werden (d. h. 1 – gjk für gjk an jedem Belichtungspunkt einsetzen). Beispielsweise veranschaulicht 9 das Belichtungsprofil (E als Funktion von X) für eine Bildstruktur und ihr Komplement. Die Struktur weist ein Belichtungsprofil Ea auf, das durch die Graustufenverteilung gjk erzeugt wird:
  • Figure 00190001
  • Unter Bildumkehrung wird die Belichtungsverteilung Ea in die Verteilung Eb = Eplane – Ea
    Figure 00190002
    transformiert (aus Gl. 9). Die beiden Belichtungsprofile kreuzen die Löslichkeitsschwelle ES an den gleichen Punkten (da ES = Eplane – ES); somit werden sie sich auf die gleiche Breitenabmessung W entwickeln. (Wenn die Bildquelle eine Graustufensteuerung bereitstellt, erfordert das Bildumkehrverfahren nicht, dass die Löslichkeitsschwelle ES bei Eplane/2 ist, da die Graustufen der positiven und negativer Bilder unabhängig skaliert werden könnten, sodass jede gewünschte Belichtungskontur bei ES ist.)
  • Bildstrukturen mit geschlossenen Konturen, die Bereiche mit hoher Belichtung umschließen, können „positiv" genannt werden, wohingegen die komplementären Strukturen (geschlossene Konturen, die Regionen mit niedriger Belichtung umschließen) „negativ" genannt werden können. 10 veranschaulicht Konturen mit konstanter Belichtung bei 0,25 Eplane, 0,5 Eplane und 0,75 Eplane für verschiedene positive Linienbilder von veränderlicher Länge, die mit NAm = 0,5 aufgebaut sind. (Mit einem positiven Resist würden sich diese Muster in lineare Räume entwickeln.) Die Belichtungspunkte, die durch „+" Marken angegeben werden, werden alle mit Einheitsgraustufe belichtet und durch 1,5 λ in X und durch λ in Y getrennt. Obwohl die Linien- und Ebenen-Belichtungstheoreme im Allgemeinen nur auf unendliche Linien und Ebenen Anwendung finden, könnten kurze lineare oder rechteckige Strukturen, wie beispielsweise diejenigen in 10, mit ziemlich geraden Konturen und gleichmäßigen Querschnitten gebildet werden. Die Geradheit und die Gleichmäßigkeit könnten mittels einer Graustufensteuerung und eines kleinen Gitterschritts (z. B. s = 0,5) verbessert werden.
  • Einfache Bildmuster, wie beispielsweise die in 10 dargestellten, können kombiniert werden, um kleine scharf detaillierte Muster durch Verwenden von „Booleschen Zusammensetzungs"-Operationen zu erzeugen. Die einfachste derartige Operation ist die Bildumkehrung (d. h. Boolesche Negation), die durch Graustufenumkehrung ausgeführt werden kann, wie oben beschrieben. Andere logische Operationen, wie beispielsweise Konjunktion (logisches UND) und Disjunktion (logisches ODER) könnten durch eine mehrstufige Verarbeitungsprozedur, wie beispielsweise die in 11af dargestellte, ausgeführt werden. In 11a wird ein Wafer-Substrat 24 mit einer dicken PMMA-Schicht 25, einer planarisierten SOG-Schicht (spin-on-glass-Schicht) 26 und einer dünnen Resist-Schicht 27 schleuderbeschichtet, die belichtet und entwickelt wird, um ein geätztes Muster in der SOG zu erzeugen. In 11b wird das oberste Resist abgelöst und eine zweite PMMA-Schicht 28, eine SOG-Schicht 29 und ein dünner Resist 30 werden aufgetragen, und das oberste Resist wird belichtet und entwickelt, um ein geätztes Muster in der obersten SOG-Schicht zu erzeugen. In 11c wird die doppelschichtige PMMA-Struktur mit reaktivem Ionenätzen auf das Substrat heruntergeätzt, was zu einer Ätzmaske führt, die eine Region auf dem Substrat freilegt, die durch die Verbindung der geätzten Bereiche auf den beiden SOG-Schichten definiert wird.
  • Bei einer Variation des obigen Verfahrens wird das obere PMMA nur weit genug geätzt, um die untere SOG-Schicht freizulegen (11d). Dies wird von einem selektiven Ätzen gefolgt, das die obere SOG-Schicht und den freigelegten Abschnitt der unteren SOG-Schicht entfernt (11e), und schließlich wird das verbleibende PMMA auf das Substrat heruntergeätzt (11f). Dieses Verfahren führt zu einer Ätzmaske, die durch die Trennung der beiden SOG-Ätzmuster definiert wird.
  • 12 und 13 zeigen einfache Darstellungen der Booleschen Zusammensetzung. In 12 wird ein Array von kleinen quadratischen Inseln durch die disjunktive Zusammensetzung von zwei positiven Linienmustern (durch entgegengesetzte Schraffierung angegeben) erzeugt. In 13 werden zwei parallele überlappende Sätze von positiven Linienmustern konjunktiv zusammengesetzt, um enge Räume zu bilden. Mehrere Sätze derartiger Muster könnten verschachtelt werden, um Arrays hoher Dichte mit sehr kleinen Strukturen zu bilden, wobei die Strukturdichte die klassische Beugungsgrenze überschreitet. (Die Größe und die Dichte der Struktur werden primär durch den Resist-Kontrast und Überlagerungsgenauigkeit begrenzt.)
  • Apertur-Ausgestaltung
  • Die grundlegenden Ausgestaltungskompromisse, die sich auf Aperturgröße und Mikrolinsenbeabstandung beziehen, werden schematisch in 14 dargestellt. Jede Mikrolinse 31 fokussiert den Strahl auf einen beugungsbegrenzten Fokussier-Spot mit einer eng begrenzten Amplitudenverteilung A[X, Y] auf der Wafer-Oberfläche 12 herunter. Diese Verteilung wird durch die numerische Apertur der Mikrolinse und durch die Amplitudenverteilung A'[X', Y'] über die Mikrolinsen-Apertur 32 bestimmt. Die letztere Verteilung, die das beugungsbegrenzte Bild von dem der Mikrolinse 31 entsprechenden Quellenelement 33 ist, das t, sollte vorzugsweise die Apertur 32 überfüllen, um die Fokusauflösung auf der Wafer-Ebene zu optimieren und ebenfalls die Empfindlichkeit des Fokussier-Spots auf optische Übereinstimmungsfehler zwischen den Quellenelementen und den Mikrolinsen-Aperturen zu minimieren. Wenn die Verteilung A' jedoch zu weit ist (oder wenn die Mikrolinsen zu eng beabstandet sind), wird sie ebenfalls benachbarte Mikrolinsen-Aperturen 34 und 35 überlappen, was zu einem Bildnebensprechen zwischen benachbarten Aperturen führt.
  • Die Verteilung A' wird durch die numerische Apertur des Projektionssystems und durch die Amplitudenverteilung A''[X'', Y''] über die Projektions-Apertur 7 bestimmt. (A'' stellt den Teil der Apertur-Beleuchtung dar, der nur von dem Quellenelement 33 kommt. Das Gesamt-Apertur-Beleuchtungsfeld umfasst ebenfalls ähnliche Verteilungen von allen anderen Quellenelementen.) Die Aperturgröße der Quellenelemente kann ausgewählt werden, um die Verteilung A'' optimal zu steuern. Wenn die Quellenelemente viel kleiner als ihre Mittenbeabstandung sind, dann wird die Beugung an der Apertur des Elements 33 veranlassen, dass die Verteilung A'' sehr gleichmäßig über die Projektions-Apertur 7 verteilt wird, was eine mögliche Streuung oder Verzerrung der projizierten Bildebenenverteilung A' aufgrund ungleichmäßiger Apertur-Beleuchtung minimieren wird. Die Empfindlichkeit gegen Fehlausrichtung der Beleuchtungsquelle kann ebenfalls minimiert werden, indem die Quellenelemente klein ausgeführt werden. Der Kompromiss besteht jedoch darin, dass der optische Wirkungsgrad im Verhältnis zu der Aperturgröße der Quellenelementen aufgrund von Überfüllen der Projektions-Apertur abfallen würde.
  • Die Größe und Form der Projektions-Apertur kann ausgestaltet sein, um den Kompromiss zwischen Beleuchtungsgleichmäßigkeit über die Mikrolinsen-Apertur 32 und dem Minimieren des Lichtaustritts in benachbarten Aperturen 34 und 35 zu optimieren. Eine Vorgehensweise könnte darin bestehen, eine mit dem Mikrolinsengitter ausgerichtete quadratische Apertur 7 zu verwenden. Die Amplitudendurchlässigkeitsfunktion für eine quadratische Apertur tp[X'', Y''] ist
    Figure 00230001
    wobei Wp die Projektions-Apertur-Breite ist. Unter der Annahme, dass die Verteilung A'' innerhalb der Projektions-Apertur im Wesentlichen gleichmäßig ist, wird eine quadratische Apertur zu einer Mikrolinsen-Apertur-Verteilung A' führen, die näherungsweise durch die Funktion A'[X', Y'] = A'0 sinc[WpX'/(λFP)]sinc[WpY'/(λFp] (26)beschrieben wird, wobei A ' / 0 eine Konstante, Fp die Brennweite des optischen Subsystems zwischen der Projektions-Apertur 7 und der Mikrolinsen-Apertur 32, λ die Belichtungswellenlänge und sinc[u] = sin[πu]/(πu) ist. Die Aperatur-Breite Wp kann gewählt werden, sodass die ersten Knoten der Sinc-Funktion näherungsweise an den benachbarten Mikrolinsen-Aperturen 34 und 35 zentriert sind: Wp = λFp/dm, (27)wobei dm die Mikrolinsen-Mittenbeabstandung ist. In diesem Fall werden Gleichungen 25 und 26:
    Figure 00240001
    A'|X', Y'| = A'0 sinc[X'/dm]sinc[Y'/dm] (29)
  • Falls dm beispielsweise das vierfache der Mikrolinsen-Aperturbreite am ist (14), wird die Beleuchtungsintensitäts-Ungleichmäßigkeit über die Apertur 32 nur etwa 5% betragen (nicht genug, um die Brennpunktauflösung auf der Wafer-Ebene erheblich zu beeinträchtigen), und den Energieaustritt in jede benachbarte Apertur 34 oder 35 wird unter der Annahme kreisförmiger Mikrolinsen-Aperturen etwa 0,4% betragen.
  • Die Nebensprech-Amplitudenkomponente würde keine bedeutenden Kohärenzeffekte hervorrufen. Beispielsweise wird die primäre Amplitudenverteilung A'[X', Y'] über die Mikrolinsen-Apertur 32 mit Nebensprechenverteilungen A'[X' + dm, Y'] und A'[X' – dm, Y'] von benachbarten Quellenelementen 34 und 35 überlagert. Jede der Nebensprechverteilungen ist näherungsweise ungerade symmetrisch in X' über die Apertur 32, wohingegen A'[X', Y'] gerade symmetrisch ist. Dies impliziert (basierend auf den Eigenschaften der Fourier-Transformierten), dass an der Wafer-Ebene die Nebensprechamplitude näherungsweise rein komplex sein wird, wohingegen A[X, Y] reelle Werte aufweisen wird, was zu einer minimalen Kohärenz-Wechselwirkung führt. Dies nimmt an, dass die Beleuchtung kohärent ist und dass die Quellenelemente kohärent ausgerichtet sind. (Wenn es bedeutende Phasenverschiebungen zwischen benachbarten Elementen gibt, könnte der Nebensprech-Energieaustritt viel höher als der oben angegebene Pegel, z. B. 0,4%, aufgrund von Kohärenz-Wechselwirkungen sein.)
  • Es gibt Varianten der oben umrissenen quadratischen Apertur-Ausgestaltung, die zu noch besserer Nebensprechunterdrückung führen könnten. Eine Alternative besteht darin, die Apertur mit einem Winkel von 45° bezüglich des Mikrolinsengitters auszurichten und ihre Größe einzustellen, sodass beide Sinc-Glieder in der Verteilung A' in der Mitte der benachbarten Mikrolinsen-Aperturen 34 und 35 Null sind:
    Figure 00250001
    A'[X', Y'] = A'0 sinc[(X' + Y')/dm]sinc[(X' – Y')/dm] (31)
  • Eine weitere Vorgehensweise besteht darin, eine apodisierte Projektions-Apertur zu verwenden, die im Wesentlichen die quadratische Apertur-Amplituden-Durchlässigkeitsfunktion von 28 durch ihre Autofaltung ersetzt. Mit dieser Modifikation werden die Sinc-Funktionen in A' durch Sinc2-Glieder ersetzt, sodass über die benachbarten Aperturen, wobei A' Nulldurchgänge aufweist, die Nebensprechamplitude vernachlässigbar wird:
    Figure 00260001
    A'[X', Y'] = A'0 sinc2[X'/dm]sinc2[Y'/dm] (33)
  • (Diese varianten Vorgehensweisen weisen den Nachteil auf, dass entweder die Beleuchtungsgleichmäßigkeit über die Mikrolinsen-Apertur 32 gefährdet werden würde oder dass die Mikrolinsenbeabstandung dm erhöht werden müsste, um die Beleuchtungsgleichmäßigkeit beizubehalten.)
  • Die Apodisations-Vorgehensweise kann ebenfalls durch eine geringfügig unterschiedliche Technik implementiert werden. Anstatt einen tatsächlichen physikalischen Apodisierer an der Projektions-Apertur zu bilden, kann der Strahl durch Ausgestalten des Apertur-Beleuchtungsfelds A'' „effektiv apodisiert" werden, sodass es ein verjüngtes Profil über die Apertur-Region ähnlich einem Apodisations-Profil aufweist. Wenn die Beleuchtungsoptik und die Bildquelle beispielsweise ausgestaltet sind, sodass die ersten Beugungsknoten der Verteilung A'' an den Projektions-Apertur-Rändern sind, wird die verjüngte Amplitudenverteilung nahe den Rändern dazu beitragen, die Beugungsausläufer in der Bildebenenverteilung A' zu unterdrücken. (Der Kompromiss zu diesem Vorteil besteht jedoch darin, dass das System weniger tolerant gegen jede Fehlausrichtung oder Schwingung sein wird, die veranlassen könnte, dass sich die Verteilung A'' verschiebt.) Auf ähnliche Weise könnten die Mikrolinsen-Aperturen durch Lokalisieren der ersten Beugungsknoten der Verteilung A' an der Mikrolinsen-Apertur-Grenze wirksam apodisiert werden. Dies würde dazu beitragen, obgleich auf Kosten eines Anstiegs der zentralen Peak-Breite, die Beugungsausläufer in der Wafer-Ebenenverteilung A zu unterdrücken.
  • Die oben umrissenen Apertur-Ausgestaltungs-Vorgehensweisen können auf Mikroskopie-Systeme sowie auch auf Lithographiedrucker angewendet werden. (Für die Mikroskopie-Anwendung können die Quellenelemente 33 in 14 als Detektorelemente neu interpretiert werden.)
  • Es sei bemerkt, dass es eine Anwendung gibt, für die Bildnebensprechen kein Problem sein würde. Wenn das System verwendet wird, um ein periodisches Muster zu drucken, wobei die Musterperiodizität dem Mikrolinsen-Layout entspricht, dann würden die Belichtungsintensitäten bei allen Mikrospots identisch sein; somit könnte jeder Nebensprecheffekt korrigiert werden, indem eine kompensierende Einstellung in dem Gesamtbelichtungspegel durchgeführt wird. Für diese Anwendung würde sogar kein Bildquellen-Array erforderlich sein – es könnte einfach durch eine modulierte Punktquelle in der Mitte der Projektions-Apertur ersetzt werden, die das gesamte Mikrolinsen-Array gleichförmig beleuchten würde. Diese Art von System könnte beispielsweise bei der Herstellung von Mikrolinsen-Arrays verwendet werden, wobei das Bildebenen-Mikrolinsen-Array als ein Masterelement verwendet werden würde, um Replica-Elemente lithographisch zu strukturieren. (Eine nachstehend beschriebene alternative Herstellungstechnik könnte jedoch gegenüber diesem Verfahren Vorteile aufweisen.)
  • Mikrolinsenaufbau
  • 15 veranschaulicht eine mögliche Konfiguration für die Mikrolinsenausgestaltung. Jede Mikrolinse wird als ein Oberflächenreliefprofil 36 auf der oberen Oberfläche einer dünnen Quarzglas-Platte 37 ausgebildet. Eine lithographisch strukturierte lichtblockierende Schicht 38, wie beispielsweise dunkles Chrom, wird oben auf der Platte aufgetragen, um die Mikrolinsen-Aperturen zu definieren. Die Platte wird optisch mit einem Quarzglas-Superstrat 39 kontaktiert und an diesem gebondet. Teile der unteren Oberfläche des Superstrats werden geätzt, um eine lichtstreuende oder beugende Oberfläche 40 zu bilden, die den spekular reflektierten Strahl über die optisch kontaktierten Regionen der Platte unterdrückt. Die obere Oberfläche des Superstrats umfasst eine Antireflexionsbeschichtungen 41 und die untere Oberfläche eine Antireflexionsbeschichtung 42 über die freien Mikrolinsen-Apertur-Bereiche. (Die streuenden oder beugenden Oberflächenbereiche und die Antireflexionsbeschichtungen verhindern, dass sich das spekular reflektierte Licht durch die Projektions-Apertur zurück überträgt.)
  • Ein auf Laser-gestützten chemischen Ätzen (Referenzen 7, 8) basiertes Fertigungsverfahren wird in 16ag dargestellt. Die Grundprozedur besteht darin, zuerst ein Array von Mikrolinsen niedriger NA mittels eines holographischen Aufbauverfahrens zu bilden (16ad), und dann dieses Array als ein Masterelement zum Nachbilden von Arrays von genau profilierten Mikrolinsen hoher NA zu verwenden (16eg). In 16a wird ein Paar von genau kollimierten gleichmäßigen Laserstrahlen 43 und 44 kombiniert, um ein Interferenzmuster zu bilden, das eine dicke Resist-Schicht 45 auf einem Quarzglas-Substrat 46 freilegt. Das Substrat wird dann um 90° gedreht und ein zweites Mal belichtet, sodass das latente Resist-Bild aus zwei orthogonalen Sätzen von gleichmäßig beabstandeten parallelen Linien besteht. Das latente Bild wird in eine sinusförmige Dickenvariation in dem Resist entwickelt (16b), die in ein sinusförmiges Oberflächenprofil 47 in dem Substrat durch ein reaktives Ionenätzverfahren umgewandelt wird (16c; Referenzen 7, 8). Das Oberflächenhöhenprofil Z[X, Y] ist aus gekreuzten Sinuskurven Z[X, Y] = Z0(cos2[πX/dm] + cos2[πY/dm] (34)aufgebaut, wobei dm die Linienperiode des holographischen Musters und Z0 eine Konstante ist. Die Form ist näherungsweise parabelförmig in der Nähe der Profil-Peaks; beispielsweise nimmt nahe (X, Y) = (0,0) die Profilfunktion die genäherte Form Z[X, Y] ≌ Z0(2 – (π/dm)2(X2 + Y2)) (35)an. Diese parabelförmigen Regionen können als Mikrolinsenelemente arbeiten, und ein Apertur-Array 48 wird auf der Oberfläche ausgebildet, um diese Bereiche zu begrenzen (16d). Das Apertur-Array wird als eine lithographisch strukturierte Chromschicht ausgebildet, die holographisch mittels der Belichtungseinrichtung von 16a aufgebaut wird, um eine genaue Übereinstimmung des Apertur-Arrays mit den Mikrolinsen zu gewährleisten.
  • Das Mikrolinsen-Array mit niedriger NA kann als eine Abstandsmaske zum Fertigen des Arrays mit hoher NA verwendet werden (16e). Eine dünne Quarzglas-Platte 37 wird optisch mit einem dicken tragenden Substrat 49 kontaktiert und mit Resist 50 überdeckt. Ein Belichtungsstrahl 51 wird durch das Mikrolinsen-Array mit niedriger NA 46 projiziert, das den Strahl auf ein Array von kleinen Spots auf dem Resist fokussiert (z. B. fokussiert die Mikrolinse 47 den Strahl auf den Punkt 52). Jede Mikrolinse bildet eine optimale Belichtungsverteilung an ihrer Brennebene ab, was zu einem optimal konturierten Oberflächenprofil in dem entwickelten Resist führt. (Die Bildgebungsoptik wird nachstehend beschrieben.) Das Resist-Profil wird in die Quarzglas-Platte 37 durch reaktives Ionenätzen transferiert, und ein lithographisch strukturiertes Apertur-Array 38 wird dann auf der Oberfläche ausgebildet (16f). (Das Mikrolinsen-Array mit niedriger NA kann ebenfalls verwendet werden, um das Apertur-Array lithographisch zu strukturieren.) Schließlich wird die Silika-Platte 47 an dem Superstrat 39 gebondet und von dem Substrat 49 entfernt (16g).
  • Die bei dem Nachbildungsverfahren (16e) verwendete Belichtungsoptik wird in 17 dargestellt. Eine erweiterte diffuse Lichtquelle 53 wird durch ein Projektionssystem 54 auf das Master-Element 46 fokussiert. Die Aperturblende des Projektionssystems enthält eine Grauskala-Durchlässigkeitsmaske 55, die von jeder Mikrolinse 47 auf ihren entsprechenden Belichtungs-Spot 52 abgebildet wird. Das Durchlässigkeitsprofil der Maske steuert die Resist-Belichtungsverteilung, die ihrerseits die Oberflächenprofilform 36 der Replica-Mikrolinsen bestimmt (15). Das Substrat 49 und das Master-Element 46 werden über den Strahl während der Belichtung abgetastet (wobei ihre relativen Positionen unverändert beibehalten werden), um Belichtungsungleichmäßigkeiten aufgrund von Faktoren, wie beispielsweise die Feldungleichmäßigkeit des Projektionssystems und Kohärenzeffekten, auszumitteln. Das Projektionssystem 54 sollte ausgestaltet sein, um auf der Objektseite telezentrisch zu sein, sodass die mehreren Bilder der Apertur-Maske 55 bezüglich dem Resist 50 unveränderlich bleiben, wenn Elemente 46 und 49 über das Beleuchtungsfeld abgetastet werden.
  • Ein Vorteil des obigen Fertigungsverfahrens besteht darin, dass die Gleichmäßigkeit und Platzierungsgenauigkeit der Replica-Mikrolinsen nicht durch die Feldgleichmäßigkeit des Belichtungswerkzeugs oder die Schrittgenauigkeit begrenzt werden – sie werden nur durch die Gleichmäßigkeit und Kollimationsgenauigkeit der Belichtungsstrahlen 43 und 44 bestimmt, die verwendet werden, um das Master-Element zu fertigen (16a). Außerdem können die Replica-Mikrolinsen-Arrays viel größer als das Bildfeld des Belichtungswerkzeugs sein.
  • Zahlreiche Alternativen zu reaktiv Ionen-geätzten Mikrolinsen existieren entweder für die Master-Mikrolinsenelemente oder das Replica-Array. Möglichkeiten umfassen geformte Mikrolinsen, planare Mikrolinsen mit verteiltem Index, Mikro-Fresnel-Linsen (oder Binäroptik) und verschmolzene Harz-Arrays (Ref. 9, Kap. 7). Obwohl sich ihre Materialverarbeitungstechnologien unterscheiden, werden die meisten dieser Mikrolinsenarten mittels Photolithographie gefertigt, sodass die oben beschriebenen Belichtungstechniken ebenfalls auf diesen alternativen Arten angepasst werden können. Eine praktische Variation des obigen Verfahrens würde beispielsweise sein, die Master-Mikrolinsen 47 als planare Elemente mit verteiltem Index auszubilden. Der Luftspalt zwischen dem Master-Element 46 und dem Resist 50 (16e, 17) könnte dann durch eine dielektrische Schicht ersetzt werden, die über dem Master-Element 46 aufgetragen wird. Die Replica-Mikrolinsen würden somit durch ein Kontaktdruckverfahren anstatt durch Abstandsdrucken gebildet werden, das den Vorteil aufweist, dass die kritische Luftspalttoleranz-Anforderung eliminiert würde.
  • Projektions- und Beleuchtungsoptik
  • Im Kontext eines Lithographiesystems (2) definiert die Bildquelle 11 die Objektebene des Projektionssystems 1 und das Mikrolinsen-Array 2 seine Bildebene. Das Projektionssystem sollte im Allgemeinen auf der Bildseite telezentrisch sein, da die optischen Achsen der einzelnen Mikrolinsen typischerweise alle gegenseitig parallel sein werden. Wenn eine Bildquelle, wie beispielsweise eine DMD, verwendet wird, sollte das Projektionssystem ebenfalls auf der Objektseite telezentrisch sein. Die Konfiguration von 2 verwendet eine kollimierende Linse 56, um die Projektions-Apertur 7 auf unendlich auf der Bildseite abzubilden, und eine kollimierende Linse 57 wird ebenfalls verwendet, um die Apertur auf unendlich auf der Objektseite abzubilden. Eine Apertur-Linse (oder Linsensystem) 58, die die Projektions-Apertur 7 enthält, arbeitet in Verbindung mit Kollimatoren 56 und 57, um die Bildquelle 11 auf das Mikrolinsen-Array 2 abzubilden. (Die Bildquelle und das Mikrolinsen-Array könnten positioniert sein, sodass die Kollimatoren allein diese Bildgebungsfunktion durchführen, wobei jedoch noch immer eine Apertur-Linse 58 mit Null-Leistung für die Aberrations-Steuerung nötig sein könnte.)
  • Das Beleuchtungssystem 9 in 2 enthält eine Beleuchtungs-Apertur 59, die durch einen Kollimator 60, den Strahlenteiler 13 und die Projektionsoptik auf die Projektions-Apertur 7 abgebildet wird. Eine alternative Ausgestaltungskonfiguration, die die Beleuchtungsoptik vereinfacht, wird in 18 dargestellt. Bei diesem System ist der Strahlenteiler 13 in der Apertur-Linse 58 aufgenommen, und die Projektions-Apertur 7 und die Beleuchtungs-Apertur 59 werden beide direkt auf der Oberfläche des Strahlenteilers ausgebildet. Vorteile dieses Systems sind, dass der Strahlenteiler sehr klein und kompakt ist, und die optische Ausrichtung vereinfacht wird, da die Aperturen 7 und 59 automatisch miteinander ausgerichtet sind.
  • Ein noch einfacheres Beleuchtungssystem wird in 19 dargestellt. Anstatt einen Strahlenteiler zu verwenden, wird die Beleuchtung in das System mittels einer kleinen außeraxialen Quelle, wie beispielsweise einer optischen Faser 60 benachbart der Projektions-Apertur 7, gebracht. (Ein optisches Korrekturelement, wie beispielsweise ein binäres Optikelement, kann vor der Faser untergebracht sein, um außeraxiale Aberrationen auszugleichen.) Die Bildquelle 11 muss ausgestaltet sein, um mit außeraxialer Beleuchtung bei dieser Konfiguration zu arbeiten.
  • 20 veranschaulicht eine Variante der Konfiguration von 19, bei der die beiden Kollimatorlinsen 56 und 57 durch einen einzigen kollimierenden Spiegel 61 ersetzt werden. Ein Faltspiegel 62 kann verwendet werden, um das Mikrolinsen-Array 2 und den Wafer 12 von der Projektionsoptik physikalisch zu trennen. Die Projektions-Apertur ist ein reflektierendes Element (z. B. eine kleine reflektierende Auflage (pad) 63, das auf einem Substrat mit niedrigem Reflexionsvermögen 64 aufgetragen ist), und die Apertur-Linse 58 arbeitet bidirektional. Ein Vorteil dieser Konfiguration besteht darin, dass sie eine sehr kleine chromatische Aberration aufweisen würde und somit mit einer ziemlich breitbandigen (z. B. Bandbreite 10 nm) Beleuchtungsquelle verwendet werden könnte. (Die optische Geometrie kann ausgestaltet sein, sodass die Apertur-Linse 58 im Wesentlichen keine Leistung aufweist und nur als ein Aberrations-steuerndes Element arbeitet; somit wird ihre chromatische Dispersion sehr klein sein. Obwohl die Mikrolinsen brechende Elemente mit hoher NA sind, wird ihre Bildgebungsleistung nicht sehr durch die chromatische Dispersion aufgrund ihrer kleinen Größe beeinflusst.)
  • Die in 21a, b dargestellte Konfiguration ist 20 mit der Ausnahme ähnlich, dass sie ein konfokales Betrachtungssystem umfasst, das als ein Positionscodierer benutzt wird, um Ausrichtung und Brennpunkt des Wafers zu überwachen. (Dieses System ist dem System von 3 funktionell ähnlich.) Das Codierersystem würde eine Lichtquelle mit langer Wellenlänge, wie beispielsweise einen 633 nm-HeNe-Laser verwenden, der außerhalb des Empfindlichkeitsbereichs von UV-Resists ist. Die reflektierende Auflage (pad) 63 umfasst bei dieser Konfiguration (21b) eine optische Beschichtung, die bei der UV-Belichtungswellenlänge hochreflektierend, jedoch bei der Codiererwellenlänge transparent ist, und das Substrat 64 ist bei beiden Wellenlängen transparent. (Die Beschichtung könnte ebenfalls ausgestaltet sein, sodass durchgelassenes Licht bei der Codiererwellenlänge keine bedeutende Phasendiskontinuität über die Beschichtungsgrenze aufweist.) Eine zweite optische Beschichtung 65, die an der unteren Oberfläche des Substrats ausgebildet ist, arbeitet als ein Strahlenteiler bei der Codiererwellenlänge. Die Betrachtungsbeleuchtung des Codierers 66 wird durch beide Beschichtungen projiziert und mit dem UV-Lichtpfad gemischt. Es wird dann von dem Kollimator 61 und dem Faltspiegel 62 reflektiert, durch das Mikrolinsen-Array 2 durchgelassen und von dem Wafer 12 zurückreflektiert. Der Rückstrahl wird teilweise durch die Strahlenteilerbeschichtung 65 reflektiert, erneut von dem Kollimator 61 reflektiert und auf ein Detektor-Array 14 gerichtet. Aufgrund ihrer längeren Wellenlänge würde die optimale Aperturgröße des Codierersystems größer als die des UV-Belichtungssystems sein (z. B. siehe Gleichung 27 und 14), sodass die Strahlenteilerbeschichtung 65 eine Aperturabmessung aufweisen wird, die größer als die der UV-reflektierenden Auflage 63 ist. Die untere Oberfläche des Substrats 64 wird an einem zusammengesetzten Keilwinkel geschnitten, sodass der von der unteren Beschichtung 65 reflektierte Strahl räumlich von dem von der oberen Beschichtung 63 reflektierten UV-Strahl getrennt wird.
  • 21a zeigt eine Draufsicht des Systems (wie durch den Kollimator 61 betrachtet), die die Positionsbeziehungen zwischen der Faltspiegel-Apertur 62, der Bildquelle 11 und dem Detektor-Array 14 darstellen. Dies stellt nur eine mögliche Konfiguration dar. Die Oberflächenneigung sowohl auf der Oberseite als auch der Unterseite des Substrats 64 kann unabhängig gewählt werden, um die Aperturen 62, 11 und 14 bei jeder bevorzugten Anordnung innerhalb der durch die Kollimator-Apertur 61 definierten Fläche zu positionieren. Die beiden reflektierenden Filme könnten genauso gut an den entgegengesetzten Seiten des Substrats platziert sein (d. h. mit dem UV-reflektierende Film 63 auf der Unterseite und der Strahlenteilerbeschichtung 65 auf der Unterseite), obwohl in diesem Fall die Strahlenteilerbeschichtung ebenfalls UV-transparent sein müsste.
  • Die Bildquelle
  • Die digitale Mikrospiegelvorrichtung (DMD, Ref. 3) weist mehrere günstige Eigenschaften als eine Bildquelle für Mikrolithographie auf: Eine digital programmierbare Bildquelle würde die Notwendigkeit für Lithographiemasken beseitigen; die hohe Framerate der DMD (z. B. 10 kHz) sollte ausreichend sein, um den Durchsatzanforderungen für die Halbleiterherstellung zu genügen; und ihre Pixelgröße (17 μm Mittenbeabstandung) ist klein genug, um Einheitsvergrößerung in dem Projektionssystem zu ermöglichen.
  • Ein paar Kompromisse müssen jedoch passenderweise bei der Ausgestaltung eines DMD-Systems ausgeglichen werden. Diese Kompromisse beziehen sich auf die Aperturgrößenanforderung und die Oberflächenformtoleranz der Mikrospiegel, den Neigungsbereich und die Neigungstoleranz des Mikrospiegels, sowie den Energiewirkungsgrad und das Bildnebensprechen. 22 veranschaulicht eine Ausgestaltungskonfiguration für die Bildquelle, die ein Objektebenen-Mikrolinsen-Array in Verbindung mit dem Mikrospiegel-Array verwendet, um diese Faktoren optimal auszugleichen. Ein außeraxialer Beleuchtungsstrahl (wie beispielsweise der, der durch den Faserilluminator 60 in 19 erzeugt wird) wird durch das Mikrolinsen-Array 67 auf ein Array von Punkten fokussiert; z. B. der Abschnitt des Beleuchtungsstrahls 68, der von der Mikrolinse 69 abgefangen wird, wird auf den Punkt 70 fokussiert. Jeder fokussierte Strahl wird durch einen Mikrospiegel abgefangen, der geneigt ist (wenn in seinem „An"-Zustand), um den Brennpunkt auf einen beugungsbegrenzten Spot in der Mitte der Mikrolinsen-Apertur benachbart der fokussierenden Mikrolinse erneut abzubilden; z. B. der Mikrospiegel 71 bildet den Punkt 70 auf einen Punkt 72 in der Mitte der Mikrolinse 73 benachbart der Mikrolinse 69 erneut ab, und die Projektionsoptik bildet dann den Punkt 72 auf die Mitte einer entsprechenden Apertur des Bildebenen-Mikrolinsen-Arrays erneut ab. Die Mikrospiegel können eine eingebaute optische Leistung enthalten, um diese Bildgebungsfunktion zu ermöglichen. Beispielsweise wird das Element 71 in 22 dargestellt, wie es eine konvexe Krümmung aufweist. (Alternativ könnten die Mikrospiegel als binäre optische Reflektoren ausgebildet sein. Die optische Leistung könnte ebenfalls durch Mikrolinsen, die auf den Mikrospiegeloberflächen ausgebildet sind, oder durch getrennte stationäre Mikrolinsen, die nahe an den Mikrospiegel-Aperturen positioniert sind, bereitgestellt werden.)
  • Zusätzlich zu der Abbildung der Beleuchtungsquelle auf die Mikrolinsen-Aperturen arbeiten die Mikrospiegel und Mikrolinsen ebenfalls, um die Mikrolinsen-Aperturen auf die Projektions-Apertur abzubilden. Beispielsweise wird der Punkt 74 in der Mitte der Mikrolinsen-Apertur 69 von dem Mikrospiegel 71 auf einen virtuellen Bildpunkt 75 abgebildet, der dann von der Mikrolinse 72 (in Kooperation mit der Projektionsoptik) auf die Mitte der Projektions-Apertur abgebildet wird. (Wenn der Mikrospiegel in seiner „Aus"-Stellung ist, wie durch das Element 76 in 22 dargestellt, wird er jedoch geneigt, um den reflektierten Strahl 77 aus der Projektions-Apertur abzulenken.)
  • Ein primärer Vorteil der obigen Ausgestaltungskonfiguration besteht darin, dass die gleichmäßige Beleuchtung über dem Objektebenen-Mikrolinsen-Array 68 wirksam in ein Array von beugungsbegrenzten Punktquellen 72 in dem reflektierten Strahl umgewandelt wird, ohne sich Apertur-Verluste an der Bildquelle zuzuziehen. Dies führt zu einer gesteuerten gleichmäßigen Beleuchtungsverteilung A'' über die Projektions-Apertur und einer Bildebenenverteilung A' mit minimaler Peak-Breite (14). Die beugungsbegrenzte Größe der wirksamen Quellenpunkte 72 wird durch die wirksame Brennweite der Mikrolinsen-Mikrospiegel-Kombination (z. B. Elemente 69 und 71) bestimmt und kann ausgestaltet sein, um den Kompromiss zwischen Bildnebensprechenunterdrückung und Energiewirkungsgrad zu optimieren. (Kleinere Quellenpunkte werden im Allgemeinen Nebensprechenunterdrückung durch Verringern der Breite der Verteilung A' verbessern, jedoch ebenfalls zu einer breiten Projektions-Apertur-Verteilung A'' und folglichem Lichtverlust aufgrund von Überfüllen der Apertur führen (siehe 14).
  • Ein weiterer Vorteil der Konfiguration von 22 besteht darin, dass durch Aufnehmen optischer Leistung in die Mikrospiegel die Brennweite der Objektebenen-Mikrolinsen verringert werden kann und die Mikrospiegel näher an den Mikrolinsen positioniert werden können, ohne eine breitere Verteilung der Projektions-Apertur-Verteilung A'' auf sich zu nehmen. Dies führt zu einem kompakteren System und verringert die Mikrospiegel-Aperatur-Größenanforderung (d. h. Füllfaktor). (Sogar ohne die Aufnahme von optischer Leistung in die Mikrospiegel müssten ihre Aperturen nur halb so groß wie die Mikrolinsen sein.) Außerdem würde die Oberflächenfigurtoleranz und die Mikrospiegelneigungstoleranz ebenfalls gelockert werden, obwohl diese Vorteile durch eine erhöhte Neigungsbereichsanforderung und durch die Notwendigkeit, optische Leistung in die Mikrolinsen aufzunehmen, ausgeglichen werden würde.
  • Die obige Ausgestaltungsvorgehensweise weist ebenfalls den Vorteil auf, dass sie die Verwendung eines Strahlenteilers und den zugeordneten optischen Wirkungsgradverlust vermeidet. Mit der außeraxialen Beleuchtungsanordnung würde es ebenfalls keine Notwendigkeit geben, die Objektebene des Projektionssystems zu neigen, um die Mikrospiegelneigung aufzunehmen.
  • Die DMD ist nicht die einzige Option für die Bildquelle. Eine in 23 dargestellte Alternative besteht darin, eine Photomaske 78 in Verbindung mit einem Objektebenen-Mikrolinsen-Array 79 zu verwenden. (Die Mikrolinsen könnten binäre optische Elemente sein.) Das Projektionssystem 1 bildet das Array 79 auf das Bildebenen-Mikrolinsen-Array 2 mit verringerter Vergrößerung ab. Ein dichtes Array von Bildpixelelementen mit kleinen Auflagen von unterschiedlichem Reflexionsvermögen wird auf der Photomaske-Oberfläche ausgebildet. Bei jedem beliebigen Zeitpunkt werden einige der Pixel an den Objektebenen-Mikrolinsen-Brennpunktpositionen positioniert (d. h. konjugiert zu der Projektions-Apertur) und im Reflexionsmodus von den Mikrolinsen beleuchtet. Die Bildquellenelemente umfassen die Objektebenen-Mikrolinsen und entsprechend beleuchtete Pixel, und die Elemente werden durch Abtasten der Photomaske über das Brennpunkt-Array moduliert, um unterschiedliche Pixel in Position an den Brennpunkten zu bringen. „An"-Pixel werden durch helle Chrom-Auflagen dargestellt, und „Aus"-Pixel umfassen transparente oder absorbierende Bereiche. (Eine Wahl von Graustufen könnte vorgesehen werden, indem geätzte Gitter hoher Frequenz auf den Chrom-Auflagen gebildet werden.) Der Wafer 12 und die Photomaske 78 werden beide synchron abgetastet, und die Beleuchtungsquelle wird ausgetastet, sodass Belichtungen durchgeführt werden, wenn die Mikrolinsenbrennpunkte auf der Maske auf den Pixeln zentriert sind. (Eine Technik zum wirksamen Austasten der Beleuchtung, ohne die Lichtquelle tatsächlich zu modulieren, wird nachstehend erläutert.) Somit wird die Verteilung des Reflexionsvermögens der Photomaske auf eine entsprechende Belichtungsverteilung auf dem Wafer mit verringerter Größe abgebildet.
  • Mit herkömmlichen chrombeschichteten Quarzphotomasken führt die Durchlichtbeleuchtung im Allgemeinen zu einer Bildgebung höherer Qualität als die Beleuchtung mit reflektiertem Licht, da Durchlicht weniger mit den Chromseitenwänden wechselwirkt. Dies würde jedoch nicht der Fall mit dem Mikrolinsensystem sein, da randgestreutes Licht im Wesentlichen durch die Projektions-Apertur eliminiert wird. Die Pixel würden außerdem bedeutend größer als die beugungsbegrenzten Mikrolinsenbrennpunkte sein, sodass es nicht viel Randstreuung geben wird. Beispielsweise würde mit einem zehnfachen Reduktionssystem, das ausgestaltet ist, um eine 0,1 μm-Mikrospot-Periode auf der Wafer-Ebene zu erzeugen, die Photomaskenpixel-Mittenbeabstandung 1 μm sein, wohingegen die Pixelbeleuchtungs-Spots einen Durchmesser (volle Breite bei den ersten Beugungsknoten) von nur etwa 0,5 μm aufweisen würden (unter der Annahme einer Beleuchtung im tiefen UV und einer Mikrolinsen-NA von etwa 0,5). Zusätzlich zum Minimieren von optischen Wechselwirkungen mit den Chromrändern würden die unterfüllten Pixel-Aperturen einen Toleranzspielraum für einen Abtast-Synchronisationsfehler zwischen der Maske und dem Wafer bereitstellen.
  • Wenn die Bildebenen-Mikrolinsen weit getrennt sind, um Bildnebensprechen zu unterdrücken, könnte die Photomaskenausführungsform erhebliche optische Verluste erleiden, da im Gegensatz zum DMD-System (22) das Photomaskensystem keinen Mechanismus zum Konzentrieren der Beleuchtung innerhalb der Objektebenen-Mikrolinsen aufweist. Ein guter Kompromiss zwischen Wirkungsgrad und Nebensprechungsunterdrückung kann durch Verwenden der „effektiven Apodisations"-Technik erreicht werden, die oben unter „Apertur-Ausgestaltung" beschrieben ist: Die Objektebenen-Mikrolinsen-Aperturen und die Projektions-Apertur werden dimensioniert, sodass der erste Beugungsknoten der Amplitudenverteilung A'' an der Projektions-Apertur (14) näherungsweise an der Projektions-Apertur-Grenze ist; und die Bildebenen-Mikrolinsen-Aperturen werden dimensionsmäßig ausgestaltet, sodass der erste Beugungsknoten der Bildebenen-Verteilung A' näherungsweise an der Mikrolinsen-Apertur-Grenze liegt. Diese Anordnung würde dazu neigen, die Beugungsausläufer an der Bildebene zu minimieren, und könnte ebenfalls ermöglichen, das die Mikrolinsen-Aperturen ziemlich eng beabstandet sind, ohne bedeutendes Bildnebensprechen zu erleiden.
  • Der Kompromiss zwischen Wirkungsgrad und Nebensprechenunterdrückung könnte umgangen werden, indem die Mikrolinsen als Bildgebungsvorrichtungen mit erweitertem Feld verwendet werden, anstatt konfokales Modus-Punkt-Bildgebung zu verwenden. Bei diesem Modus würden jedoch die Mikrolinsen- und die Projektions-Apertur vergrößert werden, sodass die Bildebenen-Mikrolinsen-Aperturen und Mikrospots beide viel größer als die Beugungsgrenze sind. (Wie bei dem konfokalen Bildgebungsmodus würden jedoch die Mikrospots mindestens eine Größenordnung kleiner als die Mikrolinsen-Aperturen sein, sodass dieses System den Vorteil beibehält, dass die Mikrolinsen nur über einen kleinen Feldwinkel arbeiten müssen.) Aufgrund der größeren Mikrolinsen-Aperturabmessungen könnte es machbar sein, die Photomaske mit durchgelassenem Licht zu beleuchten, anstatt reflektiertes Licht zu verwenden, sodass das System herkömmliche Photomasken und Standardbildverbesserungstechniken, wie beispielsweise Phasenverschiebung und ringförmige Beleuchtung, verwenden könnte. (Der Begriff „Mikrolinsen" kann in diesem Kontext eine Fehlbezeichnung sein, da die Linsen-Aperturen tatsächlich ziemlich groß, z. B. mehrere Millimeter im Durchmesser sein könnten.) Dieses System könnte gegenüber herkömmlichen monolithischen Schrittmotor-Ausgestaltungen Vorteile aufweisen (z. B. kompakte kostengünstige Optik), obwohl ihm einige der Hauptvorteile der konfokalen Bildgebung (überdurchschnittliche seitliche Auflösung und Tiefendiskriminierung, Unempfindlichkeit gegen geometrische und chromatische Aberrationen in dem Linsen-Array, weniger Empfindlichkeit gegen optische Kohärenzeffekte) fehlen würde.
  • Andere Arten von Bildquellenmechanismen könnten ebenfalls mit dem Mikrolinsen-Scanner verwendet werden. Beispielsweise könnte ein reflektierender Filmstreifen anstatt einer Photomaske verwendet werden. Obwohl die meisten der oben betrachteten Vorrichtungsausführungsformen eine Beleuchtung mit reflektiertem Licht verwenden, könnte durchgelassenes Licht ebenfalls potenziell verwendet werden. Die Bildquelle könnte beispielsweise ein Filmdia oder eine Flüssigkristallvorrichtung (LCD = liquid crystal device) sein. Reflektierende Medien weisen jedoch den Vorteil auf, dass die Beleuchtung auf ein Array sehr kleiner Pixelelemente mittels eines Objektebenen-Mikrolinsen-Arrays in enger Nähe zu dem lichtmodulierendem Elementen herunter fokussiert werden kann (wie in 22 und 23). Außerdem übertragen transparente Medien, wie beispielsweise Filmdias, im Allgemeinen tiefe UV-Beleuchtung nicht effizient.
  • Die Beleuchtungsquelle
  • Das in 20 dargestellte katadioptrische System würde eine sehr kleine chromatische Aberration aufweisen, sodass es möglicherweise mit einer Beleuchtungsquelle mit ziemlich breiter Bandbreite (z. B. 10 nm), wie beispielsweise eine gefilterten Bogenlampe, verwendet werden könnte. Dies könnte für eine I-Linien (365 nm)-Verarbeitung machbar sein, wobei jedoch für kürzere Wellenlängen eine tiefe UV-Laserquelle erforderlich sein kann, um eine ausreichende Belichtungsenergie zu erreichen.
  • Ein gepulster Laser, wie beispielsweise ein Krypton-Fluorid(248 nm)- oder Argon-Fluorid(193 nm)-Excimerlaser könnte eine sehr hohe Belichtungsenergie bereitstellen. Eine Linienverengung, die mit herkömmlichen Systemen aufgrund ihrer hohen chromatischen Dispersion erforderlich ist, würde mit dem katadioptrischen System nicht erforderlich sein. Die Impulsdauer eines Excimerlasers ist ausreichend kurz (z. B. 10 ns), sodass es keine bedeutenden Punktverschmierung in dem belichteten Bild geben würde. Der Hauptnachteil von Excimerlasern besteht darin, dass ihre Impulswiederholungsrate typischerweise geringer als 1 kHz ist, was viel geringer als die erreichbare Framerate von DMDs und für die hohen Durchsatzanforderungen der Halbleiterherstellung wahrscheinlich zu langsam ist. Diese Begrenzung könnte entweder nur durch starke Zunahme der Anzahl von Pixeln in der DMD oder indem mehrere Mikrolinsen-Scannersysteme parallel arbeiten, überwunden werden. (Ein einziger Laser könnte möglicherweise die Beleuchtung zu mehreren Scannereinheiten liefern.)
  • Die Framerate würde nicht begrenzt sein, wenn eine kontinuierliche Beleuchtungsquelle, wie beispielsweise eine Bogenlampe, verwendet wird. Für Anwendungen mit tiefem UV kann ein Frequenz-vervierfachter Nd:YAG-Dauerstrich-Laser (266 nm) als eine attraktive Option verwendet werden (Ref. 6). Ein Problem, das mit einer kontinuierlichen Quelle angetroffen werden kann, ist die Bildpunktverschmierung aufgrund der relativen Bewegung zwischen dem Mikrolinsen-Array und dem Wafer. Eine Art und Weise, um die Verschmierung zu verringern, würde darin bestehen, die Beleuchtung durch Verschließen der Lichtquelle wirksam abzutasten, sodass jedes Bild-Frame über eine sehr kurze Zeitspanne belichtet wird. Dieses Verfahren würde jedoch einen sehr großen optischen Wirkungsgradverlust mit sich bringen. Ein großer Teil des Verlustes könnte möglicherweise durch Verwenden der Lichtquelle wiederhergestellt werden, um mehrere Scannereinheiten zu versorgen. (Anstatt Verschließen der Lichtquelle würde ein optischer Schaltmechanismus verwendet werden, um die Beleuchtung durch mehrere Einheiten sequentiell zyklisch zu wiederholen.) In der Praxis könnte jedoch die Beleuchtungsquelle nicht genug Leistung aufweisen, um mehrere Einheiten zu versorgen.
  • Eine praktische Lösung des Punktverschmierungsproblems würde darin bestehen, einen Strahlabtastmechanismus in der Beleuchtungsoptik aufzuweisen, der den Strahl durch Fokussieren der Beleuchtung auf ein schmales Band oder einen Satz von parallelen Bändern austastet, die mit hoher Geschwindigkeit über die Bildquelle abgetastet werden. (Konzeptionell ist dies der oben erwähnten optischen Schaltvorgehensweise analog, mit der Ausnahme, dass anstatt den Strahl zwischen unterschiedlichen Mikrolinsen-Scannereinheiten umzuschalten, wird er wirksam zwischen unterschiedlichen Regionen innerhalb einer einzigen Einheit umgeschaltet wird.) Dieses Verfahren wird in 24 und 25 dargestellt.
  • 24 zeigt, wie die Mikrolinsen bezüglich dem Wafer-Belichtungsmuster mittels herkömmlicher, Vollfeldbeleuchtung mit einer gepulsten Lichtquelle positioniert werden. Die kleinen Kreise (z. B. 80) stellen beugungsbegrenzte Mikrospots auf dem Wafer dar, die mit veränderlichen Intensitätsniveaus belichtet werden, um das Rasterbild zu erzeugen. Die großen Kreise 81 stellen die Mikrolinsen-Aperturen dar, und die „+" Marken 82 stellen die Positionen der Mikrolinsenbrennpunkte bezüglich der Mikrospots zu einem bestimmten Zeitpunkt dar. (Im Kontext des Systems von 23 könnte 24 alternativ als die Photomaske 78 darstellend interpretiert werden, wobei die Kreise die reflektierenden Auflagen und die „+" Marken die Brennpunkte der Objektebenen-Mikrolinsen 79 darstellen.) Der Wafer (oder die Maske) wird in der Abtastrichtung 19 translatorisch verschoben, und die Lichtquelle wird gepulst, wenn die Brennpunkte über den Mikrospots zentriert sind.
  • 25 veranschaulicht die Belichtungsgeometrie mit der Technik der abgetasteten Beleuchtung. Die Beleuchtung ist auf ein enges Band 83 (oder Satz von parallelen Bändern), das über das Objekt abgetastet wird, und Bildfelder in einer Richtung 64 quer zu dem Band (oder Bändern) beschränkt. Der Strahl wird synchron mit der Wafer-Abtastung abgetastet, sodass jeder Mikrolinsenbrennpunkt über die Mitte eines Mikrospots während der Zeit läuft, die er beleuchtet wird. (Es sei bemerkt, dass in 25 die Mikrolinsenbrennpunkte außerhalb des beleuchteten Bereichs 83 nicht auf den Mikrospots zentriert sind, sondern dass die Wafer-Abtastung sie zu einer zentrierten Position zu der Zeit verschoben haben wird, wenn sie von dem Beleuchtungsstrahl durchlaufen werden.)
  • Positionsrückkopplung und -steuerung
  • Bei den in 3 und 21a, b dargestellten Ausführungsformen arbeitet das Mikrolinsen-Array in Verbindung mit einem optischen Detektor-Array 14 als ein Positionscodierer, der eine Rückkopplung an einen Wafer-Positionierungsservomechanismus mit geschlossener Schleife bereitstellt. (Eine ähnliche Art von System könnte verwendet werden, um den Photomasken-Scanner bei dem System von 23 zu steuern.) Die Codiererdaten könnten die seitliche Position des Wafers (X und Y, wobei X die Abtastkoordinate und Y die Querabtastkoordinate ist), den Brennpunkt (Z), die Neigung (d. h. die Rotationsverschiebungen um die X- und Y-Achsen) und θ (Rotation um die Z-Achse) umfassen. Außerdem könnte das System ein Maß der Oberflächen-Verwölbungsverteilung zwischen dem Wafer und dem Mikrolinsen-Array bereitstellen (d. h. Z als eine Funktion von X und Y). Typischerweise wird der Positionscodierer eine Betrachtungswellenlänge verwenden, die sich von der Belichtungswellenlänge unterscheidet, sodass das Mikrolinsen-Array Mikrolinsenelemente aufnehmen müsste, die besonders für die Codiererwellenlänge ausgestaltet sind. (Es kann im Prinzip möglich sein, Mikrolinsen mit dualer Wellenlänge auszugestalten. Beispielsweise könnte eine hybride Beugungs-Brechungs-Ausgestaltung verwendet werden. Die Fertigung einer derartigen Ausgestaltung würde jedoch schwierig sein und kann Leistungskompromisse mit sich bringen.) Die Codierer-Mikrolinsenelemente könnten als lineare Arrays ausgebildet werden, die dem zweidimensionalen Array von Belichtungsmikrolinsen angrenzen oder darin verteilt angeordnet sind.
  • Die X-Y Positionsabfühlung könnte mittels einer Moiré-Technik erreicht werden, bei der die konfokale Antwort auf ein periodisches Muster von Mikrolinsen auf einem periodischen Verfolgungsmuster gemessen wird. Die Technik wird in 26 für die X-Verfolgung dargestellt. Eine periodische Reihe von Mikrolinsen L0, L1, L2, ... mit einer Mittenbeabstandung dm ist über einem periodischen Verfolgungsmuster (wie beispielsweise einem geätzten Reliefgitter mit rechtwinkligem Profil) mit der Periode dτ positioniert. Die Verfolgungsposition kann hinsichtlich eines Parameters X0 spezifiziert sein, der definiert ist, um die X-Position in Wafer-gestützten Koordinaten des Brennpunkts der Linse L0 zu sein. (X0 verändert sich linear mit der Zeit.) Die Detektorelemente umfassen Lichtabfühlpixel, und das konfokale Antwortsignal Sn an dem der Linse Ln entsprechenden Pixel als eine Funktion der Verfolgungsposition X0 und der Pixelzahl n erfüllt die Periodizitätsbeziehung Sn[X0] = S0[X0 + ndm] (36)
  • Die Funktion S0 ist periodisch Modulo dτ, Sn[X0] = S0[Xmoddt] (37)
  • Die Abmessungen dm und dτ werden gewählt, sodass dm nahe an jedoch nicht genau gleich einem ganzzahligen Vielfachen von dτ ist, dm = kdt + δ, (38)wobei k eine ganze Zahl und δ ein kleiner Wert (erheblich kleiner als dτ) ist. Somit Sn[X0] = S0[(X0 + n(kdt + δ))moddτ] = S0[X0 + nδ] (39)
  • Das Signalprofil wird sich um 1 Pixel verschieben, wenn sich der Wafer um einen Abstand δ bewegt Sn+1[X0] = S0[X0 + (n + 1)δ] = S0[(X0 + δ) + nδ] = Sn[X0 + δ], (40)somit kann die konfokale Pixelauflösungsantwort verwendet werden, um X0 mit einer Auflösung von δ zu messen. Eine bessere Auflösung kann durch Interpolieren des Detektorsignals zwischen Pixeln erreicht werden. Das interpolierte Detektorsignal (als eine Funktion der Pixel-Bruchzahl n) wird periodisch Modulo dτ/δ sein, wie in 27 dargestellt:
    Figure 00470001
    (aus Gleichungen 39 und 37). Die Signalperiode dτ/δ ist sehr groß, sodass die Phase des Detektorsignals auf Subpixel-Genauigkeit genau gemessen werden kann, was zu einer Messgenauigkeit X0 führt, die erheblich besser als δ ist.
  • In der Praxis können verschiedene Sätze von Positionssensor-Mikrolinsen zum Fokussieren bei unterschiedlichen Höhen bereitgestellt werden. Beispielsweise veranschaulicht 28 drei dazwischen verteilte Mikrolinsensätze mit unterschiedlichen Brennpunkthöhen h1, h2 und h3. Die Mikrolinsen können ebenfalls zum Fokussieren durch unterschiedliche Dicken von Resist sphärisch korrigiert sein.
  • 29 veranschaulicht eine Draufsicht (auf die Wafer-Ebene herunterblickend) eines Musters, das zum Verfolgen von X, Y und θ-Rotation verwendet werden könnte. Zwei parallele Spuren werden in den Wafer-Ritzlinien ausgebildet, die jeweils parallele geätzte Mulden 85 bei 45° zu den X-Y-Achsen umfassen. Die Mulden in einer Spur sind senkrecht zu denjenigen der anderen. Eine Reihe von Mikrolinsen ist über jeder Spur angeordnet. Die Mikrolinsenbrennpunktpositionen an einem bestimmten Zeitmoment werden in 29 durch „+" Marken 86 angegeben. (Die Querschnittsgeometrie in einer X-Z-Ebene durch jede der beiden Mikrolinsenreihen umfasst die oben beschriebene und 26 oder 28 dargestellte Form.) Wenn (X0, Y0) definiert werden, um die Wafer-gestützten Koordinaten an einem bestimmten Brennpunkt zu sein, wird die Phase des Signalprofils von jeder Mikrolinsenreihe eine Funktion von sowohl X0 als auch Y0 sein, wobei eines der Phasenglieder proportional zu X0 + Y0 und das andere proportional zu X0 – Y0 ist. Die Summe der beiden Phasenglieder liefert ein Maß von X0 und die Differenz ein Maß von Y0. Außerdem wird jede geringfügige θ-Rotation zu einer messbaren Verschiebung in den Oberschwingungen der beiden Signalprofile führen. Eine Frequenz wird sich mit θ erhöhen, wohingegen die andere abnehmen wird, sodass die Differenz zwischen den beiden Frequenzen ein genaues Maß von θ bereitstellen kann. Die Summe der beiden Frequenzen könnte ebenfalls überwacht werden, um jede Fehlübereinstimmung in der thermischen Ausdehnung zwischen dem Mikrolinsen-Array und dem Wafer zu erfassen. Das Verfolgungssignal könnte digital analysiert werden, oder analoge Elektronik könnte verwendet werden, um das Verfolgungssignal in ein Positionierungssteuersignal umzuwandeln. Die Positionsrückkopplung würde gegen geringe Fehler oder zufällige Ungenauigkeiten in entweder den Mikrolinsen oder dem Verfolgungsmuster unempfindlich sein, da die Positionsmessung Daten aus einer großen Anzahl von Mikrolinsen (z. B. 1000) verwendet.
  • Wenn die Wafer-Stufe einen Codierer aufweist, der ausreichend genau und präzise ist, könnte ein viel einfacheres Verfolgungsverfahren verwendet werden, bei dem die Positionsrückkopplung einfach durch den Stufencodierer selbst anstatt durch den Mikrolinsencodierer bereitgestellt wird. Es würde jedoch noch immer notwendig sein, den Wafer bezüglich des Mikrolinsen-Arrays genau zu lokalisieren und auszurichten, und die Mikrolinsenbildgebung könnte für eine Vorbelichtungsausrichtung verwendet werden. Das Ausrichtungsmuster könnte große Strukturen für eine grobe Positionierung (ein Grau-Code-Strichmuster) plus ein einfaches periodisches Linien/Raum- oder Schachbrettmuster umfassen, um eine hochauflösende X- und Y-Messung durch die oben umrissene Moiré-Technik bereitzustellen (26, 27). Mindestens zwei Sätze von Ausrichtungsmustern würden bei weit getrennten Stellen auf dem Wafer ausgebildet, um eine gute θ-Messgenauigkeit zu bekommen.
  • Andere X-Y-Ausrichtungstechniken sind ebenfalls möglich. Anstatt das Mikrolinsen-Array als ein Positionsabfühlelement zu verwenden, könnte ein Moiré-Beugungsgitter verwendet werden (Ref. 10). Optische Positionscodiererelemente, wie beispielsweise Mikrolinsen-Arrays oder Moiré-Beugungsgitter, könnten ebenfalls direkt in der Wafer-Stufe eingestellt werden, und die Ausrichtungsmuster könnten auf der Rückseite des Wafers ausgebildet sein. Verschiedene Vorteile dieser Vorgehensweise bestehen darin, dass die Ausrichtungsmuster keinen wertvollen Wafer-Raum beanspruchen würden, sie nicht durch die Wafer-Verarbeitungsschritte beeinflusst werden würden, und die enge optische Kopplung zwischen Stufeneingebetteten Codiererelementen und dem Wafer könnte helfen, die Ausrichtungsgenauigkeit zu verbessern. Ein primärer Nachteil der Rückseitenausrichtung (und der Oberseitenausrichtung mit einem Moiré-Gitter) besteht darin, dass sie kein direktes Maß der Wafer-Position bezüglich dem Mikrolinsen-Array bereitstellt, sodass die Ausrichtung eine genaue Kalibrierung des X-Y-Versatzes zwischen dem Array und den Positionscodierern erfordern würde. (Dieser Nachteil könnte möglicherweise durch Durchführen aller Fertigungsschritte auf jedem Wafer mittels des gleichen Belichtungswerkzeugs abgeschwächt werden: Der sich auf den X-Y-Versatz beziehende Positionsfehler würde dann der gleiche auf allen Prozess-Schichten sein und somit nicht die Überdeckungsgenauigkeit beeinflussen.)
  • Der Wafer-Stufencodierer kann im Stande sein, ein ausreichend genaues und präzises Positionsrückkopplungsignal bereitzustellen, ob sich das System jedoch auf den Stufencodierer als der primäre Positionsrückkopplungssensor stützt oder nicht, der Stufenantriebsmechanismus kann selbst nicht im Stande sein, eine ausreichend präzise und ansprechende Positionssteuerung bereitzustellen. Stufenmotoren mit hoher Trägheit könnten eine geschmeidige gleichmäßige Abtastbewegung mit Positioniergenauigkeiten auf dem Submikrometerniveau bereitstellen, um jedoch Ausrichtungsgenauigkeiten unter dem 0,1 μm Niveau bereitzustellen, während das Bild mit einer Framerate von etwa 10 kHz abgetastet wird, können außerdem zusätzliche Ausrichtungsmittel erforderlich sein.
  • Zwei ergänzende Feinausrichtungsmechanismen könnten entweder allein oder zusammen verwendet werden. Einer würde ein sehr genauer X-Y-Positionswandler, wie beispielsweise ein piezoelektrischer Aktuator sein, der direkt mit dem Mikrolinsen-Array gekoppelt ist. Wenn die Mikrolinsen-Aperturen überfüllt sind, werden geringfügige seitliche Verschiebungen in den Mikrolinsenpositionen einfach veranlassen, dass sich ihre Brennpunkte seitlich verschieben, ohne die Intensitätsverteilung des fokussierte Spots bedeutend zu beeinflussen. Dieser Mechanismus würde eine schnelle Antwortzeit aufgrund der niedrigen Trägheit des Mikrolinsen-Arrays aufweisen, wobei er jedoch typischerweise einen Aktuator mit einer Auflösung erfordern würde, die viel besser als 0,1 μm ist. (Wenn das Mikrolinsen-Array nicht selbst als der primäre Positionsrückkoplungssensor arbeitet, müßte ein zusätzlicher X-Y-Positionscodierer ebenfalls in dem Mikrolinsensystem als Teil des Feinausrichtungsmechanismus aufgenommen werden.) Bei der Ausführungsform von 23 könnte diese Technik ebenfalls für die X-Y-Feinausrichtung zwischen der Photomaske 78 und dem Objektebenen-Mikrolinsen-Array 79 verwendet werden.
  • Die zweite Vorgehensweise besteht darin, einen X-Y-Positions-Aktuator an der Projektions-Apertur anzubringen. Die fokussierten Spots auf dem Wafer sind beugungsbegrenzte Bilder der Projektions-Apertur. Solange wie die Apertur ausreichend überfüllt ist, wird eine seitliche Verschiebung der Apertur eine entsprechende Positionsverschiebung der Spots verursachen. Die Beleuchtungsoptik könnte einen strahllenkenden Mechanismus umfassen, der synchron mit dem Apertur-Aktuator arbeitet, um die Beleuchtungsenergie zentriert auf der Apertur zu halten. Ein Vorteil dieser Vorgehensweise besteht darin, dass große Positionseinstellungen der Apertur sich in außergewöhnlich feine Auflösungsverschiebungen in den fokussierten Spot-Positionen übersetzen werden (z. B. eine Apertur-Verschiebung von 1 mm könnte typischerweise eine Bildverschiebung weit unter 0,1 μm hervorrufen). Es sei bemerkt, dass bei der in 21a,b gezeigten Systemkonfiguration die UV-Belichtungsprojektions-Apertur und die Betrachtungsprojektions-Apertur beide auf einem gemeinsamen Substrat 64 ausgebildet sind, sodass, wenn die Substratposition für die Feinausrichtungssteuerung eingestellt wird, das X-Y-Rückkopplungssignal des Detektors immer die relative Position des Wafers mit angelegter Ausrichtungskorrektur darstellen wird. (Diese Art von System würde eine Ausrichtungssteuerung mit geschlossener Schleife bereitstellen. Eine alternative Ausgestaltung mit offener Schleife könnte konfiguriert werden, indem der UV-Apertur-Film 63 auf einem getrennten bewegbaren Substrat aufgetragen wird, während der Betrachtungs-Apertur-Film 65 unverändert bleibt, sodass das Detektorsignal die relative Wafer-Position darstellt, bevor die Feinausrichtungskorrektur angewendet wird.)
  • Die X-Ausrichtung könnte ebenfalls durch Synchronisieren des Bild-Frame-Umschaltens mit dem X-Codierersignal fein eingestellt werden. Wenn die Beleuchtungsquelle beispielsweise ein Excimerlaser ist, könnte sein Impulsschalten von dem Codierersignal ausgelöst werden. Wenn eine DMD-Bildquelle alternativ mit einer kontinuierlichen mit einer kontinuierlichen Lichtquelle verwendet wird, könnte die Framerate mit X synchronisiert werden, indem eine variable Zeitverzögerung in das Pixelumschalten gebracht oder indem das Taktsignal der DMD auf das Codierersignal phasenverriegelt wird.
  • Zusätzlich zum Arbeiten als ein Positionscodierer für die X-Y-Verfolgung oder Ausrichtung könnte das Mikrolinsen-Array ebenfalls arbeiten, um Brennpunktfehler zu messen. Die normierte konfokale Brennpunktantwort I[Z] jeder Mikrolinse als Funktion der Brennpunktposition Z umfasst die Form I[Z] = sinc2[(4Z/λ)sin2[α/2]], (42)wobei λ die Wellenlänge, sin[α] die numerische Apertur der Mikrolinse und sinc[u] = sin[πn]/(πu) ist (Ref 1; Gleichung 1.1 auf Seite 11). Beispielsweise stellt 30 die konfokale Antwort eines 0,5 NA-Systems dar, das bei einer Wellenlänge λ = 0,633 μm arbeitet. Die volle Breite der Kurve bei halbem Maximum beträgt 2,1 μm. Ein sehr genaues Maß des Fokusfehlers kann durch Vergleichen der Signalantworten der beiden benachbarten Mikrolinsen erhalten werden, die einen geringfügigen relativen Versatz in ihren Brennpunktkurven aufweisen. Der Versatz könnte in die Mikrolinsen hinein entwickelt sein; beispielsweise stellt 31 die beiden Brennpunkt-Sensor-Mikrolinsen 87 und 88 dar, wobei ihre Brennebenen jeweils über und unter der Wafer-Oberfläche 12 um einen Abstand ΔZ verschoben sind.
  • Ein Fokusversatz könnte ebenfalls erzeugt werden, indem zwei parfokale Mikrolinsen über Bereiche auf dem Wafer mit unterschiedlichen Ätztiefen positioniert werden. Beispielsweise stellt 32 eine Konfiguration dar, bei der die Fokus-Sensor-Mikrolinsen 87 und 88 ausgestaltet sind, um eine gemeinsame Brennebene aufzuweisen, die um einen kleinen Abstand ΔZ unter der Wafer-Oberfläche verschoben ist, und Abschnitte der Wafer-Oberfläche 12 (z. B. Bereiche innerhalb der Ritzlinien) werden auf eine Tiefe von 2ΔZ geätzt. (Da die Brennweiten der Mikrolinsen natürlich dazu neigen, bei langen Wellenlängen aufgrund chromatischer Dispersion größer zu sein, weist diese Vorgehensweise den potenziellen Vorteil auf, dass Spezialzweck-Brennpunkt-Sensor-Linsen nicht erforderlich sein können. Der gleiche Linsensatz könnte verwendet werden, um sowohl die UV-Belichtungspunkte auf die oberen Oberfläche und die 0,633 μm Strahlung unter die oberen Oberfläche zu fokussieren.) Mittels jeder der beiden Vorgehensweisen (31 oder 32) wird eine der Mikrolinsen eine konfokale Antwort I[Z + ΔZ] aufweisen, während die Antwort der anderen I[Z – ΔZ] sein wird. Die beiden Antwortfunktionen können kombiniert werden, um ein selbstnormiertes Fokusrückkopplungsignal F[Z] der Form
    Figure 00540001
    zu erhalten. Diese Funktion wird in 33 für ein 0,5 NA-System dargestellt, das bei einer Wellenlänge λ = 0,633 μm mit ΔZ = 0,5 μm arbeitet. Innerhalb eines ±1,5 μm Bereichs ist die Funktion monoton und verändert sich näherungsweise linear mit der Brennpunktposition.
  • Wenn das in 31 dargestellte Konzept verallgemeinert wird, könnten drei oder mehr Mikrolinsensätze, die einen Bereich von Brennhöhen abdecken, verwendet werden, um eine Feinfokussierfähigkeit über einen großen Bereich von Brennpunktpositionen bereitzustellen. (Oder wenn das Konzept von 32 erweitert wird, können drei oder mehr Ätztiefen zur Fokussierung mit erweitertem Bereich mit parfokalen Mikrolinsen bereitgestellt werden.) Mikrolinsen mit niedriger NA und langer Brennweite könnten verwendet werden, um eine Grobfokussierung über einen noch größeren Bereich bereitzustellen, obwohl die Fokussierelemente mit langem Bereich keine so gute Genauigkeit aufweisen würden. (Der Fokusbereich und der Präzisionsfehler verändern sich beide im Verhältnis zu 1/NA2.)
  • Zusätzlich zum Messen der Brennpunktposition kann die relative Neigung zwischen dem Wafer und dem Mikrolinsen-Array durch Kombinieren der Ausgabe von drei oder mehr Z-Positions-Codierern gemessen werden, die an weit getrennten Positionen auf dem Array verteilt sind. Eine vollständige Z-Höhen-Abbildung über das Array kann ebenfalls durch Kombinieren der Ausgabe einer großen Anzahl von Z-Sensoren durchgeführt werden. Diese Daten könnten verwendet werden, um Verwölbungsverzerrung zu messen.
  • Eine Vorgehensweise für die Verwölbungsmessung würde darin bestehen, eine Reihe von Z-Sensoren entlang der führenden Kante des Mikrolinsen-Arrays zu verwenden, um die Verwölbungsverteilung auf eine Rasterart abzubilden, wenn der Wafer unter dem Array abgetastet wird. Dieses Verfahren würde jedoch keine Information über die eigene intrinsische Verwölbung des Arrays liefern, die getrennt kalibriert und zu der Wafer-Verwölbung hinzugefügt werden müsste, um die kumulative Verwölbungsverzerrung zu erhalten. Die Verwölbung des Arrays könnte durch Messen der konfokalen Antwort der Belichtungs-Mikrolinsen auf einer optischen Planfläche (mit UV-Beleuchtung) über einen Bereich von Brennpunkthöhen kalibriert werden. Ein einfacheres und robusteres Verfahren zum Messen der Verwölbung könnte darin bestehen, mehrere Reihen von Z-Sensorelementen parallel zu der Reihe der führenden Kante und innerhalb des Arrays angeordnet zu verwenden. Ein Mikrolinsen-Layout mit verteilten Z-Sensoren wird in 34 dargestellt. Dies ist dem Mehrfach-Abtastungs-Layout in 6 mit der Ausnahme ähnlich, dass Z-Sensor-Linsen (als die schattierten Kreise dargestellt) entlang der Abtastlinien verteilt sind. Beispielsweise werden benachbarte Abtastlinien 89 und 90 von einer Z-Sensoreinheit 91 abgedeckt, die zwei oder mehr Mikrolinsen 92 und 93 an der führenden Kante des Arrays umfasst. Diese Elemente sind zum Betrieb bei der Betrachtungswellenlänge des Codierers ausgestaltet und weisen einen eingebauten Brennpunktversatz wie in 31 auf. (Idealerweise würden die Elemente 92 und 93 die gleiche Abtastlinie abdecken, wobei sie jedoch eine geringfügige Y-Verschiebung in 34 aufweisen, sodass die Mikrolinsen in einem quadratischen Array ausgelegt werden können.) Diese Einheit wird von UV-Belichtungslinsen 94 und 95, einer zweiten Z-Sensor-Einheit 96 und Belichtungslinsen 97 und 98 etc. gefolgt. (In 34 wird ein Drittel der Linsen als Z-Sensorelemente gezeigt, wobei jedoch in der Praxis das Verhältnis näher 1% oder weniger sein kann.)
  • Der gemessene Verwölbungs-induzierte Brennpunktfehler könnte dynamisch durch Induzieren einer kompensierenden Verwölbungsverteilung in dem Array korrigiert werden. Die korrigierte Verwölbung wird durch Anlegen einer Spannungsverteilung entlang des Umfangs des Mikrolinsen-Arrays erzeugt (z. B. mittels piezoelektrischer Wandler). Eine sehr allgemeine Verwölbungsverteilung kann durch dieses Verfahren induziert werden. Über das Innere des Arrays gibt es keine Normalkräfte, und die induzierte Z-Verschiebung δZ[X, Y] als Funktion der transversalen Koordinaten X und Y wird durch die allgemeine Gleichgewichtsgleichung für dünne Platten beschrieben:
    Figure 00560001
    (von Ref. 11, Gl. 13.41 auf Seite 727). Die Lösung dieser Gleichung wird durch die Randwerte von δZ und seinem Gradienten bestimmt; somit können durch Steuern der Oberflächenhöhe und des Gradienten an dem Array-Umfang jede Verwölbungsverteilung, die die obige Gleichung erfüllt, prinzipiell erzeugt werden. Beispielsweise könnte eine Verwölbungsverteilung, die die Form eines allgemeinen Polynoms dritter Ordnung in X und Y aufweist, induziert werden.
  • Die obige Technik könnte in der Praxis wie folgt implementiert werden. Es sei angenommen, dass es Z-Sensoren gibt, die in dem Inneren des Mikrolinsen-Arrays verteilt sind, die Fokushöhenmessungen Z1, Z2, ... bezüglich der Wafer-Oberfläche bereitstellen. Spannungsaktuatoren, die um den Umfang des Arrays verteilt sind, werden durch Spannungen V1, V2, ... gesteuert. Variationen δV1, δV2, ... in den angelegten Spannungen werden kleine Fokushöhenverschiebungen δZ1, δZ2, ... hervorrufen, die eine näherungsweise lineare Abhängigkeit von den Spannungen aufweisen
  • Figure 00570001
  • Die Cijs sind konstante Kalibrierungskoeffizienten, die durch Fokussieren auf eine optische Planfläche und Messen der induzierten Verwölbungsungsverteilung bestimmt werden können, wenn verschiedene Spannungskombinationen angelegt werden. Gleichung 45 kann in Matrixschreibweise ausgedrückt werden als δΖ = CδV. (46)
  • Im Betriebsmodus des Mikrolinsen-Arrays werden die Brennpunkthöhen Z1, Z2, ... dynamisch gemessen und von der Auslegungsbrennpunkthöhe subtrahiert (mit Ausgleich für jede hinein entwickelte Wafer-Topographie), um die berechneten Höhenkorrekturen δZ1, δZ2, ... zu erhalten. Gleichung 46 kann dann verwendet werden, um die Steuerspannungseinstellungen zu berechnen, die die berechnete Korrektur induziert werden. Gleichung 46 kann im Allgemeinen nicht genau gelöst werden, da es typischerweise viel mehr Z-Sensoren als Aktuatoren geben kann, wobei die Gleichung jedoch näherungsweise durch Minimierung kleinster Quadrate gelöst werden kann: δV = (CTC)–1CTZδ, (47) wobei CT die transponierte Matrix von C ist. Mit einer Modifikation, um eine Dämpfung von Rückkopplungsschwingungen vorzusehen, könnte Gleichung 47 als die Grundlage eines Algorithmus dienen, um eine Steuerung mit geschlossener Schleife der Feinfokus-, Neigungs- und Verwölbungskompensation bereitzustellen.
  • 35a, b sind Drauf- und Seitenansichten im Schnitt eines Systems, das eine Sechsachsen-Mikropositionierungssteuerung des Mikrolinsen-Arrays plus Verwölbungskompensation bereitstellt. Die Mikrolinsen werden als ein geätztes Oberflächenreliefmuster auf einer sehr dünnen Quarzglas-Platte 37 ausgebildet (siehe 15), die an einem tragenden Quarzglas-Superstrat 39 gebondet ist. Das Superstrat ist plattenförmig und dünn genug, um etwas Flexibilität aufzuweisen, und seine untere Oberfläche umfasst eine geringfügige flache Abschrägung 99 um seinen Umfang, um Wafer-Spielraum sicherzustellen, wenn die Platte gebogen wird. Es ist an einem starren, geflanschten röhrenförmigen Element 100 mit vertikal gepolten piezoelektrischen Auflagen (z. B. 101 und 102) befestigt, die die Z-Höhenverteilung über den Umfang des Superstrats steuern. Die Auflagen sind in einer umfangsmäßigen Anordnung von gepaarten Elementen verteilt, wobei die Elemente jedes Paars (z. B. Elemente 101 und 102) radial versetzt sind, sodass sie entgegengesetzt wirken können (z. B. eines zieht sich zusammen, das andere erweitert sich), um den Oberflächengradienten des Superstrats an seinem Umfang zu steuern.
  • Die äußere Oberfläche des röhrenförmigen Elements 100 weist drei Planflächen auf, auf denen piezoelektrische Scherplatten (z. B. 103) gebondet sind. Die äußere Oberfläche jeder Platte ist an einer Blattfeder (z. B. 104) gebondet, die an einem tragenden äußeren Rohr 105 befestigt ist. Jede piezoelektrische Platte ist horizontal, parallel zu der angebrachten Blattfeder gepolt, sodass die drei Platten betätigt werden können, um eine X-, Y- und θ-Mikropositionierungssteuerung bereitzustellen. Das äußere Rohr 105 könnte in einem herkömmlichen Mikroskop-Fokusmechanismus untergebracht sein, der für die anfängliche Grobfokuseinstellung verwendet wird, der jedoch normalerweise während Abtastbelichtungsvorgängen verriegelt sein würde.
  • 36 zeigt ein Schema auf Systemebene, das die oben beschriebenen verschiedenen Rückkopplungs- und Steuermechanismen bei einer bevorzugten Ausführungsform zusammenfasst. (Ein praktisches Mikrolithographie-Belichtungswerkzeug würde nicht notwendigerweise alle in 36 dargestellten Elemente erfordern.) Optische Pfade werden in dem Diagramm durch dicke durchgezogene Linien angegeben; elektronische Daten oder Signalpfade werden als dünne durchgezogene Linien dargestellt; und mechanische Steuerverknüpfungen werden als gestrichelte Linien dargestellt. Der „Controller" 106 kann einen oder mehrere Computer, digitale Mikrocontroller, Analogschaltungen oder eine Kombination derartiger Elemente umfassen. Der Controller synchronisiert die Wafer-Abtastung, die DMD-Bild-Frame-Erzeugung und (optional) einen Beleuchtungsstrahl-Scanner 107 (siehe 25); und er steuert ebenfalls eine Rückkopplungsschleife, die Abtastpositionierungsfehler dynamisch korrigiert. Das Signal des optischen Detektors liefert dynamische Brennpunkt-, Neigungs- und Verwölbungsmessung während der Abtastung und liefert ebenfalls X-Y- und θ-Positionsdaten hoher Auflösung für eine Vorbelichtungsausrichtung durch die oben beschriebene Moiré-Technik. Das Moiré-Signal könnte möglicherweise ebenfalls zur dynamischen Abtaststeuerung verwendet werden, obwohl es praktischer sein kann, sich auf den Stufencodierer 108 für eine feine X-Y-Abtastpositions-Abfühlung zu stützen. Eine grobe X-Y-Abtastbetätigung würde durch die Stufenmotoren 109 bereitgestellt, während eine X-Y-Abtastpositionierung hoher Auflösung sowie auch eine Brennpunkt-, Neigungs- und Verwölbungskorrektur von einem mit dem Mikrolinsen-Array gekoppelten piezoelektrischen Aktuator 110 bereitgestellt werden würde. (Der piezoelektrische Servo-Mechanismus würde seinen eigenen Positionscodierer 111 aufweisen.) Eine X-Y-Feinabtaststeuerung könnte außerdem optional mittels mechanischer Aktuatoren 112 und 113 bereitgestellt werden, die mit den Projektions- und Beleuchtungs-Aperturen gekoppelt sind.
  • Schreibweise für die Herleitung von Gleichungen 11 und 12
  • Bei den nachstehenden Herleitungen wird die folgende zweckmäßige Schreibweise verwendet, um eine Funktion f darzustellen, die Argumente x, y,... annimmt: (f[x, y, ...]|x, y, ...)
  • (Der obige Ausdruck wird als „die Funktion, die, wenn sie auf Argumente x, y, ..., angewendet wird, f[x, y, ...] gelesen.) Die Fourier-Transformierte einer Funktion f wird ferner als F[f] =(∫ ... ∫f[x, y, ...]exp[i2π(xx' + yy' + ...)]dxdy ... |x', y' ...)
  • Bezeichnet, wobei F die eindimensionale Fourier-Transformation oder die zweidimensionale Transformation etc. abhängig davon darstellen kann, wie viele Argumente f annimmt. Dies ist die „unitäre" Form der Fourier-Transformation, die einen Faktor von 2π in dem Exponenten aufweist. Mit dieser Konvention weist die inverse Transformation F die Form F[f] = (∫ ... ∫f[x', y', ...]exp[–i2π(xx' + yy' + ...)]dx' δy' ... |x, y, ...)auf.
  • Der Faltungsoperator conv wird definiert als conv[f, g] = (∫ ... ∫(f[x', y, ...]g[x – x', y – y' ...]dx' dy' ... |x, y, ...),wobei dies die eindimensionale Faltung oder die zweidimensionale Faltung etc. abhängig von der Art von f und g darstellen kann. Wir werden ebenfalls die Diracsche Deltafunktion δ und die Diracsche Comb-Funktion verwenden, die definiert ist durch
  • Figure 00610001
  • Herleitung des Ebenen-Belichtungstheorems (Gl. 11)
  • Gleichung 11 kann durch Verwendung der folgenden äquivalenten Form von Gleichung 9 hergeleitet werden Eplane = conv[|A|2, (comb[x/s]comb[y/s]/s2|x, y)] (A1)
  • Wenn die Fourier-Transformation von beiden Seiten von Gleichung A1 genommen, das Faltungs-Theorem (F[conv[f, g]] = F[f]·F[g]) angewendet, und Gebrauch von der Beziehung F[comb] = comb gemacht wird, erhalten wir F[Eplane] = F[|A|2]·(comb[x's]comb[y's]x', y') (A2)
  • Wenn das Faltungs-Theorem (F[f·g] = conv[F[f], F[g]]) erneut angewendet wird, wird das erste Glied auf der rechten Seite von Gleichung 2 zu F[|A|2] = conv[A', (A'[–x', –y']*|x', y')]. (A3)
  • Die Apertur-Funktion A'[x', y'] ist gleich Null überall außerhalb eines an dem Ursprung zentrierten Quadrats der halben Breite NAm, A'[x', y'] = 0, falls |x'| > NAm oder |y'| > NAm (A4)woraus folgt, dass Gleichung A3 außerhalb eines Quadrats der halben Breite 2 NAm gleich Null ist conv[A', (A'[–x', –y']*|x', y')][x', y'] = 0 falls |x'| > 2NAm oder |y'| > 2NAm (A5)
  • Das Glied comb in Gleichung A2 besteht jedoch aus einer Überlagerung von Delta-Funktionen, die an Punkten lokalisiert sind, wobei x' und y' ganzzahlige Vielfache von 1/s sind, sodass unter der Annahme, dass s < 1/(2NAm) ist (Gl. 10), alle diese Delta-Funktionen mit Ausnahme des Glieds nullter Ordnung bei (x', y') = (0, 0) durch die Apertur-Funktion maskiert werden: F[Eplane] = F[|A|2]·(δ[x's]δ[y's]|x', y')(A6)
  • Wenn die inverse Fourier-Transformation von beiden Seiten dieses Ausdrucks genommen wird, erhalten wir Eplane = conv[|A|2, (1/s2|x, y)], (A7)was der Gleichung 11 äquivalent ist.
  • Herleitung des Linien-Belichtungstheorems (Gl. 12)
  • Gleichung 12 kann durch Verwenden der folgenden äquivalenten Form von Gleichung 8 hergeleitet werden: (Eline[x, y]|y) = conv[|A[x, y]|2|y), (comb[y/s]/s/y)] (A8)
  • Wenn die inverse Fourier-Transformation von beiden Seiten von Gleichung A8 genommen wird und das (eindimensionale) Faltungs-Theorem angewendet wird, erhalten wir F[(Eline[x, y]|y)] = F[(|A[x, y]|2|y)]·(comb[y's]y'). (A9)
  • Wenn das Faltungs-Theorem erneut angewendet wird, wird das erste Glied auf der rechten Seite von Gleichung A9 zu F[(|A[x, y]|2|y)] = conv[F[(A[x, y]|y)], F[[A[x, –y]*|y)]](A10)
  • Die zweidimensionale Fourier-Transformationsoperation bezüglich A in A' (Gl. 3, A' = F[A]) ist der Zusammensetzung von zwei eindimensionalen Fourier-Transformationen äquivalent, die sequentiell auf die x- und y-Koordinaten angewendet werden. A'[x', y'] = F[A][x', y'] = F[((F[(A[x, y]|)][y'])|x)][x'](A11)
  • Folglich ist die Fourier-Transformierte von A[x, y] bezüglich lediglich der y-Variable der inversen Transformation von A'[x', y'] bezüglich x' äquivalent F[(A[x, y]|y)][y'] = F[(A'[x', y']|x')][x] (A12)
  • Da A'[x', y'] = 0 für |y'| > NAm ist (Gl. A4), folgt außerdem, dass F[(A'[x', y']|x')][X] = 0 für |y'| > NAm. (A13)
  • Somit werden aus Gleichung A12 beide Argumente des Faltungs-Operators in Gleichung A10 außerhalb eines bei Null zentrierten Intervalls der halben Breite NAm gleich Null sein, und daher conv[F[(A[x, y]|y)], F[(A[x, y]*|y)]][x'] = 0 für |y'| > 2NAm (A14)
  • Unter der Annahme, dass s < 1/(2NAm) ist, folgt aus Gleichung A14, dass alle Delta-Funktionen, die das comb-Glied in Gleichung A9 bilden, mit Ausnahme für das Glied nullter Ordnung durch die Apertur-Funktion maskiert werden; folglich ist Gleichung A9 äquivalent zu F[(Eline[x, y]|y)] = F[(|A[x, y]|2|y)]·(δ[y's]|y') (A15)
  • Wenn die inverse Fourier-Transformation von beiden Seiten von Gleichung A15 genommen wird, erhalten wir (Eline[x, y]|y) = conv[(|A[x, y]|2|y), (1/s|y)](A16)was der Gleichung 12 äquivalent ist.
  • Schlussfolgerung
  • Abschließend ist ersichtlich, dass die vorliegende Erfindung Bildgebungssysteme und Techniken zum Erreichen einer hohen Auflösung und Feldgröße bereitstellt. Erfindungsgemäße Systeme können ohne weiteres mit existierenden mikrolithographischen und anderen optischen Technologien hergestellt werden. Die Erfindung reduziert somit die Komplexität und den Aufwand, die herkömmliche Weitwinkel-Mikroskopiesysteme mit hoher Na und Mikrolithographiesysteme kennzeichnen. Außerdem liefert sie potenzielle Leistungsvorteile, weil sie eine verzerrungsfreie Bildgebung mit ebenem Feld mit genauer Überlagerungs-, Fokus- und Verwölbungskompensation über sehr große Bildfelder (größer als die praktischen Grenzen herkömmlicher Bildgebungsmittel) möglich macht. Bei einer Ausführungsform würde sie eine digitale Mikrospiegelvorrichtung als Bildquelle verwenden, wobei die Notwendigkeit für Photomasken bei der Halbleiterherstellung potenziell eliminiert wird.
  • Obwohl das obige eine vollständige Beschreibung von spezifischen Ausführungsformen der Erfindung ist, können verschiedene Modifikationen, alternative Ausführungen und Äquivalente verwendet werden. Daher sollte die obige Beschreibung nicht verstanden werden, als den durch die Ansprüche definierten Schutzumfang der Erfindung einzuschränken.
  • Literaturhinweise
    • Ref. 1: T. Wilson (Herausgeber), Confocal Microscopy, Academic Press, San Diego (1990).
    • Ref. 2: D. J. Elliott, Integrated Circuit Fabrication Technology (2. Auflage), McGraw-Hill, New York (1989).
    • Ref. 3: J. B. Sampsell, "An Overview of the Performance Envelope of Digital Micromirror Device (DM) Based Projection Display Systems", Society for Information Display 1994 International Symposium (San Jose, CA, 12.–17. Juni, 1994).
    • Ref. 4: W. B. Hugle, Lens Array Photolithography, U.S. Patent 5 517 279 (1996).
    • Ref. 5: R. Völkel u. a., "Microlens array imaging system for photolithography", Optical Engineering 35(11), 3323–3330 (1996).
    • Ref. 6: H. Suganuma u. a., "Deep UV lithography using continuous-wave 266 nm radiation from all solid-state frequency quadrupled Nd:YAG laser", Proc. SPIE, 2440, 126–135 (1995).
    • Ref. 7: E. J. Gratrix and C. B. Zarowin, "Fabrication of Microlenses by Laser Assisted Chemical Etching (LACE)", Proc. SPIE, 1544, 238–243 (1991).
    • Ref. 8: M. Eisner and J. Schwider, "Transferring resist microlenses into silicon by reactive ion etching", Optical Engineering 35(10), 2979–2982 (1996).
    • Ref. 9: M. Bass, Herausgeber, Handbook of Optics, 2. Auflage, Bd. 2, McGraw-Hill, New York (1995).
    • Ref. 10: Y. C. Park and S. W. Kim, Method and Apparatus for Measuring Two Dimensional Plane Displacement by Moiré Fringes of Concentric Circle Gratings, U.S. Patent 5 459 578 (1995).
    • Ref. 11: W. D. Pilkey und W. Wunderlich, Mechanics of Structures: Variational and Computational Principles, CRC Press, Boca Raton (1994).

Claims (35)

  1. Drucksystem umfassend: ein optisches Projektionssystem mit einer Objektebene, einer Bildebene, die mit der Objektebene konjugiert ist, und einer begrenzenden Aperturblende, die als die Projektions-Apertur bezeichnet wird; ein planares Array von Mikrolinsen mit jeweiligen Aperturen, die ein Mikrolinsen-Apertur-Array definieren, wobei das Apertur-Array an der Bildebene des Projektionssystems positioniert ist, und wobei die Mikrolinsen jeweilige Brennpunkte aufweisen, die mit der Projektions-Apertur konjugiert sind und die ein Brennpunkt-Array definieren; einen Abtastmechanismus, der eine relative Bewegung zwischen dem Mikrolinsen-Array und einer Druckoberfläche nahe dem Brennpunkt-Array festlegt, wobei die von den Brennpunkten mit Bezug auf die Druckoberfläche durchlaufenden Pfade einen Satz von eng benachbarten Rasterlinien umfassen; eine Bildquelle mit einem Array von lichtmodulierenden Bildquellenelementen, wobei die Bildquelle an der Objektebene des Projektionssystems positioniert ist, und wobei das Projektionssystem jedes Bildquellenelement auf eine entsprechende Mikrolinsen-Apertur abbildet, und das Bildquellenelement somit den Lichtpegel über einem Mikrofleck auf der Druckoberfläche nahe dem entsprechenden Mikrolinsen-Brennpunkt steuert; und einen Bildmodulationsmechanismus, der die Bildquelle steuert, wenn die Druckoberfläche abgetastet wird, wodurch, wenn ein lichtempfindliches Material in der Druckoberfläche positioniert ist, ein synthetisiertes Rasterbild mit hoher Auflösung auf dem lichtempfindlichen Material aufgezeichnet wird.
  2. Drucksystem gemäß Anspruch 1, bei dem das lichtempfindliche Material Photoresist auf einem planaren Substrat ist.
  3. Drucksystem gemäß Anspruch 2, bei dem das Substrat eine Halbleiterscheibe ist.
  4. Drucksystem gemäß Anspruch 1, bei dem die Größe und die Form der Projektions-Apertur bestimmt werden, so dass die beugungsbegrenzte Amplitudenverteilung, die von jedem Bildquellenelement an seiner entsprechenden Mikrolinsen-Apertur erzeugt wird, Knoten an benachbarten Mikrolinsen-Aperturen aufweist, wodurch Lichtaustritt in benachbarte Mikrolinsen minimiert wird.
  5. Drucksystem gemäß Anspruch 1, bei dem die Projektions-Apertur apodisiert wird, um Lichtaustritt in benachbarte Mikrolinsen-Aperturen zu minimieren.
  6. Drucksystem gemäß Anspruch 1, ferner umfassend ein Beleuchtungssystem, das die Bildquelle beleuchtet, wobei die Beleuchtung von den Bildquellenelementen moduliert und von dem Projektionssystem und dem Mikrolinsen-Array auf die Druckoberfläche übertragen wird.
  7. Drucksystem gemäß Anspruch 6, bei dem: der Abtastmechanismus gemäß Anspruch 1 einen ersten Abtastmechanismus definiert, und das Beleuchtungssystem ferner einen zweiten Abtastmechanismus umfasst; das Beleuchtungssystem nur ein enges Band oder einen Satz paralleler Bänder auf die Bildquelle und auf das Mikrolinsen-Array zu irgendeinem bestimmten Zeitpunkt beleuchtet; und der zweite Abtastmechanismus das Beleuchtungsband oder die Beleuchtungsbänder über dem Bildfeld synchron mit dem ersten Abtastmechanismus wiederholt abtastet, so dass jeder Mikrofleck nur während eines sehr kurzen Zeitintervalls beleuchtet wird, währenddessen er von einem Beleuchtungsband durchlaufen wird, wodurch ein Verschmieren des Belichtungsmusters auf der Druckoberfläche aufgrund der relativen Bewegung zwischen der Druckoberfläche und dem Mikrolinsen-Array minimiert wird.
  8. Drucksystem gemäß Anspruch 6, bei dem die Bildquelle ein lichtdurchlässiges optisches Medium umfasst, wobei das Beleuchtungssystem die Bildquelle im Durchlässigkeitsmodus beleuchtet, und die Bildquellenelemente jeweilige Zonen auf dem optischen Medium mit unterschiedlichen optischen Durchlässigkeitsgrad-Charakteristika umfassen.
  9. Drucksystem gemäß Anspruch 6, bei dem die Bildquelle ein lichtreflektierendes optisches Medium umfasst, das Beleuchtungssystem die Bildquelle im Reflexionsmodus beleuchtet und die Bildquellenelemente jeweilige Zonen auf dem optischen Medium mit unterschiedlichen optischen Reflexionsgrad-Charakteristika aufweisen.
  10. Drucksystem gemäß Anspruch 9, bei dem die Bildquelle eine digitale Mikrospiegelvorrichtung (DMD) umfasst, und das Projektionssystem auf der Objektseite telezentrisch ist.
  11. Drucksystem gemäß Anspruch 9, ferner umfassend einen Strahlenteiler, der angeordnet ist, um Licht von dem Beleuchtungssystem in den Lichtpfad des Projektionssystems zu mischen, so dass so gemischtes Licht und das von der Bildquelle reflektierte Bildlicht den gleichen optischen Pfad zwischen dem Strahlenteiler und der Bildquelle durchlaufen.
  12. Drucksystem gemäß Anspruch 9, bei dem das Beleuchtungssystem eine außeraxiale Beleuchtungsquelle benachbart der Projektions-Apertur umfasst.
  13. Drucksystem gemäß Anspruch 12, bei dem die Beleuchtungsquelle einen faseroptischen Illuminator umfasst.
  14. Drucksystem gemäß Anspruch 12, bei dem: das Mikrolinsen-Array gemäß Anspruch 1 ein erstes Mikrolinsen-Array definiert; die Bildquelle ferner eine digitale Mikrospiegelvorrichtung (DMD) und ein nahe der DMD angeordnetes zweites Mikrolinsen-Array umfasst; wobei jedes Bildquellenelement entsprechende erste und zweite Mikrolinsen des zweiten Mikrolinsen-Arrays und einen entsprechenden Mikrospiegel der DMD umfasst; das Projektionssystem auf der Objektseite telezentrisch ist; das zweite Mikrolinsen-Array in der Objektebene des Projektionssystems angeordnet ist; jede entsprechende erste Mikrolinse des Bildquellenelements die Beleuchtungsquelle auf einen entsprechenden ersten Beleuchtungsbildpunkt nahe dem entsprechenden Mikrospiegel fokussiert; jeder entsprechende Mikrospiegel des Bildquellenelements eine Neigungssteuerung und eine eingebaute optische Leistung aufweist, so dass, wenn der Mikrospiegel in seinem "An"-Zustand ist, der entsprechende erste Beleuchtungsbildpunkt von dem Mikrospiegel in einen entsprechenden zweiten Beleuchtungsbildpunkt an der Mitte der entsprechenden Apertur der zweiten Mikrolinse neu abgebildet wird, und die entsprechende Apertur der ersten Mikrolinse von dem Mikrospiegel in ein entsprechendes Apertur-Bild nahe dem Mikrospiegel abgebildet wird; jeder entsprechende zweite Beleuchtungsbildpunkt des Bildquellenelements von dem Projektionssystem auf die entsprechende Mikrolinsen-Apertur des ersten Mikrolinsen-Arrays neu abgebildet wird; jede entsprechende zweite Mikrolinse des Bildquellenelements das entsprechende Apertur-Bild auf die Projektions-Apertur abbildet; und jeder entsprechende Mikrospiegel des Bildquellenelements geneigt ist, wenn er in seiner "Aus"-Stellung ist, um das von der entsprechenden ersten Mikrolinse abgefangene Beleuchtungslicht aus der Projektions-Apertur abzulenken; wobei die optische Leistung in den DMD-Mikrospiegelelementen und in den zweiten Mikrolinsen-Array-Elementen und der Trennungsabstand zwischen der DMD und dem zweiten Mikrolinsen-Array ausgewählt werden, um die Kompromisse mit Bezug auf die Apertur-Größenanforderung und die Oberflächenformtoleranz des Mikrospiegels, den Mikrospiegelneigungsbereich und die Neigungstoleranz, den Energiewirkungsgrad und den Bild-Crosstalk auszugleichen.
  15. Drucksystem gemäß Anspruch 1, bei dem: das Mikrolinsen-Array, das Mikrolinsen-Apertur-Array und das Brennpunkt-Array gemäß Anspruch 1 jeweils ein erstes Mikrolinsen-Array, ein erstes Mikrolinsen-Apertur-Array und ein erstes Brennpunkt-Array definieren; die Bildquelle ferner ein zweites planares Array von Mikrolinsen mit jeweiligen Aperturen umfasst, die ein zweites Mikrolinsen-Apertur-Array definieren; das zweite Mikrolinsen-Apertur-Array an der Objektebene des Projektionssystem positioniert ist; die Mikrolinsenelemente des zweiten Mikrolinsen-Arrays jeweilige Brennpunkte aufweisen, die mit der Projektions-Apertur konjugiert sind und die ein zweites Brennpunkt-Array definieren; und jedes Bildquellenelement eine jeweilige Mikrolinse des zweiten Mikrolinsen-Arrays und ein an dem jeweiligen Brennpunkt der Mikrolinse positioniertes lichtmodulierendes Element umfasst.
  16. Drucksystem gemäß Anspruch 15, ferner umfassend ein Beleuchtungssystem und eine reflektierende Oberfläche, die an dem zweiten Brennpunkt-Array angeordnet ist, und wobei: das Beleuchtungssystem die Bildquelle im Reflexionsmodus beleuchtet; die lichtmodulierenden Elemente Flecke variablen Reflexionsgrades auf der reflektierenden Oberfläche an den Brennpunktstellen des zweiten Brennpunkt-Arrays umfassen; und das zweite Mikrolinsen-Array und das Projektionssystem ferner ausgestaltet sind, um Licht von dem Beleuchtungssystem auf die reflektierenden Punkte zu fokussieren.
  17. Drucksystem gemäß Anspruch 16, bei dem die reflektierende Oberfläche eine Photomaske umfasst, die im Reflexionsmodus arbeitet, und die Reflexionsgrade der lichtmodulierenden Elemente durch translatorische Bewegung der Photomaske über das zweite Brennpunkt-Array verändert werden, so dass unterschiedliche Abschnitte der Photomaske mit unterschiedlichen optischen Reflexionsgrad-Charakteristika an den Brennpunkten des zweiten Brennpunkt-Arrays in Stellung gebracht werden, wenn die Druckoberfläche abgetastet wird.
  18. Drucksystem gemäß Anspruch 1, bei dem das Projektionssystem doppelt telezentrisch ist.
  19. Drucksystem gemäß Anspruch 18, bei dem das Projektionssystem ein erstes Kollimationslinsenelement, das die Projektions-Apertur im Unendlichen auf der Objektseite des Projektionssystems abbildet, wodurch das System auf der Objektseite telezentrisch gemacht wird, und ein zweites Kollimationslinsenelement, das die Projektions-Apertur im Unendlichen auf der Bildseite des Projektionssystems abbildet, umfasst, wodurch das System auf der Bildseite telezentrisch gemacht wird.
  20. Drucksystem gemäß Anspruch 18, bei dem das Projektionssystem umfasst: einen Kollimationsspiegel mit ersten und zweiten außeraxialen Abschnitten; und einen Reflektor in der Projektions-Apertur; wobei der erste außeraxiale Abschnitt des Kollimationsspiegels die Projektions-Apertur im Unendlichen auf der Objektseite des Projektionssystems abbildet, wodurch das System auf der Objektseite telezentrisch gemacht wird; der erste außeraxiale Abschnitt Licht von der Objektebene zu der Projektions-Apertur hin reflektiert; der Reflektor in der Projektions-Apertur das Licht von dem ersten außeraxialen Abschnitt zurück auf den Kollimationsspiegel an seinem zweiten außeraxialen Abschnitt reflektiert; der zweite außeraxiale Abschnitt das Licht von der Projektions-Apertur auf die Bildebene reflektiert; und der zweite außeraxiale Abschnitt die Projektions-Apertur im Unendlichen abbildet, wodurch das System auf der Bildseite telezentrisch gemacht wird.
  21. Drucksystem gemäß Anspruch 1, ferner umfassend einen optischen Detektor und einen Positionsrückkopplungs-Steuermechanismus, wobei: das Mikrolinsen-Array und das Projektionssystem nicht nur Licht von der Bildquelle auf die Druckoberfläche fokussieren, sondern ebenfalls einen reflektierten Strahl mit von der Druckoberfläche reflektierter optischer Energie sammeln und ihn auf den optischen Detektor projizieren, wodurch ein Detektorsignal erzeugt wird, das Information über die Positionsbeziehung zwischen dem Mikrolinsen-Array und der Druckoberfläche liefert; und die Positionsinformation von dem Rückkopplungs-Steuermechanismus verwendet wird, um die Positionsbeziehung genau zu steuern.
  22. Drucksystem gemäß Anspruch 21, ferner umfassend einen Strahlenteiler, der angeordnet ist, um den reflektierten Strahl von dem Lichtpfad des Projektionssystems zu trennen, wobei das auf die Druckoberfläche projizierte Licht und das reflektierte Licht den gleichen optischen Pfad zwischen dem Strahlenteiler und der Druckoberfläche durchlaufen.
  23. Drucksystem gemäß Anspruch 22, bei dem die Druckoberfläche von zwei Wellenlängen oder engen Spektralbereichen von Wellenlängen, einer ersten Wellenlänge, die das lichtempfindliche Material belichtet, und einer zweiten Wellenlänge, die von dem Detektor abgetastet wird, um Positionsinformation zu liefern, beleuchtet wird.
  24. Drucksystem gemäß Anspruch 23, bei dem das Projektionssystem doppelt telezentrisch ist.
  25. Drucksystem gemäß Anspruch 24, bei dem das Projektionssystem umfasst: einen Kollimationsspiegel mit ersten und zweiten außeraxialen Abschnitten; und einen Reflektor in der Projektions-Apertur; wobei der erste außeraxiale Abschnitt des Kollimationsspiegels die Projektions-Apertur im Unendlichen auf der Objektseite des Projektionssystems abbildet, wodurch das System auf der Objektseite telezentrisch gemacht wird; der erste außeraxiale Abschnitt Licht bei der ersten Wellenlänge von der Objektebene zu der Projektions-Apertur hin reflektiert; der Reflektor in der Projektions-Apertur das Licht von dem ersten außeraxialen Abschnitt zurück auf den Kollimationsspiegel an seinem zweiten außeraxialen Abschnitt reflektiert; der zweite außeraxiale Abschnitt das Licht von der Projektions-Apertur auf die Bildebene reflektiert; und der zweite außeraxiale Abschnitt die Projektions-Apertur im Unendlichen auf der Bildseite abbildet, wodurch das System auf der Bildseite telezentrisch gemacht wird.
  26. Drucksystem gemäß Anspruch 25, bei dem: der Kollimationsspiegel ferner einen dritten außeraxialen Abschnitt umfasst; der Apertur-Reflektor ferner eine erste optische Beschichtung umfasst, die auf einem transparenten Keilsubstrat aufgebracht ist und die einen hohen Reflexionsgrad bei der ersten Wellenlänge aufweist, die jedoch bei der zweiten Wellenlänge transparent ist; der Strahlenteiler eine zweite optische Beschichtung umfasst, die auf dem Keil an der der ersten Beschichtung entgegengesetzten Oberfläche aufgebracht ist; die zweite Beschichtung teilweise bei der zweiten Wellenlänge reflektierend ist; Beleuchtungsenergie bei der zweiten Wellenlänge von einer Lichtquelle durch beide Beschichtungen zu dem zweiten außeraxialen Abschnitt des Kollimationsspiegels hin projiziert wird, so dass beide Wellenlängen den gleichen optischen Pfad zwischen dem Keil und der Druckoberfläche durchlaufen; der von der Druckoberfläche bei der zweiten Wellenlänge zurück reflektierte Strahl teilweise von der zweiten Beschichtung zu dem dritten außeraxialen Spiegelabschnitt hin reflektiert wird, der räumlich von dem ersten außeraxialen Abschnitt aufgrund des Keilwinkels zwischen den beiden Beschichtungen getrennt ist; und der dritte außeraxiale Spiegelabschnitt den Strahl dann auf den Detektor reflektiert.
  27. Drucksystem gemäß Anspruch 21, bei dem: die Druckoberfläche ferner Positionierausrichtungsmarkierungen umfasst, die von dem Positionsrückkopplungs-Steuermechanismus erfasst und verwendet werden, um eine Komponente der Positionsbeziehung zu bestimmen, die durch das Array der Mikrolinse und der seitlichen Positionsbeziehung der Druckoberfläche parallel zu dem Mikrolinsen-Array definiert wird; und die Positionsbestimmung verwendet wird, um die seitliche Positionsbeziehung genau zu steuern und den Abtastmechanismus mit der Bildquelle zu synchronisieren.
  28. Drucksystem gemäß Anspruch 27, bei dem die Ausrichtungsmarkierungen und das Brennpunkt-Array periodische Muster umfassen, wobei sich die Periodizität der Ausrichtungsmarkierungen von der des Brennpunkt-Arrays unterscheidet, so dass die reflektierte Energie von den Ausrichtungsmarkierungen ein Moiré-Muster in dem Detektorsignal bildet, das ein genaues und präzises Maß der seitlichen Positionsbeziehung zwischen dem Mikrolinsen-Array und der Druckoberfläche liefert.
  29. Drucksystem gemäß Anspruch 21, bei dem die Projektions-Apertur defokussiertes Licht von den Mikrolinsen stark abschwächt, so dass das Detektorsignal ein Fokussignal umfasst, das ein genaues und präzises Maß der Fokushöhe des Mikrolinsen-Arrays mit Bezug auf die Druckoberfläche liefert, und wobei das Fokussignal von dem Positionsrückkopplungs-Steuermechanismus verwendet wird, um die Fokushöhe genau zu steuern.
  30. Drucksystem gemäß Anspruch 29, bei dem die Fokushöhe bei einer oder mehreren Positionen auf der Druckoberfläche erfasst wird, und wobei die Fokushöhe an jeder Position durch Vergleichen der reflektierten Energiesignale von zwei oder mehr Mikrolinsen erfasst wird, die an nahe liegenden Punkten auf einem flachen Bereich auf der Druckoberfläche fokussiert werden, die jedoch unterschiedliche Brennweiten aufweisen, so dass das differentielle Detektorsignal von den Mikrolinsen ein empfindliches Maß der Fokushöhe liefert.
  31. Drucksystem gemäß Anspruch 29, bei dem die Fokushöhe an einer oder mehreren Positionen auf der Druckoberfläche erfasst wird, und wobei die Fokushöhe an jeder Position durch Vergleichen der reflektierten Energiesignale von zwei oder mehr Mikrolinsen erfasst wird, die die gleiche Brennweite aufweisen, die jedoch an nahe legenden Punkten fokussiert werden, die einen Schritt oder Schritte auf der Druckoberfläche einschließen, so dass das differentielle Detektorsignal von den Mikrolinsen ein empfindliches Maß der Fokushöhe liefert.
  32. Drucksystem gemäß Anspruch 31, bei dem: die Fokusschritte vertiefte Mulden in der Druckoberfläche sind, und die Druckoberfläche eine obere Oberfläche außerhalb der Fokusmulden und eine untere Oberfläche an dem Boden der Fokusmulden umfasst; das lichtempfindliche Material auf der oberen Oberfläche angeordnet ist; die Druckoberfläche von zwei Wellenlängen oder engen Spektralbereichen von Wellenlängen, einer ersten Wellenlänge, die das lichtempfindliche Material belichtet, und einer zweiten Wellenlänge, die von dem Detektor abgetastet wird, um das Fokussignal zu liefern, beleuchtet wird; und das Fokussignal von Mikrolinsenelementen erhalten wird, die für den doppelten Zweck des Druckens und Fokusabtastens verwendet werden, wobei jedoch ihre Brennweite bei der zweiten Wellenlänge länger als bei der ersten Wellenlänge aufgrund chromatischer Dispersion ist, wodurch das Mikrolinsen-Array positioniert werden kann, um die erste Wellenlänge auf der oberen Oberfläche zu fokussieren, während die zweite Wellenlänge gleichzeitig auf einer Brennebene zwischen den oberen und unteren Oberflächen fokussiert wird, um eine gute Fokussignalauflösung zu erreichen.
  33. Drucksystem gemäß Anspruch 1, ferner umfassend einen zweiachsigen Positionierwandler, der die seitliche Position der Projektions-Apertur kontinuierlich parallel zu der Projektions-Apertur-Ebene einstellt, um kleine Fehler in der seitlichen Positionsbeziehung des Mikrolinsen-Arrays und der Druckoberfläche parallel zu dem Mikrolinsen-Array zu korrigieren.
  34. Drucksystem gemäß Anspruch 1, ferner umfassend Mikropositionierwandler, die um den Umfang des Mikrolinsen-Arrays außerhalb seiner freien Apertur angeordnet sind, wobei die Wandler eine gesteuerte Kraftverteilung an das Array anlegen, um Fokus- und Neigungsfehler zu korrigieren und Wölbungs- oder Formfehlanpassung zwischen der Druckoberfläche und dem Mikrolinsen-Array auszugleichen.
  35. Drucksystem gemäß Anspruch 34, ferner umfassend Mikropositionierwandler, die die seitlichen Translations- und Rotationspositionen des Mikrolinsen-Arrays parallel zu dem Mikrolinsen-Array steuern.
DE69729659T 1996-02-28 1997-02-20 Mikrolinsen-rastereinrichtung für mikrolithografie und für konfokale mikroskopie mit grossem aufnahmefeld Expired - Fee Related DE69729659T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US1243496P 1996-02-28 1996-02-28
US12434P 1996-02-28
PCT/US1997/002949 WO1997034171A2 (en) 1996-02-28 1997-02-20 Microlens scanner for microlithography and wide-field confocal microscopy

Publications (2)

Publication Number Publication Date
DE69729659D1 DE69729659D1 (de) 2004-07-29
DE69729659T2 true DE69729659T2 (de) 2005-06-23

Family

ID=21754960

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69729659T Expired - Fee Related DE69729659T2 (de) 1996-02-28 1997-02-20 Mikrolinsen-rastereinrichtung für mikrolithografie und für konfokale mikroskopie mit grossem aufnahmefeld

Country Status (6)

Country Link
US (1) US6133986A (de)
EP (1) EP0991959B1 (de)
JP (1) JP2001500628A (de)
AU (1) AU1975197A (de)
DE (1) DE69729659T2 (de)
WO (1) WO1997034171A2 (de)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006009810A1 (de) * 2006-03-01 2007-09-06 Fries Research & Technology Gmbh Konfokales Mikroskop und Verfahren zur Vermessung einer Oberfläche
WO2021140052A1 (de) 2020-01-09 2021-07-15 Hochschule für angewandte Wissenschaften Kempten Körperschaft des öffentlichen Rechts Konfokale messvorrichtung zur 3d-vermessung einer objektoberfläche

Families Citing this family (466)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7218448B1 (en) 1997-03-17 2007-05-15 The Regents Of The University Of Colorado Extended depth of field optical systems
US20020195548A1 (en) * 2001-06-06 2002-12-26 Dowski Edward Raymond Wavefront coding interference contrast imaging systems
US20020118457A1 (en) * 2000-12-22 2002-08-29 Dowski Edward Raymond Wavefront coded imaging systems
US20080248046A1 (en) * 1997-03-17 2008-10-09 Human Genome Sciences, Inc. Death domain containing receptor 5
US6853653B2 (en) * 1997-07-22 2005-02-08 Cymer, Inc. Laser spectral engineering for lithographic process
EP0911667B1 (de) * 1997-10-22 2003-04-02 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e.V. Programmierbares räumlich lichtmoduliertes Mikroskop und Mikroskopieverfahren
US6016185A (en) * 1997-10-23 2000-01-18 Hugle Lithography Lens array photolithography
DE19748211A1 (de) * 1997-10-31 1999-05-06 Zeiss Carl Fa Optisches Array-System und Reader für Mikrotiterplatten
JP3547610B2 (ja) * 1998-03-27 2004-07-28 パイオニア株式会社 体積ホログラフィックメモリ光情報記録再生装置
US6331891B1 (en) * 1998-04-07 2001-12-18 Fujitsu Limited Apparatus and method for assembling semiconductor device and semiconductor device thus fabricated
US7498164B2 (en) * 1998-05-16 2009-03-03 Applied Biosystems, Llc Instrument for monitoring nucleic acid sequence amplification reaction
US6818437B1 (en) * 1998-05-16 2004-11-16 Applera Corporation Instrument for monitoring polymerase chain reaction of DNA
ATE403856T1 (de) * 1998-05-16 2008-08-15 Applera Corp Gerät zur überwachung der polymerase-ketten reaktion von dna
DE19846928A1 (de) * 1998-10-12 2000-04-13 Zeiss Carl Fa Abbildungssystem mit einem Zylinderlinsenarray
US6339503B1 (en) 1998-11-06 2002-01-15 Oni Systems Corp. Optical interconnect using microlens/minilens relay
US6339506B1 (en) 1998-11-06 2002-01-15 Oni Systems Corp. Microlens array with spatially varying optical property
US6489984B1 (en) 1998-12-29 2002-12-03 Kenneth C. Johnson Pixel cross talk suppression in digital microprinters
US6498685B1 (en) 1999-01-11 2002-12-24 Kenneth C. Johnson Maskless, microlens EUV lithography system
US6424404B1 (en) * 1999-01-11 2002-07-23 Kenneth C. Johnson Multi-stage microlens array
US9097983B2 (en) 2011-05-09 2015-08-04 Kenneth C. Johnson Scanned-spot-array EUV lithography system
US9188874B1 (en) 2011-05-09 2015-11-17 Kenneth C. Johnson Spot-array imaging system for maskless lithography and parallel confocal microscopy
US8994920B1 (en) 2010-05-07 2015-03-31 Kenneth C. Johnson Optical systems and methods for absorbance modulation
US7054504B2 (en) * 1999-02-25 2006-05-30 Ludwig Lester F Relative optical path phase reconstruction in the correction of misfocused images using fractional powers of the fourier transform
AU4015500A (en) * 1999-03-17 2000-10-04 Rochester Institute Of Technology A projection imaging system with a non-circular aperture and a method thereof
GB9906929D0 (en) * 1999-03-26 1999-05-19 Univ Glasgow Assay system
US6529262B1 (en) 1999-04-14 2003-03-04 Ball Semiconductor, Inc. System and method for performing lithography on a substrate
DE19919092A1 (de) * 1999-04-27 2000-11-02 Zeiss Carl Jena Gmbh Anordnung zur optischen Auswertung eines Gegenstandsarrays
US6392752B1 (en) * 1999-06-14 2002-05-21 Kenneth Carlisle Johnson Phase-measuring microlens microscopy
US7170597B1 (en) 1999-06-26 2007-01-30 Packard Instrument Company, Inc. Microplate reader
WO2001023941A1 (en) * 1999-09-29 2001-04-05 Koninklijke Philips Electronics N.V. Image projection system
AU2421101A (en) * 1999-11-16 2001-05-30 Agilent Technologies Inc. Confocal imaging
US6555802B2 (en) 2000-01-07 2003-04-29 Axon Instruments, Inc. Scanning microscope
US6379867B1 (en) 2000-01-10 2002-04-30 Ball Semiconductor, Inc. Moving exposure system and method for maskless lithography system
US6628390B1 (en) * 2000-01-24 2003-09-30 Kenneth C. Johnson Wafer alignment sensor using a phase-shifted microlens array
DE10005189A1 (de) 2000-02-05 2001-08-09 Zeiss Carl Projektionsbelichtungsanlage mit reflektivem Retikel
DE10017824B4 (de) * 2000-04-10 2004-03-18 Till I.D. Gmbh Vorrichtung zur parallelen photometrischen Fluoreszenz- oder Lumineszenzanalyse mehrerer voneinander getrennter Probenbereiche auf einem Objekt
US8232582B2 (en) 2000-04-24 2012-07-31 Life Technologies Corporation Ultra-fast nucleic acid sequencing device and a method for making and using the same
US7001792B2 (en) * 2000-04-24 2006-02-21 Eagle Research & Development, Llc Ultra-fast nucleic acid sequencing device and a method for making and using the same
US6425669B1 (en) 2000-05-24 2002-07-30 Ball Semiconductor, Inc. Maskless exposure system
US6509955B2 (en) 2000-05-25 2003-01-21 Ball Semiconductor, Inc. Lens system for maskless photolithography
US6552779B2 (en) 2000-05-25 2003-04-22 Ball Semiconductor, Inc. Flying image of a maskless exposure system
US6563581B1 (en) * 2000-07-14 2003-05-13 Applera Corporation Scanning system and method for scanning a plurality of samples
US6407766B1 (en) * 2000-07-18 2002-06-18 Eastman Kodak Company Method and apparatus for printing to a photosensitive media using multiple spatial light modulators
US6493867B1 (en) 2000-08-08 2002-12-10 Ball Semiconductor, Inc. Digital photolithography system for making smooth diagonal components
US6537738B1 (en) 2000-08-08 2003-03-25 Ball Semiconductor, Inc. System and method for making smooth diagonal components with a digital photolithography system
US6708131B1 (en) * 2000-08-30 2004-03-16 Micron Technology, Inc. Wafer alignment system
US7136159B2 (en) * 2000-09-12 2006-11-14 Kla-Tencor Technologies Corporation Excimer laser inspection system
JP4659300B2 (ja) 2000-09-13 2011-03-30 浜松ホトニクス株式会社 レーザ加工方法及び半導体チップの製造方法
DE10046379A1 (de) 2000-09-20 2002-03-28 Zeiss Carl System zur gezielten Deformation von optischen Elementen
US6313936B1 (en) * 2000-09-20 2001-11-06 General Nutronics, Inc. Method and device for switching wavelength division multiplexed optical signals using micro-electromechanical mirrors
US6456384B1 (en) * 2000-11-09 2002-09-24 Tropel Corporation Moiré interferometer with overlapping illumination and imaging systems
KR100727009B1 (ko) 2000-11-10 2007-06-14 도꾸리쯔교세이호진 상교기쥬쯔 소고겡뀨죠 투영 노광 방법
US6473237B2 (en) 2000-11-14 2002-10-29 Ball Semiconductor, Inc. Point array maskless lithography
US6512625B2 (en) 2000-11-22 2003-01-28 Ball Semiconductor, Inc. Light modulation device and system
US6433917B1 (en) 2000-11-22 2002-08-13 Ball Semiconductor, Inc. Light modulation device and system
WO2002054450A2 (en) * 2001-01-04 2002-07-11 Eagle Research & Development, Llc Method of patterning a mask on the surface of a substrate and product manufactured thereby
DE10106605A1 (de) 2001-02-13 2002-08-22 Zeiss Carl System zur Beseitigung oder wenigstens Dämpfung von Schwingungen
US6909509B2 (en) * 2001-02-20 2005-06-21 Zygo Corporation Optical surface profiling systems
WO2002075370A2 (en) * 2001-03-19 2002-09-26 Weinstein Ronald S Miniaturized microscope array digital slide scanner
US20040004759A1 (en) * 2002-07-08 2004-01-08 Olszak Artur G. Microscope array for simultaneously imaging multiple objects
US20060291048A1 (en) * 2001-03-19 2006-12-28 Dmetrix, Inc. Multi-axis imaging system with single-axis relay
US7061584B2 (en) 2001-03-19 2006-06-13 Dmetrix, Inc. Multi-axis projection imaging system
DE10116059B4 (de) * 2001-03-30 2007-03-01 Tesa Scribos Gmbh Lithograph mit bewegter Linse und Verfahren zum Herstellen digitaler Hologramme in einem Speichermedium
EP1377853B1 (de) * 2001-04-10 2008-09-17 President And Fellows of Harvard College Mikrolinse zur projektionslithographie und ihr herstellungsverfahren
US6941035B2 (en) * 2001-04-26 2005-09-06 Creo Srl Optical cross-connect switch
JP3708845B2 (ja) 2001-06-19 2005-10-19 株式会社ミツトヨ 両テレセントリック対物レンズ
JP5144863B2 (ja) * 2001-06-29 2013-02-13 株式会社オーク製作所 多重露光描画方法及び多重露光描画装置
JP4728536B2 (ja) * 2001-07-05 2011-07-20 株式会社オーク製作所 多重露光描画方法及び多重露光描画装置
US6975458B1 (en) 2001-07-13 2005-12-13 Kurt Kanzler Method and apparatus for transformation of a gaussian laser beam to a far field diffraction pattern
US7154928B2 (en) * 2004-06-23 2006-12-26 Cymer Inc. Laser output beam wavefront splitter for bandwidth spectrum control
US20030025979A1 (en) * 2001-07-31 2003-02-06 Ball Semiconductor, Inc. Surface distortion compensated photolithography
US6965387B2 (en) * 2001-08-03 2005-11-15 Ball Semiconductor, Inc. Real time data conversion for a digital display
US20030031596A1 (en) * 2001-08-09 2003-02-13 Yokogawa Electric Corporation Biochip reader and fluorometric imaging apparatus
JP4273291B2 (ja) * 2001-08-17 2009-06-03 株式会社オーク製作所 多重露光描画装置および多重露光描画方法
JP4324645B2 (ja) * 2001-08-21 2009-09-02 株式会社オーク製作所 多重露光描画装置および多重露光描画方法
WO2003025838A1 (en) * 2001-09-14 2003-03-27 The Arizona Board Of Regents On Behalf Of The University Of Arizona Inter-objective baffle system
WO2003038518A1 (en) * 2001-10-30 2003-05-08 Pixelligent Technologies Llc Advanced exposure techniques for programmable lithography
CN1602451A (zh) 2001-11-07 2005-03-30 应用材料有限公司 无掩膜光子电子点格栅阵列光刻机
US6946655B2 (en) * 2001-11-07 2005-09-20 Applied Materials, Inc. Spot grid array electron imaging system
US6639201B2 (en) * 2001-11-07 2003-10-28 Applied Materials, Inc. Spot grid array imaging system
CN1791839A (zh) * 2001-11-07 2006-06-21 应用材料有限公司 光点格栅阵列光刻机
TWI275910B (en) * 2001-11-07 2007-03-11 Asml Netherlands Bv A piezoelectric actuator and a lithographic apparatus and a device manufacturing method
US6885492B2 (en) 2001-11-08 2005-04-26 Imaginative Optics, Inc. Spatial light modulator apparatus
DE60230663D1 (de) * 2001-11-27 2009-02-12 Asml Netherlands Bv Bilderzeugungsapparat
AU2002366415A1 (en) * 2001-12-17 2003-06-30 Koninklijke Philips Electronics N.V. Method of forming optical images, diffraction element for use with this method, apparatus for carrying out this method
AT411755B (de) * 2001-12-21 2004-05-25 Baeuerle Dieter Dr Vorrichtung und verfahren zum modifizieren einer werkstück-oberfläche mit hilfe von photonen-strahlung
US7619735B2 (en) * 2002-01-15 2009-11-17 Applied Materials, Israel, Ltd. Optical inspection using variable apodization
US6665048B2 (en) 2002-01-22 2003-12-16 Creo Inc. Method for imaging a continuously moving object
ES2377521T3 (es) 2002-03-12 2012-03-28 Hamamatsu Photonics K.K. Método para dividir un sustrato
US7279046B2 (en) * 2002-03-27 2007-10-09 Nanoink, Inc. Method and apparatus for aligning patterns on a substrate
FR2837937B1 (fr) * 2002-03-28 2004-08-27 Pascal Joffre Systeme de traitement optique de surfaces
CN100430829C (zh) * 2002-04-15 2008-11-05 皇家飞利浦电子股份有限公司 成像方法
US6870604B2 (en) * 2002-04-23 2005-03-22 Ball Semiconductor, Inc. High resolution point array
JP3938714B2 (ja) * 2002-05-16 2007-06-27 大日本スクリーン製造株式会社 露光装置
JP4201178B2 (ja) * 2002-05-30 2008-12-24 大日本スクリーン製造株式会社 画像記録装置
US6987259B2 (en) 2002-05-30 2006-01-17 Dmetrix, Inc. Imaging system with an integrated source and detector array
US7193775B2 (en) * 2002-05-30 2007-03-20 Dmetrix, Inc. EPI-illumination system for an array microscope
JP2004062156A (ja) * 2002-06-07 2004-02-26 Fuji Photo Film Co Ltd 露光ヘッド及び露光装置
JP4279053B2 (ja) * 2002-06-07 2009-06-17 富士フイルム株式会社 露光ヘッド及び露光装置
EP1369731A3 (de) * 2002-06-07 2008-02-13 FUJIFILM Corporation Belichtungskopf und Belichtungsvorrichtung
US7164961B2 (en) * 2002-06-14 2007-01-16 Disco Corporation Modified photolithography movement system
US7312432B2 (en) * 2002-07-08 2007-12-25 Dmetrix, Inc. Single axis illumination for multi-axis imaging system
US7053985B2 (en) * 2002-07-19 2006-05-30 Applied Materials, Isreal, Ltd. Printer and a method for recording a multi-level image
US6960773B2 (en) * 2002-07-22 2005-11-01 Massachusetts Institute Of Technology System and method for maskless lithography using an array of improved diffractive focusing elements
US7023622B2 (en) 2002-08-06 2006-04-04 Dmetrix, Inc. Miniature microscope objective lens
US20040051030A1 (en) * 2002-09-17 2004-03-18 Artur Olszak Method and apparatus for acquiring images from a multiple axis imaging system
US7113651B2 (en) * 2002-11-20 2006-09-26 Dmetrix, Inc. Multi-spectral miniature microscope array
WO2004027521A2 (en) * 2002-09-19 2004-04-01 Dmetrix, Inc. A multi-axis projection imaging system
DE10246274B4 (de) * 2002-10-02 2006-06-01 Leica Microsystems Cms Gmbh Mikroskop mit Korrektur und Verfahren zur Korrektur der durch Temperaturänderung hervorgerufenen XYZ-Drift
DE10301775A1 (de) * 2003-01-18 2004-07-29 Hentze-Lissotschenko Patentverwaltungs Gmbh & Co.Kg Erfassungsvorrichtung für die optische Erfassung eines Objektes, Verfahren zum Betrieb einer derartigen Erfassungsvorrichtung sowie Scannvorrichtung und konfokales Mikroskop
JP2006517340A (ja) 2003-01-23 2006-07-20 オーボテック リミテッド 高輝度照明を提供するためのシステムおよび方法
JP2004287082A (ja) * 2003-03-20 2004-10-14 Tadahiro Omi マスク描画装置
JP4315694B2 (ja) * 2003-01-31 2009-08-19 富士フイルム株式会社 描画ヘッドユニット、描画装置及び描画方法
DE10308708A1 (de) * 2003-02-28 2004-09-09 Hentze-Lissotschenko Patentverwaltungs Gmbh & Co.Kg Vorrichtung zur Beaufschlagung eines Objektes mit Laserstrahlung, Bearbeitungsvorrichtung für die Bearbeitung eines Objektes sowie Druckvorrichtung für das Drucken von Bildinformationen
JP4057937B2 (ja) * 2003-03-25 2008-03-05 富士フイルム株式会社 露光装置
US6947199B2 (en) * 2003-03-28 2005-09-20 Silicon Light Machines Corporation Loosely-packed two-dimensional modulator arrangement
US20040199073A1 (en) * 2003-04-03 2004-10-07 Agency For Science, Technology And Research Method and apparatus for measuring motion of a body in a number of dimensions
JP4480339B2 (ja) * 2003-04-03 2010-06-16 新光電気工業株式会社 露光装置および露光方法、ならびに描画装置および描画方法
JP4390189B2 (ja) * 2003-04-10 2009-12-24 大日本スクリーン製造株式会社 パターン描画装置
US20040223199A1 (en) * 2003-05-06 2004-11-11 Olszak Artur G. Holographic single axis illumination for multi-axis imaging system
US7063920B2 (en) * 2003-05-16 2006-06-20 Asml Holding, N.V. Method for the generation of variable pitch nested lines and/or contact holes using fixed size pixels for direct-write lithographic systems
EP1480080A1 (de) * 2003-05-22 2004-11-24 ASML Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung einer Vorrichtung
US7183566B2 (en) * 2003-05-28 2007-02-27 Asml Netherlands B.V. Lithographic apparatus for manufacturing a device
US6989920B2 (en) * 2003-05-29 2006-01-24 Asml Holding N.V. System and method for dose control in a lithographic system
US7061591B2 (en) * 2003-05-30 2006-06-13 Asml Holding N.V. Maskless lithography systems and methods utilizing spatial light modulator arrays
EP1482375B1 (de) * 2003-05-30 2014-09-17 ASML Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung eines Artikels
EP1482373A1 (de) 2003-05-30 2004-12-01 ASML Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung eines Artikels
US7016015B2 (en) * 2003-06-20 2006-03-21 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
EP1489449A1 (de) * 2003-06-20 2004-12-22 ASML Netherlands B.V. Räumlicher Lichtmodulator
US7110082B2 (en) * 2003-06-24 2006-09-19 Asml Holding N.V. Optical system for maskless lithography
SG119224A1 (en) * 2003-06-26 2006-02-28 Asml Netherlands Bv Calibration method for a lithographic apparatus and device manufacturing method
US7295315B2 (en) * 2003-06-30 2007-11-13 Kenneth C. Johnson Focus and alignment sensors and methods for use with scanning microlens-array printer
US7154587B2 (en) * 2003-06-30 2006-12-26 Asml Netherlands B.V Spatial light modulator, lithographic apparatus and device manufacturing method
US7158215B2 (en) * 2003-06-30 2007-01-02 Asml Holding N.V. Large field of view protection optical system with aberration correctability for flat panel displays
TW200506418A (en) * 2003-07-01 2005-02-16 Nippon Sheet Glass Co Ltd Lens plate, its manufacturing method, and image transmitting apparatus
JP4373731B2 (ja) 2003-07-22 2009-11-25 富士フイルム株式会社 描画装置及び描画方法
EP1500980A1 (de) * 2003-07-22 2005-01-26 ASML Netherlands B.V. Lithographischer Projektionsapparat, Verfahren zur Herstellung eines Artikels und dabei erzeugter Artikel
US7042624B2 (en) * 2003-07-29 2006-05-09 Kodak Graphic Communications, Canada Company Non-uniform light valve
US7224504B2 (en) 2003-07-30 2007-05-29 Asml Holding N. V. Deformable mirror using piezoelectric actuators formed as an integrated circuit and method of use
US7187399B2 (en) 2003-07-31 2007-03-06 Fuji Photo Film Co., Ltd. Exposure head with spatial light modulator
US6831768B1 (en) 2003-07-31 2004-12-14 Asml Holding N.V. Using time and/or power modulation to achieve dose gray-scaling in optical maskless lithography
KR20060119873A (ko) * 2003-08-27 2006-11-24 코닌클리케 필립스 일렉트로닉스 엔.브이. 광 밸브 배열 및 광 수렴 배열을 이용한 광학 이미지 형성
KR20060120606A (ko) * 2003-08-27 2006-11-27 코닌클리케 필립스 일렉트로닉스 엔.브이. 광 이미지 형성을 위한 제어 회로 및 방법
US7405807B2 (en) * 2003-08-27 2008-07-29 Koninklijke Philips Electronics N.V. Method of forming optical images, apparatus for carrying out said method and process for manufacturing a device using said method
US7414701B2 (en) * 2003-10-03 2008-08-19 Asml Holding N.V. Method and systems for total focus deviation adjustments on maskless lithography systems
WO2005040856A2 (en) * 2003-09-22 2005-05-06 Honeywell International Inc. Confocal scanner system and method
SG110196A1 (en) * 2003-09-22 2005-04-28 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
DE10344060A1 (de) * 2003-09-23 2005-05-04 Zeiss Carl Jena Gmbh Konfokales Laser-Scanning-Mikroskop
US7023526B2 (en) * 2003-09-30 2006-04-04 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system utilizing overlap without an explicit attenuation
US7410736B2 (en) * 2003-09-30 2008-08-12 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system not utilizing overlap of the exposure zones
US6876440B1 (en) * 2003-09-30 2005-04-05 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system utilizing overlap of exposure zones with attenuation of the aerial image in the overlap region
US7109498B2 (en) * 2003-10-09 2006-09-19 Asml Netherlands B.V. Radiation source, lithographic apparatus, and device manufacturing method
JP3797355B2 (ja) * 2003-10-22 2006-07-19 セイコーエプソン株式会社 圧電振動子の製造方法
JP2007510304A (ja) * 2003-10-27 2007-04-19 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 光学像を形成する装置及び方法
US7116398B2 (en) * 2003-11-07 2006-10-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7196772B2 (en) * 2003-11-07 2007-03-27 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4510429B2 (ja) * 2003-11-19 2010-07-21 財団法人国際科学振興財団 マスク描画手法、及びマスク描画装置
US7116405B2 (en) * 2003-12-04 2006-10-03 Johnson Kenneth C Maskless, microlens EUV lithography system with grazing-incidence illumination optics
US7001232B2 (en) * 2003-12-11 2006-02-21 Montgomery Robert E Personal watercraft air intake assembly
DE112004002394D2 (de) * 2003-12-20 2006-11-16 Hentze Lissotschenko Patentver Vorrichtung zum Bearbeiten eines Werkstücks mit Laserlicht
US6995830B2 (en) * 2003-12-22 2006-02-07 Asml Netherlands B.V. Lithographic projection apparatus and device manufacturing method
TWI283795B (en) * 2003-12-26 2007-07-11 Fujifilm Corp A method for an image exposure and a device thereof
US7012674B2 (en) * 2004-01-13 2006-03-14 Asml Holding N.V. Maskless optical writer
US7996458B2 (en) * 2004-01-28 2011-08-09 Apple Inc. Assigning tasks in a distributed system
US6847461B1 (en) * 2004-01-29 2005-01-25 Asml Holding N.V. System and method for calibrating a spatial light modulator array using shearing interferometry
US7580559B2 (en) * 2004-01-29 2009-08-25 Asml Holding N.V. System and method for calibrating a spatial light modulator
JP4083751B2 (ja) * 2004-01-29 2008-04-30 エーエスエムエル ホールディング エヌ.ブイ. 空間光変調器アレイを較正するシステムおよび空間光変調器アレイを較正する方法
TWI437618B (zh) * 2004-02-06 2014-05-11 尼康股份有限公司 偏光變換元件、光學照明裝置、曝光裝置以及曝光方法
CN100433254C (zh) * 2004-02-12 2008-11-12 富士胶片株式会社 图案形成方法
US7133118B2 (en) * 2004-02-18 2006-11-07 Asml Netherlands, B.V. Lithographic apparatus and device manufacturing method
US7190434B2 (en) * 2004-02-18 2007-03-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7016014B2 (en) * 2004-02-27 2006-03-21 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US7081947B2 (en) * 2004-02-27 2006-07-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7061586B2 (en) * 2004-03-02 2006-06-13 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US6967711B2 (en) * 2004-03-09 2005-11-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
USRE43515E1 (en) 2004-03-09 2012-07-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7094506B2 (en) * 2004-03-09 2006-08-22 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
JP2006184840A (ja) * 2004-03-22 2006-07-13 Fuji Photo Film Co Ltd パターン形成材料、並びにパターン形成装置及びパターン形成方法
US7227618B1 (en) 2004-03-24 2007-06-05 Baokang Bi Pattern generating systems
JP4541010B2 (ja) * 2004-03-25 2010-09-08 財団法人国際科学振興財団 パターン露光装置および二次元光像発生装置
JP2005309380A (ja) * 2004-03-26 2005-11-04 Fuji Photo Film Co Ltd 画像露光装置
US7561251B2 (en) * 2004-03-29 2009-07-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7153616B2 (en) * 2004-03-31 2006-12-26 Asml Holding N.V. System and method for verifying and controlling the performance of a maskless lithography tool
US7053981B2 (en) * 2004-03-31 2006-05-30 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2005106593A2 (en) * 2004-04-14 2005-11-10 Litel Instruments Method and apparatus for measurement of exit pupil transmittance
US7002666B2 (en) * 2004-04-16 2006-02-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7088427B2 (en) * 2004-04-20 2006-08-08 Litel Instruments Apparatus and method for high resolution in-situ illumination source measurement in projection imaging systems
US7372547B2 (en) * 2004-04-27 2008-05-13 Lsi Corporation Process and apparatus for achieving single exposure pattern transfer using maskless optical direct write lithography
JP4237727B2 (ja) * 2004-04-30 2009-03-11 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置及びデバイス製造方法
US6963434B1 (en) * 2004-04-30 2005-11-08 Asml Holding N.V. System and method for calculating aerial image of a spatial light modulator
US20050243295A1 (en) * 2004-04-30 2005-11-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing
US7072500B2 (en) * 2004-05-07 2006-07-04 Wisconsin Alumni Research Foundation Image locking system for DNA micro-array synthesis
EP1598779B1 (de) * 2004-05-18 2013-07-24 Agfa HealthCare NV Beseitigung der periodischen Variationen in einem digitalen Signal
US20050259269A1 (en) 2004-05-19 2005-11-24 Asml Holding N.V. Shearing interferometer with dynamic pupil fill
JP2006011371A (ja) * 2004-05-26 2006-01-12 Fuji Photo Film Co Ltd パターン形成方法
US7242456B2 (en) * 2004-05-26 2007-07-10 Asml Holdings N.V. System and method utilizing a lithography tool having modular illumination, pattern generator, and projection optics portions
US7477403B2 (en) * 2004-05-27 2009-01-13 Asml Netherlands B.V. Optical position assessment apparatus and method
JP2006018228A (ja) * 2004-05-31 2006-01-19 Fuji Photo Film Co Ltd パターン形成方法
US7123348B2 (en) 2004-06-08 2006-10-17 Asml Netherlands B.V Lithographic apparatus and method utilizing dose control
US6989886B2 (en) * 2004-06-08 2006-01-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR20070062965A (ko) * 2004-06-15 2007-06-18 후지필름 가부시키가이샤 감광성 조성물, 및 패턴형성방법 및 영구패턴
CN1721996A (zh) * 2004-06-17 2006-01-18 富士胶片株式会社 描绘装置及描绘方法
US7016016B2 (en) * 2004-06-25 2006-03-21 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7116403B2 (en) * 2004-06-28 2006-10-03 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US7116404B2 (en) * 2004-06-30 2006-10-03 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US7158208B2 (en) * 2004-06-30 2007-01-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060001890A1 (en) * 2004-07-02 2006-01-05 Asml Holding N.V. Spatial light modulator as source module for DUV wavefront sensor
US7573574B2 (en) * 2004-07-13 2009-08-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US20060012779A1 (en) * 2004-07-13 2006-01-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4583827B2 (ja) * 2004-07-21 2010-11-17 富士フイルム株式会社 画像形成装置および画像形成方法
US7227613B2 (en) * 2004-07-26 2007-06-05 Asml Holding N.V. Lithographic apparatus having double telecentric illumination
US7259829B2 (en) * 2004-07-26 2007-08-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7335398B2 (en) * 2004-07-26 2008-02-26 Asml Holding N.V. Method to modify the spatial response of a pattern generator
US7142286B2 (en) * 2004-07-27 2006-11-28 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7251020B2 (en) * 2004-07-30 2007-07-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7538855B2 (en) * 2004-08-10 2009-05-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7102733B2 (en) * 2004-08-13 2006-09-05 Asml Holding N.V. System and method to compensate for static and dynamic misalignments and deformations in a maskless lithography tool
US7500218B2 (en) * 2004-08-17 2009-03-03 Asml Netherlands B.V. Lithographic apparatus, method, and computer program product for generating a mask pattern and device manufacturing method using same
US7304718B2 (en) * 2004-08-17 2007-12-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060044533A1 (en) * 2004-08-27 2006-03-02 Asmlholding N.V. System and method for reducing disturbances caused by movement in an immersion lithography system
US7846649B2 (en) * 2004-09-13 2010-12-07 Applied Materials Israel, Ltd. High resolution printer and a method for high resolution printing
US7079225B2 (en) * 2004-09-14 2006-07-18 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
JP4750396B2 (ja) * 2004-09-27 2011-08-17 キヤノン株式会社 露光装置及びデバイス製造方法
US7177012B2 (en) 2004-10-18 2007-02-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7388663B2 (en) 2004-10-28 2008-06-17 Asml Netherlands B.V. Optical position assessment apparatus and method
US7423732B2 (en) * 2004-11-04 2008-09-09 Asml Holding N.V. Lithographic apparatus and device manufacturing method utilizing placement of a patterning device at a pupil plane
US7609362B2 (en) * 2004-11-08 2009-10-27 Asml Netherlands B.V. Scanning lithographic apparatus and device manufacturing method
ATE459933T1 (de) 2004-11-16 2010-03-15 Illumina Inc Verfahren und vorrichtung zum lesen von kodierten mikrokugeln
US7170584B2 (en) * 2004-11-17 2007-01-30 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7061581B1 (en) * 2004-11-22 2006-06-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7474384B2 (en) * 2004-11-22 2009-01-06 Asml Holding N.V. Lithographic apparatus, device manufacturing method, and a projection element for use in the lithographic apparatus
US7643192B2 (en) * 2004-11-24 2010-01-05 Asml Holding N.V. Pattern generator using a dual phase step element and method of using same
US7400390B2 (en) * 2004-11-29 2008-07-15 Applied Materials, Israel, Ltd. Inspection system and a method for aerial reticle inspection
US7713667B2 (en) * 2004-11-30 2010-05-11 Asml Holding N.V. System and method for generating pattern data used to control a pattern generator
US7333177B2 (en) * 2004-11-30 2008-02-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7365848B2 (en) * 2004-12-01 2008-04-29 Asml Holding N.V. System and method using visible and infrared light to align and measure alignment patterns on multiple layers
US7391499B2 (en) * 2004-12-02 2008-06-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7362415B2 (en) * 2004-12-07 2008-04-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4588428B2 (ja) * 2004-12-09 2010-12-01 富士フイルム株式会社 画像露光方法および装置
US7355677B2 (en) * 2004-12-09 2008-04-08 Asml Netherlands B.V. System and method for an improved illumination system in a lithographic apparatus
US7180577B2 (en) * 2004-12-17 2007-02-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a microlens array at an image plane
US20100051788A1 (en) * 2004-12-17 2010-03-04 Koninklijke Philips Electronics, N.V. Multi-spot investigation apparatus
US7349068B2 (en) * 2004-12-17 2008-03-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7382449B2 (en) * 2004-12-21 2008-06-03 Alces Technology Alignment tool for precise pattern transfer
US7375795B2 (en) * 2004-12-22 2008-05-20 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, and device manufactured thereby
US7274502B2 (en) * 2004-12-22 2007-09-25 Asml Holding N.V. System, apparatus and method for maskless lithography that emulates binary, attenuating phase-shift and alternating phase-shift masks
US7391676B2 (en) * 2004-12-22 2008-06-24 Asml Netherlands B.V. Ultrasonic distance sensors
US7256867B2 (en) * 2004-12-22 2007-08-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7202939B2 (en) * 2004-12-22 2007-04-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7230677B2 (en) * 2004-12-22 2007-06-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing hexagonal image grids
US20060134535A1 (en) * 2004-12-22 2006-06-22 3M Innovative Properties Company Lensed fiber array for sub-micron optical lithography patterning
US7656506B2 (en) * 2004-12-23 2010-02-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a substrate handler
US7426076B2 (en) * 2004-12-23 2008-09-16 Asml Holding N.V. Projection system for a lithographic apparatus
US7242458B2 (en) * 2004-12-23 2007-07-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a multiple substrate carrier for flat panel display substrates
US7538857B2 (en) * 2004-12-23 2009-05-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a substrate handler
US7459247B2 (en) * 2004-12-27 2008-12-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060138349A1 (en) * 2004-12-27 2006-06-29 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7279110B2 (en) * 2004-12-27 2007-10-09 Asml Holding N.V. Method and apparatus for creating a phase step in mirrors used in spatial light modulator arrays
US7126672B2 (en) * 2004-12-27 2006-10-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7317510B2 (en) * 2004-12-27 2008-01-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7145636B2 (en) * 2004-12-28 2006-12-05 Asml Netherlands Bv System and method for determining maximum operational parameters used in maskless applications
US7274029B2 (en) * 2004-12-28 2007-09-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7403865B2 (en) * 2004-12-28 2008-07-22 Asml Netherlands B.V. System and method for fault indication on a substrate in maskless applications
US7756660B2 (en) 2004-12-28 2010-07-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7342644B2 (en) * 2004-12-29 2008-03-11 Asml Netherlands B.V. Methods and systems for lithographic beam generation
US7253881B2 (en) * 2004-12-29 2007-08-07 Asml Netherlands Bv Methods and systems for lithographic gray scaling
US7567368B2 (en) * 2005-01-06 2009-07-28 Asml Holding N.V. Systems and methods for minimizing scattered light in multi-SLM maskless lithography
JP4587170B2 (ja) * 2005-01-20 2010-11-24 キヤノン株式会社 露光装置及びデバイスの製造方法
US7542013B2 (en) * 2005-01-31 2009-06-02 Asml Holding N.V. System and method for imaging enhancement via calculation of a customized optimal pupil field and illumination mode
TW200640245A (en) 2005-02-04 2006-11-16 Fuji Photo Film Co Ltd Rendering device and rendering method
US7460208B2 (en) * 2005-02-18 2008-12-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7286137B2 (en) * 2005-02-28 2007-10-23 Asml Holding N.V. Method and system for constrained pixel graytones interpolation for pattern rasterization
US7499146B2 (en) * 2005-03-14 2009-03-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method, an integrated circuit, a flat panel display, and a method of compensating for cupping
US7812930B2 (en) * 2005-03-21 2010-10-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using repeated patterns in an LCD to reduce datapath volume
JP4690754B2 (ja) * 2005-03-24 2011-06-01 株式会社ナノシステムソリューションズ 大面積マスクレス露光方法及び露光装置
US7209216B2 (en) * 2005-03-25 2007-04-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing dynamic correction for magnification and position in maskless lithography
US7403265B2 (en) * 2005-03-30 2008-07-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing data filtering
US7728956B2 (en) * 2005-04-05 2010-06-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing multiple die designs on a substrate using a data buffer that stores pattern variation data
US7456935B2 (en) * 2005-04-05 2008-11-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a positioning device for positioning an object table
US7209217B2 (en) 2005-04-08 2007-04-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing plural patterning devices
US7330239B2 (en) * 2005-04-08 2008-02-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a blazing portion of a contrast device
US7221514B2 (en) * 2005-04-15 2007-05-22 Asml Netherlands B.V. Variable lens and exposure system
US7400382B2 (en) * 2005-04-28 2008-07-15 Asml Holding N.V. Light patterning device using tilting mirrors in a superpixel form
US7738081B2 (en) * 2005-05-06 2010-06-15 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a flat panel display handler with conveyor device and substrate handler
TWI268339B (en) * 2005-05-25 2006-12-11 Ind Tech Res Inst Displacement measuring device and method, an internal diameter measuring device by use of the variance of the wavelength to measure the displacement and the internal diameter
US7197828B2 (en) * 2005-05-31 2007-04-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing FPD chuck Z position measurement
JP4753625B2 (ja) * 2005-05-31 2011-08-24 大日本スクリーン製造株式会社 パターン描画装置およびブロック数決定方法
US7477772B2 (en) * 2005-05-31 2009-01-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing 2D run length encoding for image data compression
US7292317B2 (en) * 2005-06-08 2007-11-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing substrate stage compensating
US7742148B2 (en) 2005-06-08 2010-06-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method for writing a digital image
US7233384B2 (en) * 2005-06-13 2007-06-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method, and device manufactured thereby for calibrating an imaging system with a sensor
US7321416B2 (en) * 2005-06-15 2008-01-22 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, device manufactured thereby, and controllable patterning device utilizing a spatial light modulator with distributed digital to analog conversion
US7408617B2 (en) * 2005-06-24 2008-08-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a large area FPD chuck equipped with encoders an encoder scale calibration method
JP2007010785A (ja) * 2005-06-28 2007-01-18 Fujifilm Holdings Corp 永久パターン形成方法
US7965373B2 (en) * 2005-06-28 2011-06-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a datapath having a balanced calculation load
US7307694B2 (en) * 2005-06-29 2007-12-11 Asml Netherlands B.V. Lithographic apparatus, radiation beam inspection device, method of inspecting a beam of radiation and device manufacturing method
US7522258B2 (en) * 2005-06-29 2009-04-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing movement of clean air to reduce contamination
US20070013889A1 (en) * 2005-07-12 2007-01-18 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and device manufactured thereby having an increase in depth of focus
JP2007025394A (ja) * 2005-07-19 2007-02-01 Fujifilm Holdings Corp パターン形成方法
US7251019B2 (en) * 2005-07-20 2007-07-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a continuous light beam in combination with pixel grid imaging
US7606430B2 (en) * 2005-08-30 2009-10-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a multiple dictionary compression method for FPD
US20070046917A1 (en) * 2005-08-31 2007-03-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method that compensates for reticle induced CDU
JP2007114750A (ja) * 2005-09-09 2007-05-10 Asml Netherlands Bv 投影システム設計方法、リソグラフィー装置およびデバイス製造方法
EP1762877B1 (de) * 2005-09-13 2010-11-17 Albert-Ludwigs-Universität Freiburg Mikroskopieverfahren mit räumlich modulierbarer Beleuchtung
JP2007086373A (ja) * 2005-09-21 2007-04-05 Fujifilm Corp 永久パターン形成方法
JP4923254B2 (ja) * 2005-09-21 2012-04-25 国立大学法人東北大学 露光方法
US7391503B2 (en) * 2005-10-04 2008-06-24 Asml Netherlands B.V. System and method for compensating for thermal expansion of lithography apparatus or substrate
US7830493B2 (en) * 2005-10-04 2010-11-09 Asml Netherlands B.V. System and method for compensating for radiation induced thermal distortions in a substrate or projection system
US7332733B2 (en) * 2005-10-05 2008-02-19 Asml Netherlands B.V. System and method to correct for field curvature of multi lens array
US20070127005A1 (en) * 2005-12-02 2007-06-07 Asml Holding N.V. Illumination system
US7626181B2 (en) * 2005-12-09 2009-12-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20070133007A1 (en) * 2005-12-14 2007-06-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using laser trimming of a multiple mirror contrast device
US20070153249A1 (en) * 2005-12-20 2007-07-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using multiple exposures and multiple exposure types
US7440078B2 (en) * 2005-12-20 2008-10-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using interferometric and maskless exposure units
US7466394B2 (en) * 2005-12-21 2008-12-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using a compensation scheme for a patterning array
US7532403B2 (en) * 2006-02-06 2009-05-12 Asml Holding N.V. Optical system for transforming numerical aperture
JP4948866B2 (ja) 2006-03-27 2012-06-06 富士フイルム株式会社 描画状態調整方法及び装置
WO2007116000A2 (de) * 2006-04-04 2007-10-18 Tesa Scribos Gmbh Vorrichtung und verfahren zur mikrostrukturierung eines speichermediums sowie speichermedium mit einem mikrostrukturierten bereich
US7528933B2 (en) * 2006-04-06 2009-05-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a MEMS mirror with large deflection using a non-linear spring arrangement
US7830575B2 (en) 2006-04-10 2010-11-09 Illumina, Inc. Optical scanner with improved scan time
US7508491B2 (en) * 2006-04-12 2009-03-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilized to reduce quantization influence of datapath SLM interface to dose uniformity
US7839487B2 (en) * 2006-04-13 2010-11-23 Asml Holding N.V. Optical system for increasing illumination efficiency of a patterning device
US7948606B2 (en) * 2006-04-13 2011-05-24 Asml Netherlands B.V. Moving beam with respect to diffractive optics in order to reduce interference patterns
US8822894B2 (en) 2011-01-07 2014-09-02 California Institute Of Technology Light-field pixel for detecting a wavefront based on a first intensity normalized by a second intensity
US8264667B2 (en) * 2006-05-04 2012-09-11 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using interferometric and other exposure
US8934084B2 (en) * 2006-05-31 2015-01-13 Asml Holding N.V. System and method for printing interference patterns having a pitch in a lithography system
US7728954B2 (en) * 2006-06-06 2010-06-01 Asml Netherlands B.V. Reflective loop system producing incoherent radiation
US7649676B2 (en) * 2006-06-14 2010-01-19 Asml Netherlands B.V. System and method to form unpolarized light
US7936445B2 (en) * 2006-06-19 2011-05-03 Asml Netherlands B.V. Altering pattern data based on measured optical element characteristics
US8896808B2 (en) * 2006-06-21 2014-11-25 Asml Netherlands B.V. Lithographic apparatus and method
US7697115B2 (en) * 2006-06-23 2010-04-13 Asml Holding N.V. Resonant scanning mirror
US7593094B2 (en) * 2006-06-26 2009-09-22 Asml Netherlands B.V. Patterning device
US20080002174A1 (en) * 2006-06-30 2008-01-03 Asml Netherlands B.V. Control system for pattern generator in maskless lithography
US7630136B2 (en) 2006-07-18 2009-12-08 Asml Holding N.V. Optical integrators for lithography systems and methods
US7548315B2 (en) * 2006-07-27 2009-06-16 Asml Netherlands B.V. System and method to compensate for critical dimension non-uniformity in a lithography system
US7738077B2 (en) * 2006-07-31 2010-06-15 Asml Netherlands B.V. Patterning device utilizing sets of stepped mirrors and method of using same
US7626182B2 (en) * 2006-09-05 2009-12-01 Asml Netherlands B.V. Radiation pulse energy control system, lithographic apparatus and device manufacturing method
US7628875B2 (en) * 2006-09-12 2009-12-08 Asml Netherlands B.V. MEMS device and assembly method
US7969577B2 (en) * 2006-09-14 2011-06-28 Asml Netherlands B.V. Inspection apparatus, an apparatus for projecting an image and a method of measuring a property of a substrate
US7932993B2 (en) * 2006-09-16 2011-04-26 Wenhui Mei Divided sub-image array scanning and exposing system
US8049865B2 (en) * 2006-09-18 2011-11-01 Asml Netherlands B.V. Lithographic system, device manufacturing method, and mask optimization method
JP4511502B2 (ja) * 2006-09-30 2010-07-28 日立ビアメカニクス株式会社 基板露光装置
US7755775B1 (en) * 2006-10-03 2010-07-13 N&K Technology, Inc. Broadband optical metrology with reduced wave front distortion, chromatic dispersion compensation and monitoring
US7804603B2 (en) * 2006-10-03 2010-09-28 Asml Netherlands B.V. Measurement apparatus and method
US7683300B2 (en) * 2006-10-17 2010-03-23 Asml Netherlands B.V. Using an interferometer as a high speed variable attenuator
US7738079B2 (en) * 2006-11-14 2010-06-15 Asml Netherlands B.V. Radiation beam pulse trimming
US7453551B2 (en) * 2006-11-14 2008-11-18 Asml Netherlands B.V. Increasing pulse-to-pulse radiation beam uniformity
US20080111977A1 (en) * 2006-11-14 2008-05-15 Asml Holding N.V. Compensation techniques for fluid and magnetic bearings
US8054449B2 (en) * 2006-11-22 2011-11-08 Asml Holding N.V. Enhancing the image contrast of a high resolution exposure tool
US8259285B2 (en) * 2006-12-14 2012-09-04 Asml Holding N.V. Lithographic system, device manufacturing method, setpoint data optimization method, and apparatus for producing optimized setpoint data
US7834980B2 (en) * 2006-12-21 2010-11-16 Asml Netherlands B. V. Lithographic apparatus and method
US7965378B2 (en) * 2007-02-20 2011-06-21 Asml Holding N.V Optical system and method for illumination of reflective spatial light modulators in maskless lithography
US8009269B2 (en) 2007-03-14 2011-08-30 Asml Holding N.V. Optimal rasterization for maskless lithography
US8009270B2 (en) * 2007-03-22 2011-08-30 Asml Netherlands B.V. Uniform background radiation in maskless lithography
US7714986B2 (en) * 2007-05-24 2010-05-11 Asml Netherlands B.V. Laser beam conditioning system comprising multiple optical paths allowing for dose control
US8687166B2 (en) * 2007-05-24 2014-04-01 Asml Netherlands B.V. Lithographic apparatus having an encoder position sensor system
US8760615B2 (en) * 2007-05-24 2014-06-24 Asml Netherlands B.V. Lithographic apparatus having encoder type position sensor system
US20080304034A1 (en) * 2007-06-07 2008-12-11 Asml Netherlands B.V. Dose control for optical maskless lithography
US8189172B2 (en) * 2007-06-14 2012-05-29 Asml Netherlands B.V. Lithographic apparatus and method
US8692974B2 (en) * 2007-06-14 2014-04-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using pupil filling by telecentricity control
US7768627B2 (en) * 2007-06-14 2010-08-03 Asml Netherlands B.V. Illumination of a patterning device based on interference for use in a maskless lithography system
DE102007038999A1 (de) * 2007-08-17 2009-02-19 Punch Graphix Prepress Germany Gmbh Verfahren zur Steigerung des Durchsatzes und zur Reduzierung der Bewegungsunschärfe
TWI378221B (en) * 2007-09-21 2012-12-01 Ind Tech Res Inst Scatterfield microscopical measuring method and apparatus
WO2009041816A2 (en) * 2007-09-25 2009-04-02 Nederlandse Organisatie Voor Toegepast-Natuurwetenschappeliijk Onderzoek Tno A method of patterning a hard disk medium
KR101909850B1 (ko) * 2007-11-06 2018-10-18 가부시키가이샤 니콘 조명 광학계, 노광 장치 및 노광 방법
WO2009066252A2 (en) * 2007-11-23 2009-05-28 Koninklijke Philips Electronics N.V. Multi-focal spot generator and multi-focal multi-spot scanning microscope
CN101868740B (zh) * 2007-11-23 2012-10-10 皇家飞利浦电子股份有限公司 多模式光斑发生器和多模式多光斑扫描显微镜
WO2009089089A1 (en) * 2008-01-02 2009-07-16 Board Of Regents, The University Of Texas System Microdevice fabrication
US9046680B2 (en) * 2008-03-07 2015-06-02 California Institute Of Technology Scanning illumination microscope
NL1036558A1 (nl) * 2008-03-25 2009-09-28 Asml Netherlands Bv Method and lithographic apparatus for acquiring height data relating to a substrate surface.
EP2263107A4 (de) 2008-04-10 2016-12-28 Services Petroliers Schlumberger Verfahren zur charakterisierung einer von einem bohrloch durchquerten geologischen formation
US8725477B2 (en) * 2008-04-10 2014-05-13 Schlumberger Technology Corporation Method to generate numerical pseudocores using borehole images, digital rock samples, and multi-point statistics
US9561622B2 (en) 2008-05-05 2017-02-07 Georgia Tech Research Corporation Systems and methods for fabricating three-dimensional objects
US8115904B2 (en) * 2008-05-30 2012-02-14 Corning Incorporated Illumination system for sizing focused spots of a patterning system for maskless lithography
JP5743886B2 (ja) 2008-06-04 2015-07-01 マッパー・リソグラフィー・アイピー・ビー.ブイ. ターゲットを露光するための方法およびシステム
TWI460548B (zh) * 2008-06-04 2014-11-11 Mapper Lithography Ip Bv 用於曝光靶材之方法和系統
DE102008031412A1 (de) * 2008-07-02 2010-01-28 Nanofocus Ag Vorrichtung und Verfahren zur Beobachtung mehrerer auf einer Linie angeordneter Messpunkte auf einer zu vermessenden Objektoberfläche
JP5253037B2 (ja) * 2008-08-18 2013-07-31 株式会社日立ハイテクノロジーズ 露光装置、露光方法、及び表示用パネル基板の製造方法
JP5190609B2 (ja) * 2008-08-21 2013-04-24 株式会社ブイ・テクノロジー 露光装置及びそれに使用するフォトマスク
JP2010060990A (ja) * 2008-09-05 2010-03-18 Hitachi High-Technologies Corp 露光装置、露光方法、及び表示用パネル基板の製造方法
CN102203674B (zh) * 2008-09-22 2015-08-12 Asml荷兰有限公司 光刻设备、可编程图案形成装置和光刻方法
CN102292676B (zh) * 2008-11-26 2014-03-12 麦克罗尼克迈达塔有限责任公司 使用复杂二维交织方案的图像读取和写入
EP2359193B1 (de) * 2008-12-05 2013-02-13 Micronic Mydata AB Dreharm zum Schreiben eines Bildes auf einem Werkstück
WO2010084478A2 (en) 2009-01-24 2010-07-29 Ecole Polytechnique Federale De Lausanne (Epfl) High-resolution microscopy and photolithography devices using focusing micromirrors
US8311788B2 (en) 2009-07-01 2012-11-13 Schlumberger Technology Corporation Method to quantify discrete pore shapes, volumes, and surface areas using confocal profilometry
US20110004447A1 (en) * 2009-07-01 2011-01-06 Schlumberger Technology Corporation Method to build 3D digital models of porous media using transmitted laser scanning confocal mircoscopy and multi-point statistics
KR101854828B1 (ko) 2009-05-20 2018-05-04 마퍼 리쏘그라피 아이피 비.브이. 듀얼 패스 스캐닝
US8633432B2 (en) * 2009-09-21 2014-01-21 California Institute Of Technology Reflective focusing and transmissive projection device
US8767216B2 (en) * 2009-10-13 2014-07-01 California Institute Of Technology Holographically illuminated imaging devices
WO2011058634A1 (ja) * 2009-11-12 2011-05-19 株式会社ブイ・テクノロジー 露光装置及びそれに使用するフォトマスク
US20130256286A1 (en) * 2009-12-07 2013-10-03 Ipg Microsystems Llc Laser processing using an astigmatic elongated beam spot and using ultrashort pulses and/or longer wavelengths
TWI448830B (zh) 2010-02-09 2014-08-11 Asml Netherlands Bv 微影裝置及元件製造方法
EP2354853B1 (de) * 2010-02-09 2013-01-02 Carl Zeiss SMT GmbH Optisches Rasterelement, optischer Integrator und Beleuchtungssystem eines mikrolithografischen Projektionsbelichtungsgerätes
WO2011106327A2 (en) * 2010-02-23 2011-09-01 California Institute Of Technology High resolution imaging devices with wide field and extended focus
KR101496878B1 (ko) * 2010-02-23 2015-03-02 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 디바이스 제조 방법
KR101419330B1 (ko) 2010-02-23 2014-07-15 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 디바이스 제조 방법
EP2539771B1 (de) 2010-02-25 2017-02-01 ASML Netherlands BV Lithographischer apparat und verfahren zur herstellung einer vorrichtung
US8743165B2 (en) 2010-03-05 2014-06-03 Micronic Laser Systems Ab Methods and device for laser processing
JP5609611B2 (ja) * 2010-03-11 2014-10-22 株式会社リコー 分光特性取得装置、画像評価装置、及び画像形成装置
DE102010016382B4 (de) * 2010-04-09 2022-06-02 Leica Microsystems Cms Gmbh Fluoreszenzmikroskop und Verfahren zur Durchführung von Multipositionierungen in einer Screening-Applikation
US9696633B2 (en) 2010-04-12 2017-07-04 Asml Netherlands B.V. Substrate handling apparatus and lithographic apparatus
CN101846890B (zh) * 2010-05-13 2012-08-22 苏州苏大维格光电科技股份有限公司 并行光刻直写系统
US8536545B2 (en) 2010-09-09 2013-09-17 California Institute Of Technology Delayed emission detection devices and methods
DE102010041623A1 (de) * 2010-09-29 2012-03-29 Carl Zeiss Smt Gmbh Spiegel
JP5515119B2 (ja) 2010-10-05 2014-06-11 株式会社ブイ・テクノロジー マイクロレンズアレイを使用したスキャン露光装置
US9316926B2 (en) 2010-12-08 2016-04-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2012122398A2 (en) 2011-03-09 2012-09-13 California Institute Of Technology Talbot imaging devices and systems
EP2691811B1 (de) 2011-03-29 2018-01-31 ASML Netherlands B.V. Messung der position eines strahlungsfleckes in der lithographie
DE102011001785B4 (de) * 2011-04-04 2015-03-05 Jenoptik Optical Systems Gmbh Belichtungseinrichtung zur strukturierten Belichtung einer Fläche
WO2012136434A2 (en) 2011-04-08 2012-10-11 Asml Netherlands B.V. Lithographic apparatus, programmable patterning device and lithographic method
US8946619B2 (en) 2011-04-20 2015-02-03 California Institute Of Technology Talbot-illuminated imaging devices, systems, and methods for focal plane tuning
NL2008500A (en) 2011-04-21 2012-10-23 Asml Netherlands Bv Lithographic apparatus, method for maintaining a lithographic apparatus and device manufacturing method.
JP5346356B2 (ja) * 2011-05-30 2013-11-20 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置及びデバイス製造方法
KR20120136206A (ko) * 2011-06-08 2012-12-18 삼성전기주식회사 마스크리스 가공 장치
DE102011078817A1 (de) * 2011-06-17 2012-12-20 Siemens Aktiengesellschaft Verfahren zur dreidimensionalen Vermessung eines Körpers und Vorrichtung
EP2745174B1 (de) 2011-08-18 2015-09-16 ASML Netherlands B.V. Lithografische vorrichtung und verfahren zur herstellung der vorrichtung
CN103048885B (zh) * 2011-10-11 2015-02-25 中山新诺科技股份有限公司 无掩膜曝光系统及方法
NL2009342A (en) 2011-10-31 2013-05-07 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
US8854426B2 (en) 2011-11-07 2014-10-07 Microsoft Corporation Time-of-flight camera with guided light
US9696636B2 (en) 2011-11-29 2017-07-04 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and computer program
US10346729B2 (en) 2011-11-29 2019-07-09 Asml Netherlands B.V. Apparatus and method for converting a vector-based representation of a desired device pattern for a lithography apparatus, apparatus and method for providing data to a programmable patterning device, a lithography apparatus and a device manufacturing method
WO2013083371A1 (en) 2011-12-05 2013-06-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR101607176B1 (ko) 2011-12-06 2016-03-29 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치, 세트포인트 데이터를 제공하는 장치, 디바이스 제조 방법, 세트포인트 데이터를 계산하는 방법, 및 컴퓨터 프로그램
NL2009902A (en) 2011-12-27 2013-07-01 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
JP5833437B2 (ja) * 2011-12-29 2015-12-16 ルネサスエレクトロニクス株式会社 シミュレーション装置およびシミュレーションプログラム
KR101633759B1 (ko) 2012-01-12 2016-06-27 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치, 세트포인트 데이터를 제공하는 장치, 디바이스 제조 방법, 세트포인트 데이터를 제공하는 방법, 및 컴퓨터 프로그램
DE102012000650A1 (de) * 2012-01-16 2013-07-18 Carl Zeiss Microscopy Gmbh Verfahren und vorrichtung zum abrastern einer oberfläche eines objekts mit einem teilchenstrahl
CN104054024B (zh) 2012-01-17 2017-06-13 Asml荷兰有限公司 光刻设备和装置制造方法
NL2010176A (en) 2012-02-23 2013-08-26 Asml Netherlands Bv Device, lithographic apparatus, method for guiding radiation and device manufacturing method.
US10025082B2 (en) 2012-02-23 2018-07-17 The United States Of America, As Represented By The Secretary, Department Of Health And Human Services Multi-focal structured illumination microscopy systems and methods
US9696534B2 (en) * 2012-02-23 2017-07-04 The United States Of America, As Represented By The Secretary, Department Of Health And Human Services Multi-focal structured illumination microscopy systems and methods
TW201343296A (zh) * 2012-03-16 2013-11-01 Ipg Microsystems Llc 使一工件中具有延伸深度虛飾之雷射切割系統及方法
WO2013138911A1 (en) * 2012-03-23 2013-09-26 Huron Technologies International Inc. Slide scanner with dynamic focus and specimen tilt and method of operation
JP6150043B2 (ja) * 2012-03-29 2017-06-21 株式会社ブイ・テクノロジー 露光装置
WO2014013412A1 (en) 2012-07-17 2014-01-23 Ecole Polytechnique Federale De Lausanne (Epfl) Reflective optical objective
WO2014018584A1 (en) 2012-07-24 2014-01-30 Trustees Of Boston University Partitioned aperture wavefront imaging method and system
US10149390B2 (en) 2012-08-27 2018-12-04 Mycronic AB Maskless writing of a workpiece using a plurality of exposures having different focal planes using multiple DMDs
CN105163922B (zh) * 2012-11-08 2018-11-06 Ddm系统有限责任公司 用于制造三维物体的系统和方法
NL2012052A (en) 2013-01-29 2014-08-04 Asml Netherlands Bv A radiation modulator for a lithography apparatus, a lithography apparatus, a method of modulating radiation for use in lithography, and a device manufacturing method.
JP6308523B2 (ja) * 2014-03-11 2018-04-11 株式会社ブイ・テクノロジー ビーム露光装置
US9523645B2 (en) * 2014-10-20 2016-12-20 Exnodes Inc. Lenticular wafer inspection
EP3040779A1 (de) * 2014-12-30 2016-07-06 Visitech As Maskenlose Belichtungsvorrichtung mit Ausrichtung
EP3278166A2 (de) * 2015-03-31 2018-02-07 Samantree Medical SA Systeme und verfahren zur bildgebung im operationssaal von frischem, während der operation zur pathologischen beurteilung reseziertem gewebe
US9939732B2 (en) * 2015-10-27 2018-04-10 Cymer, Llc Controller for an optical system
WO2017172819A1 (en) 2016-03-30 2017-10-05 Optical Wavefront Laboratories, Llc Multiple camera microscope imaging with patterned illumination
US10908507B2 (en) 2016-07-13 2021-02-02 Applied Materials, Inc. Micro LED array illumination source
JP6781582B2 (ja) 2016-07-25 2020-11-04 株式会社ニューフレアテクノロジー 電子ビーム検査装置及び電子ビーム検査方法
US10069996B2 (en) * 2016-09-15 2018-09-04 Xerox Corporation System and method for utilizing digital micromirror devices to split and recombine a signal image to enable heat dissipation
EP3559747A1 (de) 2016-12-20 2019-10-30 EV Group E. Thallner GmbH Vorrichtung und verfahren zur belichtung einer lichtempfindlichen schicht
WO2018113918A1 (de) 2016-12-20 2018-06-28 Ev Group E. Thallner Gmbh Vorrichtung und verfahren zur belichtung einer lichtempfindlichen schicht
WO2018113939A1 (en) * 2016-12-21 2018-06-28 CSEM Centre Suisse d'Electronique et de Microtechnique SA - Recherche et Développement Optical system
US11086113B2 (en) 2017-06-06 2021-08-10 The United States Of America Multi-focal structured illumination microscopy systems and methods
US10928621B2 (en) 2017-10-31 2021-02-23 Samantree Medical Sa Sample dishes for use in microscopy and methods of their use
US10539776B2 (en) 2017-10-31 2020-01-21 Samantree Medical Sa Imaging systems with micro optical element arrays and methods of specimen imaging
US11747603B2 (en) 2017-10-31 2023-09-05 Samantree Medical Sa Imaging systems with micro optical element arrays and methods of specimen imaging
CN108312505A (zh) * 2018-02-05 2018-07-24 中山新诺科技股份有限公司 一种dmd扫描成像的3d成型装置及方法
WO2019157556A1 (en) * 2018-02-13 2019-08-22 Newsouth Innovations Pty Limited Piezoelectric microlens actuator
US10634890B1 (en) 2018-10-26 2020-04-28 General Electric Company Miniaturized microscope for phase contrast and multicolor fluorescence imaging
WO2021028035A1 (en) 2019-08-14 2021-02-18 Ceramic Data Solution GmbH Method for long-term storage of information and storage medium therefor
CN111258046A (zh) * 2020-02-26 2020-06-09 清华大学 基于前置微透镜阵列的光场显微系统及方法
US10937630B1 (en) 2020-04-27 2021-03-02 John Bennett Modular parallel electron lithography
KR20230030594A (ko) 2020-07-03 2023-03-06 세라믹 데이터 솔루션즈 게엠베하 정보의 장기 저장을 위한 방법을 위한 증가된 저장 용량, 및 그를 위한 저장 매체
JP2023532545A (ja) 2020-07-03 2023-07-28 セラミック・データ・ソリューションズ・ゲーエムベーハー 多ビット符号方式により記憶密度が向上した情報の記憶方法および情報記憶媒体
FR3112866B1 (fr) * 2020-07-22 2022-07-22 Damae Medical Systèmes et procédés d’analyse microscopique d’un échantillon
EP3955248A1 (de) * 2020-08-11 2022-02-16 Christian Pflaum Datenaufzeichnung auf keramischem material
WO2022194354A1 (en) 2021-03-16 2022-09-22 Ceramic Data Solutions GmbH Data carrier, reading method and system utilizing super resolution techniques

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3013467A (en) * 1957-11-07 1961-12-19 Minsky Marvin Microscopy apparatus
US4101210A (en) * 1976-06-21 1978-07-18 Dimensional Development Corporation Projection apparatus for stereoscopic pictures
JPS54133130A (en) * 1978-04-05 1979-10-16 Canon Inc Projector
SE455736B (sv) * 1984-03-15 1988-08-01 Sarastro Ab Forfaringssett och anordning for mikrofotometrering och efterfoljande bildsammanstellning
US4668080A (en) * 1985-11-29 1987-05-26 Rca Corporation Method and apparatus for forming large area high resolution patterns
US5032720A (en) * 1988-04-21 1991-07-16 White John G Confocal imaging system
JPH0315018A (ja) * 1989-01-13 1991-01-23 Dainippon Screen Mfg Co Ltd 画像走査記録装置のレーザ露光装置
GB2231681B (en) * 1989-05-05 1993-04-21 Hatfield Polytechnic Optical microscopes
US5245369A (en) * 1989-11-01 1993-09-14 Aura Systems, Inc. Scene projector
JP2893778B2 (ja) * 1990-01-17 1999-05-24 キヤノン株式会社 露光装置
EP0485803B1 (de) * 1990-11-10 1996-05-01 Grosskopf, Rudolf, Dr.-Ing. Optische Abtastvorrichtung mit konfokalem Strahlengang, in der Lichtquellen- und Detektormatrix verwendet werden
US5239178A (en) * 1990-11-10 1993-08-24 Carl Zeiss Optical device with an illuminating grid and detector grid arranged confocally to an object
US5260826A (en) * 1992-01-21 1993-11-09 Physical Optics Corporation Nonscanning sectioning microscope
EP0558781B1 (de) * 1992-03-05 1998-08-05 Micronic Laser Systems Ab Verfahren und Vorrichtung zur Belichtung von Substraten
JPH0684741A (ja) * 1992-06-25 1994-03-25 Think Lab Kk 半導体レーザ露光装置
JP2895703B2 (ja) * 1992-07-14 1999-05-24 三菱電機株式会社 露光装置およびその露光装置を用いた露光方法
JP3052587B2 (ja) * 1992-07-28 2000-06-12 日本電気株式会社 露光装置
US5517279A (en) * 1993-08-30 1996-05-14 Hugle; William B. Lens array photolithography
US5659420A (en) * 1993-09-30 1997-08-19 Kabushiki Kaisha Komatsu Seisakusho Confocal optical apparatus
JP3404607B2 (ja) * 1993-09-30 2003-05-12 株式会社小松製作所 共焦点光学装置
JPH07159722A (ja) * 1993-12-09 1995-06-23 Nikon Corp 投射装置
KR0124058B1 (en) * 1993-12-22 1997-11-24 Korea Telecommunication Measuring device and method of 2-dimension microscope displacement by using moire appearance
CN1120683A (zh) * 1994-03-15 1996-04-17 松下电器产业株式会社 曝光方法及其装置
JP3376690B2 (ja) * 1994-04-28 2003-02-10 株式会社ニコン 露光装置、及び該装置を用いた露光方法
KR100220675B1 (ko) * 1994-10-31 1999-09-15 전주범 투사형 화상표시장치
US5754299A (en) * 1995-01-13 1998-05-19 Nikon Corporation Inspection apparatus and method for optical system, exposure apparatus provided with the inspection apparatus, and alignment apparatus and optical system thereof applicable to the exposure apparatus
US5724121A (en) * 1995-05-12 1998-03-03 Hughes Danbury Optical Systems, Inc. Mounting member method and apparatus with variable length supports
JP3548277B2 (ja) * 1995-05-17 2004-07-28 ペンタックス株式会社 マルチビーム記録装置およびマルチビーム記録装置の開口板製作方法
US5739899A (en) * 1995-05-19 1998-04-14 Nikon Corporation Projection exposure apparatus correcting tilt of telecentricity
WO1997005526A1 (en) * 1995-07-31 1997-02-13 Lsi Logic Corporation Lithography systems employing programmable reticles
US5691541A (en) * 1996-05-14 1997-11-25 The Regents Of The University Of California Maskless, reticle-free, lithography
WO1998004950A1 (en) * 1996-07-25 1998-02-05 Anvik Corporation Seamless, maskless lithography system using spatial light modulator

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006009810A1 (de) * 2006-03-01 2007-09-06 Fries Research & Technology Gmbh Konfokales Mikroskop und Verfahren zur Vermessung einer Oberfläche
WO2021140052A1 (de) 2020-01-09 2021-07-15 Hochschule für angewandte Wissenschaften Kempten Körperschaft des öffentlichen Rechts Konfokale messvorrichtung zur 3d-vermessung einer objektoberfläche
DE102020200214A1 (de) * 2020-01-09 2021-07-15 Hochschule für angewandte Wissenschaften Kempten Körperschaft des öffentlichen Rechts Konfokale Messvorrichtung zur 3D-Vermessung einer Objektoberfläche

Also Published As

Publication number Publication date
JP2001500628A (ja) 2001-01-16
EP0991959A2 (de) 2000-04-12
WO1997034171A3 (en) 1998-02-26
EP0991959B1 (de) 2004-06-23
WO1997034171A2 (en) 1997-09-18
EP0991959A4 (de) 2000-04-12
AU1975197A (en) 1997-10-01
DE69729659D1 (de) 2004-07-29
US6133986A (en) 2000-10-17

Similar Documents

Publication Publication Date Title
DE69729659T2 (de) Mikrolinsen-rastereinrichtung für mikrolithografie und für konfokale mikroskopie mit grossem aufnahmefeld
EP3256835B1 (de) Prüfvorrichtung sowie verfahren zum prüfen eines spiegels
DE69531644T2 (de) Projektionsbelichtungsgerät und Herstellungsverfahren für eine Mikrovorrichtung
DE69728126T2 (de) Projektionsbelichtungsapparat und Verfahren zur Herstellung einer Vorrichtung
JP4332139B2 (ja) Duv波面センサに対するソースモジュールとしての空間光変調器
DE102011006468B4 (de) Vermessung eines abbildenden optischen Systems durch Überlagerung von Mustern
DE69937933T2 (de) System zur Positionsdetektion und Belichtungsapparat unter Verwendung desselben
US9651874B2 (en) Scanned-spot-array DUV lithography system
DE102016212477A1 (de) Messverfahren und Messsystem zur interferometrischen Vermessung der Abbildungsqualität eines optischen Abbildungssystems
DE10317278A1 (de) Diffusor, Wellenfrontquelle, Wellenfrontsensor und Projektionsbelichtungsanlage
US20220155691A1 (en) Method and apparatus for printing a periodic pattern with a varying duty cycle
EP3391152B1 (de) Verfahren und system zum drucken von merkmalsanordnungen
DE102010041556A1 (de) Projektionsbelichtungsanlage für die Mikrolithographie und Verfahren zur mikrolithographischen Abbildung
EP0002668A2 (de) Einrichtung zur optischen Abstandsmessung
US20050057735A1 (en) Reduction Smith-Talbot interferometer prism for micropatterning
DE102010038748A1 (de) Verfahren zur Herstellung eines Spiegels mit wenigstens zwei Spiegelflächen, Spiegel einer Projektionsbelichtungsanlage der Mikrolithographie und Projektionsbelichtungsanlage
WO2004090490A1 (de) Diffusor, wellenfrontquelle, wellenfrontsensor und projektionsbelichtungsanlage
DE2948646C2 (de) Projektionskopiervorrichtung
DE112004002073B4 (de) System und Verfahren zum Erzeugen eines Interferenzmusters
US5640257A (en) Apparatus and method for the manufacture of high uniformity total internal reflection holograms
US7522323B2 (en) Method and apparatus for printing a pattern with improved focus correction and higher throughput
DE102017202863A1 (de) Verfahren und Vorrichtung zum Ermitteln einer Position und/oder Orientierung eines optischen Elements
WO2004092843A2 (de) Projektionsobjektiv, mikrolithographische projektionsbelichtungsanlage und verfahren zur herstellung einer halbleiterschaltung
US9158205B2 (en) Optical arrangement for three-dimensionally patterning a material layer
WO2019149462A1 (de) Beleuchtungsoptik für die projektionslithographie

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee