DE69133564T2 - Vakuumbehandlungsvorrichtung und Arbeitsverfahren dafür - Google Patents

Vakuumbehandlungsvorrichtung und Arbeitsverfahren dafür Download PDF

Info

Publication number
DE69133564T2
DE69133564T2 DE69133564T DE69133564T DE69133564T2 DE 69133564 T2 DE69133564 T2 DE 69133564T2 DE 69133564 T DE69133564 T DE 69133564T DE 69133564 T DE69133564 T DE 69133564T DE 69133564 T2 DE69133564 T2 DE 69133564T2
Authority
DE
Germany
Prior art keywords
substrates
cassette
chamber
vacuum
dummy
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69133564T
Other languages
English (en)
Other versions
DE69133564D1 (de
Inventor
Shigekazu Kudamatsu-Shi Kato
Tsunehiko Hikari-Shi Tsubone
Kouji Tokuyama-Shi Nishihata
Atsushi Kudamatsu-Shi Itou
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=16827524&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=DE69133564(T2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Publication of DE69133564D1 publication Critical patent/DE69133564D1/de
Application granted granted Critical
Publication of DE69133564T2 publication Critical patent/DE69133564T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J3/00Processes of utilising sub-atmospheric or super-atmospheric pressure to effect chemical or physical change of matter; Apparatus therefor
    • B01J3/006Processes utilising sub-atmospheric pressure; Apparatus therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/315Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by selective application of heat to a heat sensitive printing or impression-transfer material
    • B41J2/32Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by selective application of heat to a heat sensitive printing or impression-transfer material using thermal heads
    • B41J2/35Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by selective application of heat to a heat sensitive printing or impression-transfer material using thermal heads providing current or voltage to the thermal head
    • B41J2/355Control circuits for heating-element selection
    • B41J2/36Print density control
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/315Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by selective application of heat to a heat sensitive printing or impression-transfer material
    • B41J2/32Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by selective application of heat to a heat sensitive printing or impression-transfer material using thermal heads
    • B41J2/35Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by selective application of heat to a heat sensitive printing or impression-transfer material using thermal heads providing current or voltage to the thermal head
    • B41J2/355Control circuits for heating-element selection
    • B41J2/36Print density control
    • B41J2/365Print density control by compensation for variation in temperature
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Description

  • HINTERGRUND DER ERFINDUNG
  • Gebiet der Erfindung
  • Die Erfindung betrifft eine Vakuumbehandlungsvorrichtung und ein Arbeitsverfahren dafür zum Betreiben einer Vakuumbearbeitungsvorrichtung. Genauer gesagt, betrifft die Erfindung eine Vakuumbearbeitungsvorrichtung mit Vakuumbearbeitungskammern, deren Inneres gereinigt werden muss, und ein Betriebsverfahren dieser Vorrichtung.
  • Beschreibung des Stands der Technik
  • In einer Vakuumbearbeitungsvorrichtung wie einer Trockenätzvorrichtung, einer CVD-Vorrichtung oder einer Sputtervorrichtung wird eine vorbestimmte Anzahl zu behandelnder Substrate als eine Einheit (die im Allgemeinen als "Los" bezeichnet wird) in einer Substratkassette gespeichert und in die Vorrichtung geladen. Die Substrate werden nach der Bearbeitung in ähnlicher Weise in derselben Einheit in der Substratkassette gespeichert und entnommen. Dies ist ein normales Verfahren zum Betreiben dieser Vorrichtungen zum Verbessern der Produktivität.
  • Bei derartigen Vakuumbearbeitungsvorrichtungen, wie sie oben beschrieben sind, insbesondere bei einer Vorrichtung unter Verwendung einer Reaktion durch ein aktives Gas, wie typischerweise einer Trockenätzvorrichtung und einer CVD-Vorrichtung, haften Reaktionsprodukte mit fortschreitender Bearbeitung an einer Vakuumbearbeitungskammer an und werden dort abgelagert. Aus diesem Grund treten Probleme wie eine Beeinträchtigung der Vakuumfunktion, eine Zunahme kleinster Teilchen und ein Abfall der Pegel optischer Überwachungssignale auf. Um diese Probleme zu lösen, wird herkömmlicherweise das Innere der Vakuumbearbeitungskammern periodisch gereinigt. Zu Reinigungsvorgängen gehören die sogenannte "Nassreinigung", bei der es sich um ein Abwischen der anhaftenden Substanzen unter Verwendung eines organischen Lösungsmittels usw. handelt, und die sogenannte "Trockenreinigung", bei dem ein aktives Gas oder ein Plasma dazu verwendet wird, anhaftende Substanzen zu zersetzen. Trockenreinigung ist aus dem Gesichtspunkt des Arbeitsfaktors und der Effizienz überlegen. Diese Merkmale der Trockenreinigung wurden mit fortschreitender Automatisierung von Produktionslinien wesentlich.
  • Ein Beispiel für Vakuumbearbeitungsvorrichtungen mit einer derartigen Trockenreinigungsfunktion ist in der japanischen Gebrauchsoffenlegung Nr. 127125/1988 offenbart. Diese Vorrichtung verfügt über eine Vor-Vakuumkammer zum Einführen zu behandelnder Wafer in eine Bearbeitungskammer von einer Atmosphärenseite zu einer Vakuumseite, die angrenzend an die Bearbeitungskammer vorhanden ist, durch eine Schleuse. In die Vor-Vakuumkammer wird ein Dummy-Wafer geladen, und dieser wird durch eine spezielle Fördereinrichtung in die Bearbeitungskammer transferiert, bevor diese der Trockenreinigung unterzogen wird, und der Dummy-Wafer wird durch die Fördereinrichtung zur Vor-Vakuumkammer zurücktransportiert, nachdem die Trockenreinigung abgeschlossen ist.
  • EP-A-308274 beschreibt eine Vakuumbearbeitungsvorrichtung zur Sputter-Beschichtung, in der Kassetten mit zu bearbeitenden Wafern in einer kontrollierten Atmosphäre gehalten werden. Eine Waferhandhabungsanordnung transferiert die Wafer zu einer Lade-Schleusenkammer, von wo sie durch einen Greifarm in eine Vakuumbearbeitungskammer für mehrere Verarbeitungsmodule transferiert werden. Nach der Bearbeitung werden die Wafer in eine Entlade-Schleusenkammer transferiert.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Bei der oben beschriebenen bekannten Technik sind keine erheblichen Überlegungen zur Struktur der Vakuumbearbeitungsvorrichtung angestellt. Die Vor-Vakuumkammer zum Speichern der Dummy-Wafer muss über ein großes Fassungsvermögen verfügen, und die spezielle Fördereinrichtung ist zum Transferieren der Dummy-Wafer erforderlich, weswegen die Struktur der Vorrichtung kompliziert ist.
  • Zur Plasmareinigung verwendete Dummy-Wafer werden erneut zur Vor-Vakuumkammer zurücktransportiert, und sie warten dort. In diesem Fall haften Reaktionsprodukte, wie sie während der Plasmareinigung erzeugt werden, und zur Plasmareinigung verwendetes Restgas an den verwendeten Dummy-Wafern an. Anschließend wird die normale Bearbeitung für Wafer wieder aufgenommen. Daher existieren die verwendeten Dummy-Wafer und nicht bearbeitete Wafer gemischt innerhalb der Vor-Vakuumkammer, und dieser Zustand ist aus dem Gesichtspunkt einer Verunreinigung der nicht bearbeiteten Wafer nicht wünschenswert.
  • Durch die Erfindung ist eine Vakuumbearbeitungsvorrichtung geschaffen, die die oben beschriebenen Probleme löst, einfach aufgebaut ist und das eine Verunreinigung nicht bearbeiteter Substrate verhindern kann und eine hohe Produktionsausbeute erzielt.
  • Erfindungsgemäß wird eine durch den Anspruch 1 definierte Vakuumbearbeitungsvorrichtung geschaffen.
  • Ferner wird ein durch den Anspruch 2 definiertes Betriebsverfahren einer Vakuumbearbeitungsvorrichtung geschaffen.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • 1 ist eine Draufsicht einer Trockenätzvorrichtung, als Ausführungsform einer Vakuumbearbeitungsvorrichtung gemäß der Erfindung; und
  • 2 ist ein Vertikalschnitt entlang einer Linie 1-1 in der 1.
  • BESCHREIBUNG DER BEVORZUGTEN AUSFÜHRUNGSFORMEN
  • Wenn zu bearbeitende Substrate in einer Vakuumbearbeitungsvorrichtung bearbeitet werden, haften Reaktionsprodukte an Vakuumbearbeitungskammern an und werden in diesen abgeschieden. Die in den Vakuumbearbeitungskammern anhaftenden und abgeschiedenen Reaktionsprodukte werden dadurch beseitigt, dass Dummy-Wafer im Inneren der Vakuumbearbeitungskammern angebracht werden und eine Trockenreinigung ausgeführt wird. Um eine Trockenreinigung auszuführen, werden die Zeitabfolgen für die Trockenreinigung der Vakuumbearbeitungskammern bestimmt, und während oder nach der Bearbeitung einer vorbestimmten Anzahl zu bearbeitender Substrate werden Dummy-Substrate durch eine Substratfördereinrichtung von einer an der Luftatmosphäre angeordneten Dummy-Substrat-Speichereinrichtung gemeinsam mit einer Bearbeitungssubstrat-Speichereinrichtung transportiert und dann innerhalb der Vakuumbearbeitungskammern angeordnet. Nachdem die Dummy-Substrate auf diese Weise angeordnet wurden, wird innerhalb der Vakuumbearbeitungskammer ein Plasma erzeugt, um innerhalb derselben eine Trockenreinigung auszuführen. Nachdem die Trockenreinigung im Inneren der Vakuumbearbeitungskammern abgeschlossen ist, werden die Dummy-Substrate von den Vakuumbearbeitungskammern durch die Substratfördereinrichtung zur Dummy-Substrat-Speichereinrichtung zurückgeliefert. Auf diese Weise werden eine Vor-Vakuumkammer und ein spezieller Transportmechanismus, wie sie beide bei bekannten Techniken erforderlich sind, überflüssig, und die Vorrichtungsstruktur wird einfacher. Die für die Trockenreinigung verwendeten Dummy-Substrate und die zu bearbeitenden Substrate sind nicht gemeinsam in derselben Kammer vorhanden, so dass eine Verunreinigung zu bearbeitender Substrate durch feinste Teilchen und Restgas verhindert ist und eine hohe Produktionsausbeute erzielt werden kann.
  • Nachfolgend wird unter Bezugnahme auf die 1 und 2 ein die Erfindung realisierendes Ausführungsbeispiel erläutert.
  • Die 1 und 2 zeigen eine erfindungsgemäße Vakuumbearbeitungsvorrichtung, die in diesem Fall eine Trockenätzvorrichtung zum Ätzen von Wafern, d.h. von durch ein Plasma zu bearbeitenden Substraten, ist.
  • Kassettentische 2a bis 2c sind an solchen Positionen angeordnet, in diesem Fall L-förmig, dass sie in die Vorrichtung geladen und aus ihr entladen werden können, ohne ihre Positionen und Stellungen zu ändern. Anders gesagt, sind die Kassetten 1a bis 1c immer an vorbestimmten Positionen auf einer im Wesentlichen horizontalen Ebene fixiert, während die Kassettentische 2a und 2b angrenzend an eine der Seiten der L-Form und parallel zueinander angeordnet sind. Der Kassettentisch 2c ist an der anderen Seite der L-Form angeordnet. Die Kassettentische 1a und 1b dienen zum Speichern nicht bearbeiteter Wafer und zum Wiederaufnehmen der bearbeiteten Wafer. Sie können mehrere (im Allgemeinen 25) Wafer 20 als zu behandelnde Substrate aufnehmen. Die Kassette 1c dient in diesem Fall zum Speichern der Dummy-Wafer zum Ausführen einer Trockenreinigung unter Verwendung eines Plasmas (nachfolgend als "Plasmareinigung" bezeichnet) und zum Wiederaufnehmen der Dummy-Wafer nach der Plasmareinigung. Sie kann mehrere (im Allgemeinen 25) Dummy-Wafer 30 speichern.
  • Eine Lade-Schleusenkammer 5 und eine Entlade-Schleusenkammer 6 sind so angeordnet, dass sie den Kassettentischen 2a und 2b zugewandt sind, und zwischen den Kassettentischen 2a, 2b und der Lade-Schleusenkammer 5 sowie der Entlade-Schleusenkammer 6 ist eine Fördereinrichtung 13 angeordnet. Die Lade-Schleusenkammer 5 ist mit einer Abpumpvorrichtung 3 und einer Gaseinlassvorrichtung 4 versehen, und sie kann unbearbeitete Wafer durch einen Schleusenschieber 12a in die Vakuumvorrichtung laden. Die Entlade-Schleusenkammer 6 ist in ähnlicher Weise mit der Abpumpvorrichtung 3 und der Gaseinlassvorrichtung 4 versehen, und sie kann bearbeitete Wafer durch einen Schleusenschieber 12d zur Atmosphäre entnehmen. Die Fördereinrichtung 13 ist mit einem Roboter mit X-, Y-, Z- und θ-Achsen versehen, und sie arbeitet so, dass sie die Wafer 20 zwischen den Kassetten 1a, 1b und der Lade-Schleusenkammer 5 sowie der Entlade-Schleusenkammer 6 und außerdem die Dummy-Wafer 25 zwischen der Kassette 1c und der Lade-Schleusenkammer 5 sowie der Entlade-Schleusenkammer 6 transportiert und aufnimmt.
  • Die Lade-Schleusenkammer 5 und die Entlade-Schleusenkammer 6 sind über die Schleusenschieber 12b und 12c mit einer Transferkammer 16 verbunden. Die Transferkammer 16 ist in diesem Fall rechteckig, und an den drei Seitenwänden derselben sind über Schleusenschieber 15a, 15b bzw. 15c Ätzkammern 11a, 11b bzw. 11c angeordnet. Innerhalb der Transferkammer 16 ist eine Fördereinrichtung 14 angeordnet, die die Wafer 20 oder die Dummy-Wafer 30 von der Lade-Schleusenkammer 5 zu den Ätzkammern 11a, 11b, 11c liefern kann, und die sie von diesen zur Entlade-Schleusenkammer 6 liefern kann. Die Transferkammer 16 ist mit einer Abpumpvorrichtung 17 versehen, die ein unabhängiges Abpumpen ausführen kann.
  • Die Ätzkammern 11a, 11b, 11c verfügen über dieselbe Struktur, und sie können dieselbe Bearbeitung ausführen. Nun erfolgt beispielhaft eine Erläuterung zur Ätzkammer 11b. Die Ätzkammer 11b verfügt über einen Probentisch 8b, auf dem die Wafer 20 platzierbar sind, und eine Entladungskammer ist so vorhanden, dass sie einen Entladungsabschnitt 7b über dem Probentisch 8b bildet. Die Ätzkammer 11b verfügt über eine Gaseinlassvorrichtung 10b zum Einlassen eines Bearbeitungsgases in den Entladungsabschnitt 7b und eine Abpumpvorrichtung 9b zum Absenken des Innendrucks in der Ätzkammer 11b auf einen vorbestimmten Druck. Die Ätzkammer 11b verfügt ferner über eine Erzeugungseinrichtung zum Erzeugen einer Mikrowelle und eines Magnetfelds zum Wandeln von Bearbeitungsgas im Entladungsabschnitt 7b in Plasma.
  • In einem oberen Teil der Ätzkammer ist ein Sensor 18 zum Messen der Intensität von Plasmalicht angebracht. Der Messwert des Sensors 18 wird in eine Steuerungseinheit 19 eingegeben. Die Steuerungseinheit 19 vergleicht den Messwert vom Sensor 18 mit einem vorbestimmten Wert, und sie ermittelt den Zeitpunkt für die Reinigung im inneren der Ätzkammer. Die Steuerungseinheit 19 steuert die Fördereinrichtungen 13 und 14 zum Kontrollieren des Transfers der Dummy-Wafer 30 zwischen der Kassette 1c und den Ätzkammern 11a bis 11c.
  • In der Vakuumbearbeitungsvorrichtung mit der oben beschriebenen Konstruktion werden als Erstes die nicht bearbeitete Wafer speichernden Kassetten 1a, 1b durch einen linearen Transferroboter, der auf Grundlage der von einer Hoststeuervorrichtung gelieferten Daten, oder durch eine Bediener arbeitet, auf den Kassettentischen 2a, 2b platziert. Andererseits wird die die Dummy-Wafer speichernde Kassette 1c auf dem Kassettentisch 2c platziert. Die Vakuumbearbeitungsvorrichtung führt die Waferbearbeitung oder die Plasmareinigung auf Grundlage einer von ihr selbst ausgeführten Erkennung der Produktionsdaten, wie sie an den Kassetten 1a bis 1c vorhanden sind, der von der Hoststeuervorrichtung gelieferten Daten oder des durch einen Bediener eingegebenen Befehls aus.
  • Beispielsweise werden die Wafer 20 sequentiell der Reihe nach von oben her durch die Fördereinrichtungen 13 und 14 in die Ätzkammern 11a, 11b, 11c geladen, und sie werden geätzt. Die geätzten Wafer werden durch die Fördereinrichtungen 14 und 13 an ihren ur sprünglichen Positionen im Inneren der Kassette 1a gespeichert. In diesem Fall werden, ab dem Beginn bis zum Ende des Vorgangs, ohne dass die Position und die Stellung der Kassetten geändert würden, die unbearbeiteten Wafer den Kassetten entnommen, und sie werden an ihre ursprünglichen Positionen zurückgeliefert, an denen sie gespeichert werden, und sie werden dort gespeichert. Auf diese Weise kann die Vorrichtung leicht der Automatisierung der Produktionslinie gerecht werden, eine Verschmutzung der Wafer durch kleinste Teilchen kann verringert werden, und so können eine hohe Herstelleffizienz und eine hohe Herstellausbeute bewerkstelligt werden.
  • Wenn das Ätzen wiederholt wird, haften die Reaktionsprodukte an der Innenwand der Ätzkammern 11a bis 11c an und werden dort abgelagert. Daher muss der ursprüngliche Zustand dadurch wiederhergestellt werden, dass die anhaftenden Substanzen durch Plasmareinigen entfernt werden. Die Steuerungseinheit 19 beurteilt den Zeitpunkt dieser Plasmareinigung. In diesem Fall ist in jeder der Ätzkammern 11a bis 11c ein Abschnitt vorhanden, durch den das Plasmalicht läuft. Der Sensor 18 misst die Intensität des durch diesen Abschnitt laufenden Plasmalichts, und wenn der Messwert einen vorbestimmten Wert erreicht, wird beurteilt, dass der Startzeitpunkt für die Plasmareinigung vorliegt. Alternativ kann der Zeitpunkt für die Plasmareinigung dadurch beurteilt werden, dass durch die Steuerungseinheit 19 die Anzahl der in jeder Ätzkammer bearbeiteten Wafer gezählt wird und der Zeitpunkt beurteilt wird, zu dem dieser Wert einen vorbestimmten Wert erreicht. Der tatsächliche Zeitpunkt der auszuführenden Plasmareinigung kann während der Bearbeitung einer vorbestimmten Anzahl von Wafern in der Kassette 1a oder 1b, nach Abschluss der Bearbeitung aller Wafer 20 in einer Kassette und vor der Bearbeitung von Wafern in der nächsten Kassette liegen.
  • Plasmareinigung wird mit der folgenden Abfolge ausgeführt. In diesem Fall erfolgt die Erläuterung für den Fall, dass die Ätzkammern 11a bis 11c einer Plasmareinigung unter Verwendung von drei Dummy-Wafern 30 unter den in der Kassette 1c gespeicherten Dummy-Wafern 30 (in diesem Fall sind 25 Dummy-Wafer gespeichert) zu unterziehen sind.
  • Dummy-Wafer 30, die in der Kassette 1c gespeichert sind und noch nicht verwendet wurden, oder die verwendet werden können, da die Benutzungshäufigkeit zur Plasmareinigung unter einer vorbestimmten Zahl liegt, werden durch die Fördereinrichtung 13 herausgezogen. Dabei können an beliebigen Positionen in der Kassette 1c gespeicherte Dummy-Wafer 30 verwendet werden, jedoch werden im vorliegenden Fall die Positionsnummern der Dummy-Wafer in der Kassette und ihre Benutzungshäufigkeiten in der Steuerungseinheit 19 abgespeichert, und demgemäß werden vorzugsweise Dummy-Wafer mit kleineren Be nutzungshäufigkeiten herausgezogen. Dann wird ein Dummy-Wafer 30 durch die Fördereinrichtung 13 durch den Schleusenschieber 12a auf dieselbe Weise wie beim Transfer beim Ätzen von Wafern 20 in die Lade-Schleusenkammer 5 geladen, die auf der der Kassette 1a gegenüberliegenden Seite angeordnet ist. Nachdem den Schleusenschieber 12a geschlossen wurde, wird die Lade-Schleusenkammer 5 durch die Vakuumabpumpvorrichtung 3 auf einen vorbestimmten Druck evakuiert, und dann werden die Schleusenschieber 5b und 15a geöffnet. Der Dummy-Wafer 30 wird durch die Fördereinrichtung 14 von der Lade-Schleusenkammer 5 durch die Transferkammer 16 zur Ätzkammer 11a transferiert, und er wird auf dem Probentisch 8a platziert. Nachdem der Schleusenschieber 15a geschlossen wurde, wird in der Ätzkammer 11a, in der der Dummy-Wafer 30 angeordnet ist, eine Plasmareinigung unter vorbestimmten Bedingungen ausgeführt.
  • Inzwischen werden die Schleusenschieber 12a, 12b geschlossen und der Druck in der Lade-Schleusenkammer 5 wird durch die Gaseinlassvorrichtung 4 auf den Atmosphärendruck zurückgebracht. Als Nächstes wird der Schleusenschieber 12a geöffnet, und der zweite Dummy-Wafer 30 wird durch die Fördereinrichtung 13 auf dieselbe Weise wie der erste Dummy-Wafer 30 in die Lade-Schleusenkammer 5 geladen, und durch die Abpumpvorrichtung 3 erfolgt erneut ein Abpumpen auf einen vorbestimmten Druck, nachdem der Schleusenschieber 12a geschlossen wurde. Danach werden die Schleusenschieber 12b und 15b geöffnet, und der zweite Dummy-Wafer 30 wird durch die Fördereinrichtung 13 von der Lade-Schleusenkammer 5 durch die Transferkammer 16 zur Ätzkammer 11b transferiert. Nach dem Schließen des Schleusenschiebers 15b wird die Plasmareinigung gestartet.
  • Inzwischen wird der dritte Dummy-Wafer 30 auf dieselbe Weise wie der zweite Dummy-Wafer 30 in die Ätzkammer 11c transferiert, und es wird eine Plasmareinigung ausgeführt.
  • Nachdem die Plasmareinigung in der Ätzkammer 11a abgeschlossen ist, in der der erste Dummy-Wafer 30 platziert ist, werden die Schleusenschieber 15a und 12c geöffnet. Der verwendete Dummy-Wafer 30 wird durch die Fördereinrichtung 14 von der Ätzkammer 11a zur Entlade-Schleusenkammer 6 transferiert. Dann wird der Schleusenschieber 12c geschlossen. Nachdem der Druck in der Entlade-Schleusenkammer 6 durch die Gaseinlassvorrichtung 4 auf den Atmosphärendruck zurückgebracht wurde, wird der Schleusenschieber 12d geöffnet. Der zur Entlade-Schleusenkammer 6 transferierte, benutzte Dummy-Wafer 30 wird mittels der Fördereinrichtung 13 durch den Schleusenschieber 12d an die Luft entnommen, und er wird an seine ursprüngliche Position in der Kassette 1c, an der er zu Beginn gespeichert war, zurückgebracht.
  • Wenn die Plasmareinigung der Ätzkammern 11b und 11c abgeschlossen ist, werden der zweite und der dritte Dummy-Wafer 30 an ihre ursprünglichen Positionen in der Kassette 1c zurückgebracht.
  • Auf diese Weise werden die verwendeten Dummy-Wafer 30 an ihre ursprünglichen Positionen in der Kassette 1c zurückgebracht, und sie sind immer in der Kassette 1c aufgestapelt. Wenn alle Dummy-Wafer 30 in der Kassette 1c zur Plasmareinigung verwendet wurden, oder wenn die Benutzungshäufigkeiten der Wafer 30 nach wiederholter Benutzung die vorbestimmten Zahlen erreichen, werden die Dummy-Wafer 30 insgesamt mit der Kassette 1c ersetzt. Der Zeitpunkt für diesen Austausch der Kassette wird durch die Steuerungseinheit 19 verwaltet, und der Austausch wird der Hoststeuerungsvorrichtung zum Steuern des linearen Transferroboters oder an den Bediener angewiesen.
  • Obwohl die obige Erläuterung den Fall behandelt, dass die Ätzkammern 11a bis 11c unter Verwendung von drei Dummy-Wafern 30 unter den Dummy-Wafern 30 in der Kassette 1c kontinuierlich durch Plasma gereinigt werden, können auch andere Bearbeitungsverfahren verwendet werden.
  • Beispielsweise werden die Ätzkammern 11a bis 11c unter Verwendung eines Dummy-Wafers 30 sequentiell durch Plasma gereinigt. Bei einer derartigen Plasmareinigung können unbearbeitete Wafer 20 in anderen Ätzkammern als derjenigen, die der Plasmareinigung unterzogen wird, geätzt werden, und so kann die Plasmareinigung ausgeführt werden, ohne das Ätzen zu unterbrechen.
  • Wenn die Bearbeitungskammern verschieden sind, wenn beispielsweise eine Ätzkammer, eine Nachbearbeitungskammer und eine Filmbildungskammer vorliegen, und wenn Wafer sequentiell bearbeitet werden, während sie durch jede dieser Bearbeitungskammern laufen, kann jede derselben der Plasmareinigung dadurch in geeigneter Weise unterzogen werden, dass Dummy-Wafer 30 während der Bearbeitung der Wafer 20, die in der Kassette 1a oder 2a gespeichert sind und sequentiell herausgezogen und zugeführt werden, dadurch geliefert werden, dass sie einfach die Bearbeitungskammern durchlaufen, für die keine Plasmareinigung erforderlich ist, wobei die Plasmareinigung nur dann ausgeführt wird, wenn die Dummy-Wafer 30 diejenigen Bearbeitungskammern erreichen, die eine Plasmareinigung benötigen.
  • Gemäß dem oben beschriebenen Ausführungsbeispiel werden die die Dummy-Wafer speichernde Kassette und die die zu bearbeitenden Wafer speichernden Kassetten gemeinsam an Luft angeordnet, die Dummy-Wafer werden von der Kassette durch dieselbe Fördereinrichtung wie diejenige zum Transferieren der Wafer in die Vorrichtung geladen, wenn ein Reinigungsvorgang auszuführen ist, und die verwendeten Dummy-Wafer werden an ihre ursprünglichen Positionen in der Kassette zurückgebracht. Auf diese Weise muss kein Mechanismus zum ausschließlichen Ausführen einer Plasmareinigung vorhanden sein, und die Konstruktion der Vorrichtung kann vereinfacht werden. Es ist nicht erforderlich, die Plasmareinigung als spezielle Bearbeitungsabfolge auszuführen, sondern sie kann in eine normale Ätzbearbeitung eingeschlossen werden und effizient in einer Reihe von Vorgängen ausgeführt werden.
  • Die zur Plasmareinigung verwendeten Dummy-Wafer werden an ihre ursprünglichen Positionen in der an der Luft platzierten Kassette zurückgebracht. Demgemäß existieren keine verwendeten Dummy-Wafer und Wafer vor und nach der Bearbeitung gemischt in der Vakuumkammer, so dass keine Verunreinigung von Wafern durch kleinste Teilchen und Restgas auftritt, abweichend von herkömmlichen Vorrichtungen.
  • Die verwendeten Dummy-Wafer werden an ihre ursprünglichen Positionen in der Kassette zurückgebracht, und ihre Benutzungshäufigkeiten werden verwaltet. Demgemäß ist es möglich, ein Verwechseln benutzter Dummy-Wafer mit unbenutzten Dummy-Wafern sowie eine Verwechslung von Dummy-Wafern mit kleinen Benutzungshäufigkeiten mit solchen mit großen Benutzungshäufigkeiten zu verhindern. Aus diesen Gründen können die Dummy-Wafer auf effektive Weise ohne jedes Problem verwendet werden, wenn eine Plasmareinigung ausgeführt wird.
  • Ferner kann die erfindungsgemäße Vorrichtung über mehrere Bearbeitungskammern verfügen, und sie kann Wafer und Dummy-Wafer mit derselben Fördereinrichtung transferieren. Da eine Plasmareinigung durch Verwalten des Reinigungszeitpunkts jeder Bearbeitungskammer durch die Steuerungseinheit ausgeführt werden kann, kann der Reinigungszyklus wahlfrei eingestellt werden, eine Trockenreinigung kann ohne Unterbrechung des Bearbeitungsablaufs ausgeführt werden, die Bearbeitung kann effizient erfolgen, und die Produktivität kann verbessert werden.
  • Wie oben beschrieben, existieren erfindungsgemäße Effekte dahingehend, dass der Aufbau der Vorrichtung einfach ist, die zu bearbeitenden Substrate frei von Verschmutzung sind und die Produktionsausbeute hoch ist.

Claims (2)

  1. Vakuumbearbeitungsgerät mit: einem ersten Kassettentisch (2a, 2b) zum Halten einer ersten Kassette (1a, 1b), in der die zu bearbeitenden Substrate (20) gelagert sind, in Luft; einem zweiten Kassettentisch (2c) zum Halten einer zweiten Kassette (1c), in der Dummy-Substrate (30) gelagert sind, in Luft; mehreren Vakuumbearbeitungskammern (11a, 1b, 11c), die jeweils einen Probentisch (8a, 8b, 8c) aufweisen, auf den während der Bearbeitung eines der Substrate (20) oder der Dummy-Substrate (30) gelegt wird; einer Lade-Schleusenkammer (5), einer Entlade-Schleusenkammer (6) und einer Abpumpeinrichtung (3) dafür; einer Vakuumtransferkammer (16) und einer Abpumpeinrichtung (17) dafür; einer ersten Fördereinrichtung (13), um die Substrate (20) und die Dummy-Substrate (30) nacheinander zwischen den ersten und zweiten Kassetten (1a, 1b, 1c) und den Lade- und Entlade-Schleusenkammern (5, 6) zu transferieren; einer zweiten Fördereinrichtung (14) in der Vakuumtransferkammer (16), um die Substrate (20) und die Dummy-Substrate (30) zwischen den Lade- und Entlade-Schleusenkammern (5, 6) und den Vakuumbearbeitungskammern (11a, 11b, 11c) zu transferieren, und einer Steuereinrichtung (19), die dazu ausgelegt ist, die erste Fördereinrichtung (13) und die zweite Fördereinrichtung (14) so zu steuern, dass sie die zu bearbeitenden Substrate (20) nacheinander von der ersten Kassette über die Lade-Schleusenkammer (5) und die Vakuumtransferkammer (16) zu den Vakuumbearbeitungskammern transferieren, sowie von den Vakuumbearbeitungskammern über die Vakuumtransferkammer und die Entlade-Schleusenkammer (6) zu der ersten Kassette, und die Dummy-Substrate (30) nacheinander von der zweiten Kassette (1c) über die Lade-Schleusenkammer (5) und die Vakuumtransferkammer (16) zu den Vakuumbearbeitungskammern (11a, 11b, 11c) transferieren, sowie von den Vakuumbearbeitungskammern über die Vakuumtransferkammer und die Entlade-Schleusenkammer (6) zu der zweiten Kassette, bevor und nachdem die Vakuumbearbeitungskammern trockengereinigt wurden; wobei die erste Fördereinrichtung (13) vor der Lade-Schleusenkammer (5) und der Entlade-Schleusenkammer (6) angeordnet ist; die Lade-Schleusenkammer (5) und die Entlade-Schleusenkammer (6) Seite an Seite angeordnet und über zugehörige Schleusenschieber (12b, 12c) an die Vakuumtransferkammer (16) angeschlossen sind; und die Vakuumbearbeitungskammern (11a, 11b, 11c) an den Seitenwänden der Vakuumtransferkammer (16) angeordnet und über zugehörige Schleusenschieber (15a, 15b, 15c) an die Vakuumtransferkammer (16) angeschlossen sind; und wobei die Steuereinrichtung (19) dazu ausgelegt ist, (i) die Positionen der Dummy-Substrate (30) in der zweiten Kassette (1c) zu speichern, (ii) die Anzahl der Verwendungen der Dummy-Substrate (30) zu speichern, (iii) die Ersetzungsdauer der Dummy-Substrate (30) insgesamt zusammen mit der zweiten Kassette (1c) zu verwalten, (iv) die in Bearbeitung befindlichen Substrate (20) und die Dummy-Substrate (30) an ihre ursprünglichen Positionen in den Kassetten zurückzugeben, und (v) die Zeit für das Trockenreinigen zu beurteilen; wobei die Steuereinrichtung (19) dazu ausgelegt ist, die erste Fördereinrichtung (13) und die zweite Fördereinrichtung (14) so zu steuern, dass die zu bearbeitenden Substrate (20) und die Dummy-Substrate (30) in keiner der Kammern miteinander koexistieren.
  2. Verfahren zum Betreiben eines Vakuumbearbeitungsgeräts mit: einem ersten Kassettentisch (2a, 2b) zum Halten einer ersten Kassette (1a, 1b), in der die zu bearbeitenden Substrate (20) gelagert sind, in Luft; einem zweiten Kassettentisch (2c) zum Halten einer zweiten Kassette (1c), in der Dummy-Substrate (30) gelagert sind, in Luft; mehreren Vakuumbearbeitungskammern (11a, 11b, 11c), die jeweils einen Probentisch (8a, 8b, 8c) aufweisen, auf den während der Bearbeitung eines der Substrate (20) oder der Dummy-Substrate (30) gelegt wird; einer Lade-Schleusenkammer (5), einer Entlade-Schleusenkammer (6) und einer Abpumpeinrichtung (3) dafür; einer Vakuumtransferkammer (16) mit einer Abpumpeinrichtung (17); einer ersten Fördereinrichtung (13), um die Substrate (20) und die Dummy-Substrate (30) nacheinander zwischen den ersten und zweiten Kassetten (1a, 1b, 1c) und den Lade- und Entlade-Schleusenkammern (5, 6) zu transferieren; einer zweiten Fördereinrichtung (14) in der Vakuumtransferkammer (16), um die Substrate (20) und die Dummy-Substrate (30) zwischen den Lade- und Entlade-Schleusenkammern (5, 6) und den Vakuumbearbeitungskammern (11a, 11b, 11c) zu transferieren, und wobei die erste Fördereinrichtung (13) vor der Lade-Schleusenkammer (5) und der Entlade-Schleusenkammer (6) angeordnet ist; die Lade-Schleusenkammer (5) und die Entlade-Schleusenkammer (6) Seite an Seite angeordnet und über zugehörige Schleusenschieber (12b, 12c) an die Vakuumtransferkammer (16) angeschlossen sind; und die Vakuumbearbeitungskammern (11a, 11b, 11c) an den Seitenwänden der Vakuumtransferkammer (16) angeordnet und über zugehörige Schleusenschieber (15a, 15b, 15c) an die Vakuumtransferkammer (16) angeschlossen sind, wobei in dem Verfahren: (i) die zu bearbeitenden Substrate (20) in den Vakuumbearbeitungskammern (11a, 11b, 11c) bearbeitet werden, (ii) die Vakuumbearbeitungskammern (11a, 11b, 11c) trockengereinigt werden, (iii) die erste und die zweite Fördereinrichtung (13, 14) so betrieben werden, dass die zu bearbeitenden Substrate (20) nacheinander von der ersten Kassette (1a, 1b) über die Lade-Schleusenkammer und die Vakuumtransferkammer zu den Vakuumbearbeitungskammern (11a, 11b, 11c) transferiert werden, sowie von den Vakuumbearbeitungskammern über die Vakuumtransferkammer und die Entlade-Schleusenkammer zu der ersten Kassette, und, vor und nach der Trockenreinigung, die Dummy-Substrate (30) nacheinander von der zweiten Kassette (1c) über die Lade-Schleusenkammer (5) und die Vakuumtransferkammer (16) zu den Vakuumbearbeitungskammern (11a, 11b, 11c) transferiert werden, sowie von den Vakuumbearbeitungskammern über die Vakuumtransferkammer und die Entlade-Schleusenkammer zu der zweiten Kassette, wobei dafür gesorgt wird, dass die zu bearbeitenden Substrate (20) und die Dummy-Substrate (30) in keiner der Kammern miteinander koexistieren; wobei die Vorrichtung ferner eine Steuereinrichtung (19) aufweist, die dazu ausgelegt ist, (i) die Positionen der Dummy-Substrate (30) in der zweiten Kassette (1c) zu speichern, (ii) die Anzahl der Verwendungen der Dummy-Substrate (30) zu speichern, (iii) die Ersetzungsdauer der Dummy-Substrate (30) insgesamt zusammen mit der zweiten Kassette (1c) zu verwalten, (iv) die in Bearbeitung befindlichen Substrate (20) und die Dummy-Substrate (30) an ihre ursprünglichen Positionen in den Kassetten zurückzugeben, (v) die Zeit für das Trockenreinigen zu beurteilen, und (vi) die erste Fördereinrichtung (13) und die zweite Fördereinrichtung (14) so zu steuern, dass die zu bearbeitenden Substrate (20) und die Dummy-Substrate (30) in keiner der Kammern miteinander koexistieren.
DE69133564T 1990-08-29 1991-08-19 Vakuumbehandlungsvorrichtung und Arbeitsverfahren dafür Expired - Lifetime DE69133564T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP22532190 1990-08-29
JP2225321A JP2644912B2 (ja) 1990-08-29 1990-08-29 真空処理装置及びその運転方法

Publications (2)

Publication Number Publication Date
DE69133564D1 DE69133564D1 (de) 2007-04-12
DE69133564T2 true DE69133564T2 (de) 2007-12-06

Family

ID=16827524

Family Applications (5)

Application Number Title Priority Date Filing Date
DE69128861T Expired - Fee Related DE69128861T3 (de) 1990-08-29 1991-08-19 Vakuumsbehandlungsvorrichtung und Reinigungsverfahren dafür
DE69133535T Expired - Lifetime DE69133535T2 (de) 1990-08-29 1991-08-19 Arbeitsverfahren für Vakuumbehandlungsvorrichtung
DE69133254T Expired - Fee Related DE69133254T2 (de) 1990-08-29 1991-08-19 Arbeitsverfahren für Vakuumbehandlungsvorrichtung
DE69133567T Expired - Lifetime DE69133567T2 (de) 1990-08-29 1991-08-19 Vakuumbehandlungsvorrichtung und Arbeitsverfahren dafür
DE69133564T Expired - Lifetime DE69133564T2 (de) 1990-08-29 1991-08-19 Vakuumbehandlungsvorrichtung und Arbeitsverfahren dafür

Family Applications Before (4)

Application Number Title Priority Date Filing Date
DE69128861T Expired - Fee Related DE69128861T3 (de) 1990-08-29 1991-08-19 Vakuumsbehandlungsvorrichtung und Reinigungsverfahren dafür
DE69133535T Expired - Lifetime DE69133535T2 (de) 1990-08-29 1991-08-19 Arbeitsverfahren für Vakuumbehandlungsvorrichtung
DE69133254T Expired - Fee Related DE69133254T2 (de) 1990-08-29 1991-08-19 Arbeitsverfahren für Vakuumbehandlungsvorrichtung
DE69133567T Expired - Lifetime DE69133567T2 (de) 1990-08-29 1991-08-19 Vakuumbehandlungsvorrichtung und Arbeitsverfahren dafür

Country Status (5)

Country Link
US (47) US5314509A (de)
EP (5) EP0856875B1 (de)
JP (1) JP2644912B2 (de)
KR (1) KR0184682B1 (de)
DE (5) DE69128861T3 (de)

Families Citing this family (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
US5685684A (en) * 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system
US5702535A (en) * 1991-11-05 1997-12-30 Gebhard-Gray Associates Dry cleaning and degreasing system
US5630434A (en) * 1991-11-05 1997-05-20 Gray; Donald J. Filter regeneration system
US5240507A (en) * 1991-11-05 1993-08-31 Gray Donald J Cleaning method and system
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
US5746008A (en) * 1992-07-29 1998-05-05 Shinko Electric Co., Ltd. Electronic substrate processing system using portable closed containers
EP0792090B1 (de) * 1992-08-14 2004-07-21 Takasago Netsugaku Kogyo Kabushiki Kaisha Verfahren und Vorrichtung zur Erzeugung von gasförmigen Ionen unter Verwendung von Röntgenstrahlen
KR100281004B1 (ko) * 1992-12-14 2001-03-02 마에다 시게루 기판 반송 시스템
JPH0712458A (ja) * 1993-06-23 1995-01-17 Murata Mfg Co Ltd 部品乾燥機
US6090706A (en) * 1993-06-28 2000-07-18 Applied Materials, Inc. Preconditioning process for treating deposition chamber prior to deposition of tungsten silicide coating on active substrates therein
JP3158264B2 (ja) * 1993-08-11 2001-04-23 東京エレクトロン株式会社 ガス処理装置
US5565034A (en) * 1993-10-29 1996-10-15 Tokyo Electron Limited Apparatus for processing substrates having a film formed on a surface of the substrate
JP2900788B2 (ja) * 1994-03-22 1999-06-02 信越半導体株式会社 枚葉式ウェーハ処理装置
US6712577B2 (en) * 1994-04-28 2004-03-30 Semitool, Inc. Automated semiconductor processing system
JPH0817894A (ja) * 1994-06-27 1996-01-19 Dainippon Screen Mfg Co Ltd 基板表面処理装置
FR2733036B1 (fr) * 1995-04-14 1997-07-04 Unir Dispositif de protection anti-contamination rapprochee
US6363164B1 (en) 1996-05-13 2002-03-26 Cummins-Allison Corp. Automated document processing system using full image scanning
US6283130B1 (en) * 1995-05-30 2001-09-04 Anelva Corporation Plasma cleaning method and placement area protector used in the method
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US6672819B1 (en) 1995-07-19 2004-01-06 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
KR100244041B1 (ko) * 1995-08-05 2000-02-01 엔도 마코토 기판처리장치
US6481956B1 (en) * 1995-10-27 2002-11-19 Brooks Automation Inc. Method of transferring substrates with two different substrate holding end effectors
TW369463B (en) * 1996-03-18 1999-09-11 Rorze Corp Control device for workpiece transportation system
US5779799A (en) * 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
JPH1022358A (ja) * 1996-06-28 1998-01-23 Dainippon Screen Mfg Co Ltd 基板処理装置
US5713138A (en) * 1996-08-23 1998-02-03 Research, Incorporated Coating dryer system
US6714832B1 (en) 1996-09-11 2004-03-30 Hitachi, Ltd. Operating method of vacuum processing system and vacuum processing system
KR100234060B1 (ko) * 1996-12-04 1999-12-15 구자홍 음극선관용 스프링 구조
US6009890A (en) * 1997-01-21 2000-01-04 Tokyo Electron Limited Substrate transporting and processing system
IT1290911B1 (it) * 1997-02-03 1998-12-14 Siv Soc Italiana Vetro Procedimento e dispositivo per l'alimentazione di impianti da vuoto atti al deposito di rivestimenti superficiali su substrati.
US6138695A (en) * 1997-03-07 2000-10-31 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
US5922136A (en) * 1997-03-28 1999-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Post-CMP cleaner apparatus and method
US6059507A (en) * 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
JP3850951B2 (ja) * 1997-05-15 2006-11-29 東京エレクトロン株式会社 基板搬送装置及び基板搬送方法
JP3850952B2 (ja) * 1997-05-15 2006-11-29 東京エレクトロン株式会社 基板搬送装置及び基板搬送方法
JP3737604B2 (ja) * 1997-06-03 2006-01-18 大日本スクリーン製造株式会社 基板処理装置
US5882413A (en) * 1997-07-11 1999-03-16 Brooks Automation, Inc. Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer
US6139245A (en) * 1997-07-11 2000-10-31 Brooks Automation Inc. Robot arm relocation system
KR19990010200A (ko) * 1997-07-15 1999-02-05 윤종용 감압식 건조 장치를 이용하는 반도체장치 건조방법
US6034000A (en) * 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
US5974689A (en) * 1997-09-23 1999-11-02 Gary W. Farrell Chemical drying and cleaning system
DE19756830A1 (de) * 1997-12-19 1999-07-01 Wacker Chemie Gmbh Vakuumtechnisches Trocknen von Halbleiterbruch
US6026589A (en) * 1998-02-02 2000-02-22 Silicon Valley Group, Thermal Systems Llc Wafer carrier and semiconductor apparatus for processing a semiconductor substrate
WO1999052141A1 (fr) * 1998-04-02 1999-10-14 Nikon Corporation Procede et dispositif de traitements de plaquettes, et procede et appareil d'exposition
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6079927A (en) * 1998-04-22 2000-06-27 Varian Semiconductor Equipment Associates, Inc. Automated wafer buffer for use with wafer processing equipment
US6246473B1 (en) 1998-04-23 2001-06-12 Sandia Corporation Method and apparatus for monitoring plasma processing operations
NL1009171C2 (nl) * 1998-05-14 1999-12-10 Asm Int Waferrek voorzien van een gasverdeelinrichting.
WO1999060614A1 (en) * 1998-05-18 1999-11-25 Applied Materials, Inc. A wafer buffer station and a method for a per-wafer transfer between work stations
US6151796A (en) * 1998-06-04 2000-11-28 Kem-Tec Japan Co., Ltd. Substrate drying device, drying method and substrate dried by the same
US6217272B1 (en) 1998-10-01 2001-04-17 Applied Science And Technology, Inc. In-line sputter deposition system
JP2000306978A (ja) * 1999-02-15 2000-11-02 Kokusai Electric Co Ltd 基板処理装置、基板搬送装置、および基板処理方法
JP3959200B2 (ja) * 1999-03-19 2007-08-15 株式会社東芝 半導体装置の製造装置
US6251195B1 (en) * 1999-07-12 2001-06-26 Fsi International, Inc. Method for transferring a microelectronic device to and from a processing chamber
KR100537921B1 (ko) * 1999-08-24 2005-12-21 니시카와고무고교가부시키가이샤 리테이너가 없는 웨더스트립
JP2001093791A (ja) * 1999-09-20 2001-04-06 Hitachi Ltd 真空処理装置の運転方法及びウエハの処理方法
JP2001127044A (ja) 1999-10-29 2001-05-11 Hitachi Ltd 真空処理装置および真空処理システム
US6364592B1 (en) * 1999-12-01 2002-04-02 Brooks Automation, Inc. Small footprint carrier front end loader
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
JP2001308003A (ja) * 2000-02-15 2001-11-02 Nikon Corp 露光方法及び装置、並びにデバイス製造方法
US6698991B1 (en) * 2000-03-02 2004-03-02 Applied Materials, Inc. Fabrication system with extensible equipment sets
JP2002043229A (ja) * 2000-07-25 2002-02-08 Hitachi Kokusai Electric Inc 半導体製造装置
US6821912B2 (en) 2000-07-27 2004-11-23 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6682288B2 (en) 2000-07-27 2004-01-27 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6530733B2 (en) 2000-07-27 2003-03-11 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6745783B2 (en) * 2000-08-01 2004-06-08 Tokyo Electron Limited Cleaning processing method and cleaning processing apparatus
KR20080109062A (ko) * 2000-09-15 2008-12-16 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 더블 이중 슬롯 로드록
AU2002230793A1 (en) * 2000-10-31 2002-05-15 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
KR100408604B1 (ko) * 2000-12-07 2003-12-06 주식회사제4기한국 대기압 플라즈마를 이용한 정밀세정과 표면개질방법 및 그장치
GB2370411B (en) * 2000-12-20 2003-08-13 Hanmi Co Ltd Handler system for cutting a semiconductor package device
US6852242B2 (en) * 2001-02-23 2005-02-08 Zhi-Wen Sun Cleaning of multicompositional etchant residues
US6635144B2 (en) 2001-04-11 2003-10-21 Applied Materials, Inc Apparatus and method for detecting an end point of chamber cleaning in semiconductor equipment
JP4731755B2 (ja) * 2001-07-26 2011-07-27 東京エレクトロン株式会社 移載装置の制御方法および熱処理方法並びに熱処理装置
US6817823B2 (en) * 2001-09-11 2004-11-16 Marian Corporation Method, device and system for semiconductor wafer transfer
US20030053892A1 (en) * 2001-09-17 2003-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Loadport equipped with automatic height adjustment means and method for operating
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
US7260704B2 (en) * 2001-11-30 2007-08-21 Intel Corporation Method and apparatus for reinforcing a prefetch chain
JP4025069B2 (ja) * 2001-12-28 2007-12-19 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
US6899507B2 (en) 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
JP3887570B2 (ja) * 2002-02-18 2007-02-28 協和化工株式会社 高速乾燥装置
JP3862596B2 (ja) * 2002-05-01 2006-12-27 東京エレクトロン株式会社 基板処理方法
JP4531557B2 (ja) * 2002-05-21 2010-08-25 エーエスエム アメリカ インコーポレイテッド 半導体処理ツール内チャンバ間の相互汚染の減少
JP2004071611A (ja) * 2002-08-01 2004-03-04 Matsushita Electric Ind Co Ltd 電子部品装着装置および電子部品装着方法
JP4219799B2 (ja) * 2003-02-26 2009-02-04 大日本スクリーン製造株式会社 基板処理装置
JP3674864B2 (ja) * 2003-03-25 2005-07-27 忠素 玉井 真空処理装置
US20060156627A1 (en) * 2003-06-27 2006-07-20 Ultracell Corporation Fuel processor for use with portable fuel cells
KR100500169B1 (ko) * 2003-07-02 2005-07-07 주식회사 디엠에스 도킹형 기판 이송 및 처리 시스템과, 그를 이용한 이송 및 처리 방법
US7313262B2 (en) * 2003-08-06 2007-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for visualization of process chamber conditions
US7276210B2 (en) * 2003-08-20 2007-10-02 Petroleo Brasileiro S.A. -Petrobras Stripping apparatus and process
JP2005101584A (ja) * 2003-08-28 2005-04-14 Suss Microtec Test Systems Gmbh 基板を検査する装置
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
JP2005167083A (ja) * 2003-12-04 2005-06-23 Daifuku Co Ltd ガラス基板用の搬送設備
JP4435610B2 (ja) * 2004-03-23 2010-03-24 パナソニック株式会社 ダミー基板
JP4128973B2 (ja) * 2004-03-30 2008-07-30 株式会社日立ハイテクノロジーズ 真空処理装置及び真空処理方法
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
EP1621284A1 (de) * 2004-07-15 2006-02-01 Maschinenfabrik Berthold Hermle Aktiengesellschaft Werkstückwechsler für Bearbeitungsmaschinen
DE502004006497D1 (de) * 2004-07-15 2008-04-24 Hermle Berthold Maschf Ag Bearbeitungsmaschine mit Werkstückwechsler
US8000837B2 (en) 2004-10-05 2011-08-16 J&L Group International, Llc Programmable load forming system, components thereof, and methods of use
US7771563B2 (en) 2004-11-18 2010-08-10 Sumitomo Precision Products Co., Ltd. Systems and methods for achieving isothermal batch processing of substrates used for the production of micro-electro-mechanical-systems
JP3960332B2 (ja) * 2004-11-29 2007-08-15 セイコーエプソン株式会社 減圧乾燥装置
JP2006179528A (ja) * 2004-12-20 2006-07-06 Tokyo Electron Ltd 基板処理装置の検査方法及び検査プログラム
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
KR101255718B1 (ko) * 2005-11-07 2013-04-17 주성엔지니어링(주) 기판처리시스템 및 이를 이용한 기판처리방법
US8125610B2 (en) 2005-12-02 2012-02-28 ASML Metherlands B.V. Method for preventing or reducing contamination of an immersion type projection apparatus and an immersion type lithographic apparatus
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US20080003377A1 (en) * 2006-06-30 2008-01-03 The Board Of Regents Of The Nevada System Of Higher Ed. On Behalf Of The Unlv Transparent vacuum system
JP2008027937A (ja) * 2006-07-18 2008-02-07 Hitachi High-Technologies Corp 真空処理装置
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US7740437B2 (en) 2006-09-22 2010-06-22 Asm International N.V. Processing system with increased cassette storage capacity
US20080206036A1 (en) * 2007-02-27 2008-08-28 Smith John M Magnetic media processing tool with storage bays and multi-axis robot arms
US20080206022A1 (en) * 2007-02-27 2008-08-28 Smith John M Mult-axis robot arms in substrate vacuum processing tool
US7585142B2 (en) * 2007-03-16 2009-09-08 Asm America, Inc. Substrate handling chamber with movable substrate carrier loading platform
JP5065167B2 (ja) * 2007-09-20 2012-10-31 東京エレクトロン株式会社 基板の処理方法及び基板の処理システム
US8118946B2 (en) 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
WO2010006244A1 (en) * 2008-07-11 2010-01-14 Mei, Inc. Automated document handling system
DE102009018700B4 (de) * 2008-09-01 2020-02-13 Singulus Technologies Ag Beschichtungsanlage und Verfahren zum Beschichten
KR20110050558A (ko) * 2008-10-07 2011-05-13 가와사키 쥬코교 가부시키가이샤 기판 반송 로봇 및 시스템
US7972961B2 (en) * 2008-10-09 2011-07-05 Asm Japan K.K. Purge step-controlled sequence of processing semiconductor wafers
JP5139253B2 (ja) * 2008-12-18 2013-02-06 東京エレクトロン株式会社 真空処理装置及び真空搬送装置
US8216380B2 (en) 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8287648B2 (en) * 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
JP2011009362A (ja) * 2009-06-24 2011-01-13 Tokyo Electron Ltd インプリントシステム、インプリント方法、プログラム及びコンピュータ記憶媒体
JP5060517B2 (ja) * 2009-06-24 2012-10-31 東京エレクトロン株式会社 インプリントシステム
JP5423227B2 (ja) 2009-08-11 2014-02-19 富士ゼロックス株式会社 画像形成装置及びプログラム
CN102575550B (zh) * 2009-09-30 2014-10-22 康明斯有限公司 用于增强后处理再生能力的燃油喷射系统及方法
EP2534674B1 (de) * 2010-02-09 2016-04-06 Intevac, Inc. Einstellbare lochmaskenanordnung zur verwendung bei der herstellung von solarzellen
US20120288355A1 (en) * 2011-05-11 2012-11-15 Ming-Teng Hsieh Method for storing wafers
KR102030690B1 (ko) 2011-06-23 2019-10-10 다이나믹 마이크로시스템즈 세미컨덕터 이큅먼트 게엠베하 반도체 클리너 시스템들 및 방법들
US8728587B2 (en) * 2011-06-24 2014-05-20 Varian Semiconductor Equipment Associates, Inc. Closed loop process control of plasma processed materials
TWI506719B (zh) 2011-11-08 2015-11-01 Intevac Inc 基板處理系統及方法
CN103930984B (zh) * 2011-11-23 2016-09-21 日本电产三协株式会社 工件搬运系统
JP5516610B2 (ja) * 2012-01-19 2014-06-11 株式会社安川電機 ロボット、ロボットハンドおよびロボットハンドの保持位置調整方法
DE102012100929A1 (de) 2012-02-06 2013-08-08 Roth & Rau Ag Substratbearbeitungsanlage
US20150050105A1 (en) * 2012-04-26 2015-02-19 Applied Materials, Inc. Vapor dryer module with reduced particle generation
WO2014100506A1 (en) 2012-12-19 2014-06-26 Intevac, Inc. Grid for plasma ion implant
US9378994B2 (en) * 2013-03-15 2016-06-28 Applied Materials, Inc. Multi-position batch load lock apparatus and systems and methods including same
JP6105436B2 (ja) * 2013-08-09 2017-03-29 東京エレクトロン株式会社 基板処理システム
CN103611703B (zh) * 2013-09-07 2016-03-30 国家电网公司 一种组合式超声波清洗装置的使用方法
CN103611702B (zh) * 2013-09-07 2016-03-30 国家电网公司 一种可拆式超声波清洗装置的使用方法
WO2015057959A1 (en) * 2013-10-18 2015-04-23 Brooks Automation, Inc. Processing apparatus
CN104752152B (zh) * 2013-12-29 2018-07-06 北京北方华创微电子装备有限公司 一种沟槽刻蚀方法及刻蚀装置
KR101575129B1 (ko) * 2014-01-13 2015-12-08 피에스케이 주식회사 기판 이송 장치 및 방법, 그리고 기판 처리 장치
CN103817470B (zh) * 2014-02-13 2016-08-17 潍柴重机股份有限公司 一种油底壳螺塞支座焊机
KR102366749B1 (ko) * 2017-04-28 2022-02-23 어플라이드 머티어리얼스, 인코포레이티드 Oled 디바이스들의 제조에서 사용되는 진공 시스템을 세정하기 위한 방법, oled 디바이스들을 제조하기 위한 기판 상의 진공 증착을 위한 방법, 및 oled 디바이스들을 제조하기 위한 기판 상의 진공 증착을 위한 장치
CN107102536B (zh) * 2017-05-12 2020-08-21 芜湖乐佳自动化机械有限公司 一种变电柜防尘自动控制系统
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US11121014B2 (en) 2018-06-05 2021-09-14 Asm Ip Holding B.V. Dummy wafer storage cassette
US11183409B2 (en) * 2018-08-28 2021-11-23 Taiwan Semiconductor Manufacturing Company Ltd. System for a semiconductor fabrication facility and method for operating the same
CN113035749A (zh) * 2021-03-02 2021-06-25 北京北方华创微电子装备有限公司 半导体工艺腔室的清洗控制方法及半导体工艺腔室

Family Cites Families (156)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US536897A (en) * 1895-04-02 Reversing-gear for steam-engines
US904153A (en) * 1907-09-27 1908-11-17 Ludwig Scheib Sr Central-buffer claw-coupling.
US3652444A (en) * 1969-10-24 1972-03-28 Ibm Continuous vacuum process apparatus
US3981791A (en) * 1975-03-10 1976-09-21 Signetics Corporation Vacuum sputtering apparatus
US4138306A (en) * 1976-08-31 1979-02-06 Tokyo Shibaura Electric Co., Ltd. Apparatus for the treatment of semiconductors
US4226897A (en) * 1977-12-05 1980-10-07 Plasma Physics Corporation Method of forming semiconducting materials and barriers
US4313815A (en) * 1978-04-07 1982-02-02 Varian Associates, Inc. Sputter-coating system, and vaccuum valve, transport, and sputter source array arrangements therefor
DE2940064A1 (de) * 1979-10-03 1981-04-16 Leybold-Heraeus GmbH, 5000 Köln Vakuumaufdampfanlage mir einer ventilkammer, einer bedampfungskammer und einer verdampferkammer
JPS5681533U (de) * 1979-11-27 1981-07-01
US4311427A (en) * 1979-12-21 1982-01-19 Varian Associates, Inc. Wafer transfer system
US4313783A (en) * 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
FR2486006A1 (fr) 1980-07-07 1982-01-08 Jeumont Schneider Boucle induisant un courant dans les deux rails d'une voie ferree
JPS5729577A (en) * 1980-07-30 1982-02-17 Anelva Corp Automatic continuous sputtering apparatus
JPS5893321A (ja) 1981-11-30 1983-06-03 Semiconductor Energy Lab Co Ltd 半導体装置製造装置
JPS5892921A (ja) 1981-11-30 1983-06-02 Fujitsu Ltd 赤外線検知装置の組立方法
JPS5895636A (ja) 1981-11-30 1983-06-07 イビデン株式会社 耐熱弾性シ−ト状物とその製造方法
US4457661A (en) * 1981-12-07 1984-07-03 Applied Materials, Inc. Wafer loading apparatus
JPS58108641A (ja) 1981-12-21 1983-06-28 Hitachi Ltd ウエハ自動交換装置
US4634331A (en) * 1982-05-24 1987-01-06 Varian Associates, Inc. Wafer transfer system
US4449885A (en) * 1982-05-24 1984-05-22 Varian Associates, Inc. Wafer transfer system
JPS58220917A (ja) 1982-06-18 1983-12-22 ジヨ−ジ・ブラウン 液体冷却装置に使用するサ−モスタツト
JPS5994435A (ja) 1982-11-20 1984-05-31 Tokuda Seisakusho Ltd 真空処理装置
US4576698A (en) * 1983-06-30 1986-03-18 International Business Machines Corporation Plasma etch cleaning in low pressure chemical vapor deposition systems
JPS6037129A (ja) * 1983-08-10 1985-02-26 Hitachi Ltd 半導体製造装置
JPS6052575A (ja) 1983-09-01 1985-03-25 Nitto Electric Ind Co Ltd フイルム類の連続真空処理装置
JPS6052574A (ja) 1983-09-02 1985-03-25 Hitachi Ltd 連続スパツタ装置
JPH06105742B2 (ja) * 1983-11-28 1994-12-21 株式会社日立製作所 真空処理方法及び装置
US5259881A (en) * 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
JPS60203265A (ja) * 1984-03-28 1985-10-14 ダイセル化学工業株式会社 抗血液凝固性高分子材料
US4534314A (en) * 1984-05-10 1985-08-13 Varian Associates, Inc. Load lock pumping mechanism
JPS60246635A (ja) * 1984-05-22 1985-12-06 Anelva Corp 自動基板処理装置
JPS61105853A (ja) * 1984-10-30 1986-05-23 Anelva Corp オ−トロ−ダ−
US4562240A (en) 1984-12-20 1985-12-31 Ashland Oil, Inc. Bicyclic amide acetal/polyol/polyisocyanate polymers
DE3681799D1 (de) * 1985-01-22 1991-11-14 Applied Materials Inc Halbleiter-bearbeitungseinrichtung.
JPS61173445A (ja) 1985-01-28 1986-08-05 Tokyo Erekutoron Kk ウエハの真空処理装置
JPS61250185A (ja) * 1985-04-25 1986-11-07 Anelva Corp 真空処理装置のクリ−ニング方法
JPS628801A (ja) 1985-07-06 1987-01-16 Toyo Tire & Rubber Co Ltd 重荷重ラジアルタイヤ
US4649629A (en) * 1985-07-29 1987-03-17 Thomson Components - Mostek Corp. Method of late programming a read only memory
JPS6244571A (ja) * 1985-08-20 1987-02-26 Toshiba Mach Co Ltd イオン注入装置
JPS6250463A (ja) * 1985-08-30 1987-03-05 Hitachi Ltd 連続スパツタ装置
JPS6289881A (ja) * 1985-10-16 1987-04-24 Hitachi Ltd スパツタ装置
JPH0827286B1 (de) * 1985-11-21 1996-03-21 Teijin Ltd
JPS62132321A (ja) 1985-12-04 1987-06-15 Anelva Corp ドライエツチング装置
JPH0613751B2 (ja) * 1986-03-07 1994-02-23 株式会社日立製作所 連続スパッタ装置
JPS62216315A (ja) 1986-03-18 1987-09-22 Toshiba Mach Co Ltd 半導体処理装置
US4909695A (en) * 1986-04-04 1990-03-20 Materials Research Corporation Method and apparatus for handling and processing wafer-like materials
US4915564A (en) * 1986-04-04 1990-04-10 Materials Research Corporation Method and apparatus for handling and processing wafer-like materials
US4705951A (en) * 1986-04-17 1987-11-10 Varian Associates, Inc. Wafer processing system
CA1331163C (en) * 1986-04-18 1994-08-02 Applied Materials, Inc. Multiple-processing and contamination-free plasma etching system
US6103055A (en) * 1986-04-18 2000-08-15 Applied Materials, Inc. System for processing substrates
US4670126A (en) * 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US4715764A (en) * 1986-04-28 1987-12-29 Varian Associates, Inc. Gate valve for wafer processing system
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
KR950012969B1 (ko) 1986-04-28 1995-10-24 배리언 어소시에이츠 인코포레이티드 모듀울 반도체 웨이퍼 운반 및 처리 시스템
US4836733A (en) * 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4924890A (en) * 1986-05-16 1990-05-15 Eastman Kodak Company Method and apparatus for cleaning semiconductor wafers
WO1987007309A1 (en) * 1986-05-19 1987-12-03 Novellus Systems, Inc. Deposition apparatus with automatic cleaning means and method of use
US4866507A (en) 1986-05-19 1989-09-12 International Business Machines Corporation Module for packaging semiconductor integrated circuit chips on a base substrate
JPS636582A (ja) * 1986-06-26 1988-01-12 Mita Ind Co Ltd 現像装置
JPS6357734A (ja) 1986-08-28 1988-03-12 Mitsubishi Heavy Ind Ltd 繊維強化金属およびその製造法
JPS63131123A (ja) 1986-11-20 1988-06-03 Fujitsu Ltd 光学式読取装置
US4904153A (en) * 1986-11-20 1990-02-27 Shimizu Construction Co., Ltd. Transporting robot for semiconductor wafers
JPS63133521A (ja) 1986-11-25 1988-06-06 Kokusai Electric Co Ltd 半導体基板の熱処理装置
JPH0660397B2 (ja) * 1986-12-15 1994-08-10 日本真空技術株式会社 真空槽内における基板交換装置
US5215619A (en) * 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPS63127125U (de) * 1987-02-12 1988-08-19
JPS63244619A (ja) * 1987-03-30 1988-10-12 Sumitomo Metal Ind Ltd プラズマ装置
US5169407A (en) * 1987-03-31 1992-12-08 Kabushiki Kaisha Toshiba Method of determining end of cleaning of semiconductor manufacturing apparatus
JPH0691952B2 (ja) * 1987-04-17 1994-11-16 株式会社日立製作所 真空装置
JPS646582A (en) * 1987-06-30 1989-01-11 Tokyo Gas Co Ltd Shutoff valve unit with nozzle
JP2513588B2 (ja) * 1987-07-01 1996-07-03 本田技研工業株式会社 内燃エンジンの燃料供給制御装置
JPS6411320A (en) * 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
US4835453A (en) * 1987-07-07 1989-05-30 U.S. Philips Corp. Battery-powered device
JPH0636582Y2 (ja) 1987-07-10 1994-09-21 株式会社日立製作所 エッチング装置
US4836905A (en) * 1987-07-16 1989-06-06 Texas Instruments Incorporated Processing apparatus
JPS6431971A (en) * 1987-07-28 1989-02-02 Tokuda Seisakusho Vacuum treatment device
JPS6431970A (en) * 1987-07-28 1989-02-02 Tokuda Seisakusho Vacuum treatment equipment
JPS6436042A (en) 1987-07-31 1989-02-07 Kokusai Electric Co Ltd Method and device for wafer handling in semiconductor manufacturing apparatus
DE3827343A1 (de) * 1988-08-12 1990-02-15 Leybold Ag Vorrichtung nach dem karussel-prinzip zum beschichten von substraten
JPH0217636Y2 (de) 1987-08-27 1990-05-17
US4851101A (en) * 1987-09-18 1989-07-25 Varian Associates, Inc. Sputter module for modular wafer processing machine
US4903937A (en) * 1987-09-24 1990-02-27 Varian Associates, Inc. Isolation valve for vacuum and non-vacuum application
JP2868767B2 (ja) 1987-11-04 1999-03-10 富士電機株式会社 半導体ウエハ処理装置
JPH0652721B2 (ja) * 1987-11-20 1994-07-06 富士電機株式会社 半導体ウエハ処理装置
JP2610918B2 (ja) 1987-12-25 1997-05-14 東京エレクトロン株式会社 被処理体の処理方法及び処理装置
US5225036A (en) * 1988-03-28 1993-07-06 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
JP2628335B2 (ja) * 1988-03-31 1997-07-09 テル・バリアン株式会社 マルチチャンバ型cvd装置
JPH01258438A (ja) 1988-04-08 1989-10-16 Fujitsu Ltd 物品情報管理方式
JPH0610357B2 (ja) * 1988-05-25 1994-02-09 株式会社日立製作所 プラズマ処理装置
JP2615860B2 (ja) * 1988-06-09 1997-06-04 富士電機株式会社 半導体ウエハ処理装置
JPH01316957A (ja) 1988-06-15 1989-12-21 Nec Corp 枚葉式処理装置
JPH07118208B2 (ja) 1988-06-28 1995-12-18 株式会社小糸製作所 自動車用前照灯
US4857160A (en) * 1988-07-25 1989-08-15 Oerlikon-Buhrle U.S.A. Inc. High vacuum processing system and method
US4914556A (en) 1988-07-26 1990-04-03 Morpheus Lights, Inc. Spectral filter module
JPH0226229U (de) * 1988-08-05 1990-02-21
JPH0252449A (ja) 1988-08-16 1990-02-22 Teru Barian Kk 基板のロード・アンロード方法
JPH0744315Y2 (ja) * 1988-08-16 1995-10-11 シンガー日鋼株式会社 ミシンの後側ベルトガード
JPH0265252A (ja) * 1988-08-31 1990-03-05 Nec Kyushu Ltd 半導体製造装置
JP2545591B2 (ja) * 1988-09-30 1996-10-23 国際電気株式会社 ウェーハ処理装置
JP2690971B2 (ja) * 1988-10-14 1997-12-17 東京エレクトロン株式会社 処理方法
US5536128A (en) * 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
EP0367423A3 (de) * 1988-10-31 1991-01-09 Eaton Corporation Vakuumablagerungsvorrichtung
US4923584A (en) 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
JPH02224242A (ja) 1988-11-21 1990-09-06 Oki Electric Ind Co Ltd 半導体基板処理装置
JPH02178946A (ja) 1988-12-29 1990-07-11 Tokyo Electron Ltd 半導体製造装置
JPH07105357B2 (ja) * 1989-01-28 1995-11-13 国際電気株式会社 縦型cvd拡散装置に於けるウェーハ移載方法及び装置
DE3903607A1 (de) * 1989-02-08 1990-08-09 Leybold Ag Vorrichtung zum reinigen, pruefen und einordnen von werkstuecken
US5014217A (en) * 1989-02-09 1991-05-07 S C Technology, Inc. Apparatus and method for automatically identifying chemical species within a plasma reactor environment
JP2853143B2 (ja) 1989-02-25 1999-02-03 ソニー株式会社 半導体装置の製造方法
JP2528962B2 (ja) * 1989-02-27 1996-08-28 株式会社日立製作所 試料処理方法及び装置
JPH0793348B2 (ja) 1989-05-19 1995-10-09 アプライド マテリアルズ インコーポレーテッド 多重チャンバ真空式処理装置及び多重チャンバ真空式半導体ウェーハ処理装置
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
EP0416774B1 (de) * 1989-08-28 2000-11-15 Hitachi, Ltd. Verfahren zur Behandlung eines Aluminium enthaltenden Musters
JP2862956B2 (ja) * 1990-05-28 1999-03-03 大日本スクリーン製造株式会社 基板搬送装置
JPH0482841A (ja) 1990-07-23 1992-03-16 Arakawa Chem Ind Co Ltd 低分子量芳香族炭化水素化合物の水素化方法
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
US5436848A (en) 1990-09-03 1995-07-25 Dainippon Screen Mfg. Co., Ltd. Method of and device for transporting semiconductor substrate in semiconductor processing system
US5685684A (en) * 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system
JP2595132B2 (ja) * 1990-11-26 1997-03-26 株式会社日立製作所 真空処理装置
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
JPH05275511A (ja) * 1991-03-01 1993-10-22 Tokyo Electron Ltd 被処理体の移載システム及び処理装置
JP2579851B2 (ja) 1991-06-21 1997-02-12 太陽化学株式会社 食品用日持ち向上剤
JP2751975B2 (ja) * 1991-12-20 1998-05-18 株式会社日立製作所 半導体処理装置のロードロック室
US5766360A (en) * 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
US5351415A (en) * 1992-05-18 1994-10-04 Convey, Inc. Method and apparatus for maintaining clean articles
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JPH0636582A (ja) * 1992-07-21 1994-02-10 Oki Micro Design Miyazaki:Kk 読み出し回路
JP3139155B2 (ja) * 1992-07-29 2001-02-26 東京エレクトロン株式会社 真空処理装置
US5382541A (en) * 1992-08-26 1995-01-17 Harris Corporation Method for forming recessed oxide isolation containing deep and shallow trenches
CH686445A5 (de) * 1992-10-06 1996-03-29 Balzers Hochvakuum Kammer und Kammerkombination fuer eine Vakuumanlage und Verfahren zum Durchreichen mindestens eines Werkstueckes.
US6022458A (en) * 1992-12-07 2000-02-08 Canon Kabushiki Kaisha Method of production of a semiconductor substrate
KR970011065B1 (ko) * 1992-12-21 1997-07-05 다이닛뽕 스크린 세이조오 가부시키가이샤 기판처리장치와 기판처리장치에 있어서 기판교환장치 및 기판교환방법
US5295777A (en) * 1992-12-23 1994-03-22 Materials Research Corporation Wafer transport module with rotatable and horizontally extendable wafer holder
JP3218488B2 (ja) * 1993-03-16 2001-10-15 東京エレクトロン株式会社 処理装置
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5452166A (en) * 1993-10-01 1995-09-19 Applied Magnetics Corporation Thin film magnetic recording head for minimizing undershoots and a method for manufacturing the same
EP0650017B1 (de) 1993-10-21 1998-04-22 Asea Brown Boveri Ag Rost für eine Feuerungsanlage
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
JP3471916B2 (ja) 1994-09-28 2003-12-02 サッポロホールディングス株式会社 組換えβ−アミラーゼ
US5504347A (en) * 1994-10-17 1996-04-02 Texas Instruments Incorporated Lateral resonant tunneling device having gate electrode aligned with tunneling barriers
TW297919B (de) * 1995-03-06 1997-02-11 Motorola Inc
JP2861885B2 (ja) 1995-09-19 1999-02-24 ヤマハ株式会社 効果付与アダプタ
DE19546826C1 (de) * 1995-12-15 1997-04-03 Fraunhofer Ges Forschung Verfahren und Einrichtung zur Vorbehandlung von Substraten
US5746565A (en) * 1996-01-22 1998-05-05 Integrated Solutions, Inc. Robotic wafer handler
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
JPH11135600A (ja) * 1997-08-25 1999-05-21 Shibaura Mechatronics Corp ロボット装置および処理装置
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US5970908A (en) * 1997-12-13 1999-10-26 Compuvac Systems, Inc. Apparatus and improved polymerization gun for coating objects by vacuum deposit
KR100257903B1 (ko) * 1997-12-30 2000-08-01 윤종용 인시튜 모니터링가능한 플라즈마 식각장치, 그 인시튜 모니터링방법, 플라즈마 식각챔버내의 잔류물 제거를 위한 인시튜 세정방법
US6059567A (en) * 1998-02-10 2000-05-09 Silicon Valley Group, Inc. Semiconductor thermal processor with recirculating heater exhaust cooling system
US6277235B1 (en) * 1998-08-11 2001-08-21 Novellus Systems, Inc. In situ plasma clean gas injection

Also Published As

Publication number Publication date
US6012235A (en) 2000-01-11
US6625899B2 (en) 2003-09-30
US20010008050A1 (en) 2001-07-19
EP0856875B1 (de) 2003-05-07
US20010016990A1 (en) 2001-08-30
US20010000048A1 (en) 2001-03-22
US20010004554A1 (en) 2001-06-21
US6467186B2 (en) 2002-10-22
US20010002517A1 (en) 2001-06-07
US6470596B2 (en) 2002-10-29
DE69133564D1 (de) 2007-04-12
US20010011423A1 (en) 2001-08-09
US6460270B2 (en) 2002-10-08
US5784799A (en) 1998-07-28
US20010020339A1 (en) 2001-09-13
US20040074104A1 (en) 2004-04-22
US6463676B1 (en) 2002-10-15
US6490810B2 (en) 2002-12-10
US20010010126A1 (en) 2001-08-02
US6880264B2 (en) 2005-04-19
US6655044B2 (en) 2003-12-02
US6301802B1 (en) 2001-10-16
US20010009075A1 (en) 2001-07-26
EP0805481B1 (de) 2006-06-21
EP1079418A2 (de) 2001-02-28
US5349762A (en) 1994-09-27
US20040187337A1 (en) 2004-09-30
US20010001902A1 (en) 2001-05-31
US6487793B2 (en) 2002-12-03
EP1076354A3 (de) 2002-08-07
US20010037585A1 (en) 2001-11-08
US6904699B2 (en) 2005-06-14
US6332280B2 (en) 2001-12-25
US6044576A (en) 2000-04-04
US20010009073A1 (en) 2001-07-26
US6112431A (en) 2000-09-05
US20010008051A1 (en) 2001-07-19
US6484414B2 (en) 2002-11-26
US6499229B2 (en) 2002-12-31
DE69128861T2 (de) 1998-10-08
EP0856875A3 (de) 1999-04-28
DE69133254D1 (de) 2003-06-12
US5553396A (en) 1996-09-10
US7367135B2 (en) 2008-05-06
US5457896A (en) 1995-10-17
US20040187338A1 (en) 2004-09-30
EP1079418B1 (de) 2007-04-04
EP1076354A2 (de) 2001-02-14
US6070341A (en) 2000-06-06
US6473989B2 (en) 2002-11-05
US20010009076A1 (en) 2001-07-26
EP0856875A2 (de) 1998-08-05
US6301801B1 (en) 2001-10-16
US20010011422A1 (en) 2001-08-09
KR0184682B1 (ko) 1999-04-15
US20010007175A1 (en) 2001-07-12
US6330756B1 (en) 2001-12-18
DE69128861T3 (de) 2004-05-19
EP1076354B1 (de) 2007-02-28
US6446353B2 (en) 2002-09-10
US5314509A (en) 1994-05-24
DE69133567T2 (de) 2007-12-13
US6330755B1 (en) 2001-12-18
JPH04108531A (ja) 1992-04-09
US6662465B2 (en) 2003-12-16
US6634116B2 (en) 2003-10-21
US6314658B2 (en) 2001-11-13
US6055740A (en) 2000-05-02
US6263588B1 (en) 2001-07-24
US6968630B2 (en) 2005-11-29
US6487791B2 (en) 2002-12-03
US20010008052A1 (en) 2001-07-19
US6108929A (en) 2000-08-29
US6487794B2 (en) 2002-12-03
DE69133567D1 (de) 2007-05-16
EP0475604A1 (de) 1992-03-18
EP0805481A2 (de) 1997-11-05
EP1079418A3 (de) 2002-08-07
DE69133535T2 (de) 2007-03-08
EP0805481A3 (de) 1998-05-20
US20060032073A1 (en) 2006-02-16
US20020032972A1 (en) 2002-03-21
US20010004807A1 (en) 2001-06-28
US20010009074A1 (en) 2001-07-26
US20010003873A1 (en) 2001-06-21
US6588121B2 (en) 2003-07-08
US20010020340A1 (en) 2001-09-13
JP2644912B2 (ja) 1997-08-25
US6457253B2 (en) 2002-10-01
US6463678B2 (en) 2002-10-15
US6467187B2 (en) 2002-10-22
DE69133254T2 (de) 2004-03-11
DE69128861D1 (de) 1998-03-12
KR920005275A (ko) 1992-03-28
EP0475604B1 (de) 1998-02-04
EP0475604B2 (de) 2003-09-17
US5950330A (en) 1999-09-14
US6886272B2 (en) 2005-05-03
US20010001901A1 (en) 2001-05-31
US5661913A (en) 1997-09-02
US20040074103A1 (en) 2004-04-22
US6505415B2 (en) 2003-01-14
DE69133535D1 (de) 2006-08-03
US6484415B2 (en) 2002-11-26

Similar Documents

Publication Publication Date Title
DE69133564T2 (de) Vakuumbehandlungsvorrichtung und Arbeitsverfahren dafür
DE69633487T2 (de) Vakuumbehandlungsvorrichtung und Halbleiter-Fertigungslinie die diese verwendet
DE60214763T2 (de) Waferhandhabungsvorrichtung und verfahren dafür
DE69830905T2 (de) Vorrichtung zur behandlung von einzelnen halbleiterscheiben mit mehreren schleusenkammern und verfahren zum beladen und entladen
DE69838273T2 (de) Verfahren zum Reinigen und Trocknen von zu verarbeitenden Objekte
DE69934668T2 (de) Schleusenkammer für zwei wafer für eine waferverarbeitungsvorrichtung und be- und entladeverfahren dafür
DE60100575T2 (de) Vorrichtung zum Speichern und Bewegen einer Kassette
DE3909669C2 (de)
DE102004010688B4 (de) Bearbeitungseinrichtung, Bearbeitungsverfahren, Drucksteuerverfahren, Transportverfahren, und Transporteinrichtung
DE60131511T2 (de) Halbleiterverarbeitungsmodul und Vorrichtung
DE19982566B4 (de) Einrichtung und Verfahren zum Bearbeiten eines Substrats
DE69937554T2 (de) Synchron gemultiplexte architektur für vakuumverfahren mit einem überschuss nahenull
DE4447977B4 (de) Vorrichtung und Verfahren zur Plasmabehandlung von flachen Werkstücken, insbesondere flachen, aktiven Bildschirmen, sowie Verwendung der Vorrichtung
DE102007041033A1 (de) Substratverarbeitende Vorrichtung mit einer Puffermechanik und einer Substrattransfervorrichtung
DE19906805A1 (de) Vorrichtung und Verfahren zum Transportieren von zu bearbeitenden Substraten
DE4230808A1 (de) System zur handhabung und verarbeitung eines substrats
CH652376A5 (de) Vorrichtung zum zufuehren von mikroplaettchen zu einer behandlungsstation und eine anlage zum einfuehren von mikroplaettchen in eine vakuumkammer.
CH698528B1 (de) Schiebereinrichtung eines Vakuumprozesssystems.
DE3425267A1 (de) System zum transportieren und behandeln von duennen substraten wie platten oder wafer
CH673351A5 (de)
DE10353326A1 (de) Substratverarbeitungsgerät und Verfahren zum Verarbeiten eines Substrats unter Steuerung der Kontaminierung in einem Substrattransfermodul
DE19901426A1 (de) Mehrkammersystem einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen
DE2624156A1 (de) Vorrichtung zur behandlung von flachen werkstuecken mit fluessigkeiten und/oder gasen
DE19781822B4 (de) Reinigungsstation zur Verwendung bei einem System zum Reinigen, Spülen und Trocknen von Halbleiterscheiben
EP3916764B1 (de) Substratbearbeitungsanlage

Legal Events

Date Code Title Description
8364 No opposition during term of opposition