DE69133254T2 - Arbeitsverfahren für Vakuumbehandlungsvorrichtung - Google Patents

Arbeitsverfahren für Vakuumbehandlungsvorrichtung Download PDF

Info

Publication number
DE69133254T2
DE69133254T2 DE69133254T DE69133254T DE69133254T2 DE 69133254 T2 DE69133254 T2 DE 69133254T2 DE 69133254 T DE69133254 T DE 69133254T DE 69133254 T DE69133254 T DE 69133254T DE 69133254 T2 DE69133254 T2 DE 69133254T2
Authority
DE
Germany
Prior art keywords
vacuum
chambers
vacuum processing
substrates
auxiliary
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69133254T
Other languages
English (en)
Other versions
DE69133254D1 (de
Inventor
Shigekazu Kudamatsu-Shi Kato
Kouji Tokuyama-Shi Nishihata
Tsunehiko Hikari-Shi Tsubone
Atsushi Kudamatsu-Shi Itou
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=16827524&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=DE69133254(T2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Application granted granted Critical
Publication of DE69133254D1 publication Critical patent/DE69133254D1/de
Publication of DE69133254T2 publication Critical patent/DE69133254T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J3/00Processes of utilising sub-atmospheric or super-atmospheric pressure to effect chemical or physical change of matter; Apparatus therefor
    • B01J3/006Processes utilising sub-atmospheric pressure; Apparatus therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/315Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by selective application of heat to a heat sensitive printing or impression-transfer material
    • B41J2/32Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by selective application of heat to a heat sensitive printing or impression-transfer material using thermal heads
    • B41J2/35Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by selective application of heat to a heat sensitive printing or impression-transfer material using thermal heads providing current or voltage to the thermal head
    • B41J2/355Control circuits for heating-element selection
    • B41J2/36Print density control
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/315Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by selective application of heat to a heat sensitive printing or impression-transfer material
    • B41J2/32Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by selective application of heat to a heat sensitive printing or impression-transfer material using thermal heads
    • B41J2/35Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by selective application of heat to a heat sensitive printing or impression-transfer material using thermal heads providing current or voltage to the thermal head
    • B41J2/355Control circuits for heating-element selection
    • B41J2/36Print density control
    • B41J2/365Print density control by compensation for variation in temperature
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Description

  • HINTERGRUND DER ERFINDUNG Gebiet der Erfindung
  • Die Erfindung betrifft ein Vakuum-Bearbeitungsverfahren. Genauer gesagt, betrifft die Erfindung ein Verfahren zur Bearbeitung im Vakuum mittels einer Vorrichtung mit Vakuum-Bearbeitungskammern, deren Inneres gereinigt werden muss.
  • Beschreibung des Stands der Technik
  • In einer Vakuum-Bearbeitungsvorrichtung wie einer Trockenätzvorrichtung, einer CVD-Vorrichtung oder einer Sputtervorrichtung wird eine vorbestimmte Anzahl zu behandelnder Substrate als eine Einheit (die im Allgemeinen als ein "Los" bezeichnet wird) in einer Substratkassette untergebracht und in die Vorrichtung geladen. Die Substrate werden nach der Behandlung in gleicher Weise mit derselben Einheit in der Substratkassette gelagert und entnommen. Dies ist ein normales Verfahren zum Betreiben dieser Vorrichtungen, um die Produktivität zu verbessern.
  • Bei derartigen Vakuum-Bearbeitungsvorrichtungen, wie sie oben beschrieben sind, insbesondere bei einer Vorrichtung, die eine Reaktion mittels eines aktiven Gases nutzt, wie typischerweise eine Trockenätzvorrichtung und eine CVD-Vorrichtung, haften bei fortschreitender Behandlung Reaktionsprodukte an einer Vakuum-Bearbeitungskammer an und scheiden sich an ihr ab. Aus diesem Grund treten Probleme wie eine Beeinträchtigung des Vakuum-Funktionsvermögens, der Zunahme von Stäuben, des Abfalls der Pegel optischer Überwachungssignale auf. Um diese Probleme zu lösen, wird herkömmlicherweise das Innere der Vakuum-Bearbeitungskammern periodisch gereinigt. Zu Reinigungsvorgängen gehören das sogenannte "Nassreinigen", bei dem die anhaftenden Stoffe unter Verwendung eines organischen Lösungsmittels usw. abge- wischt werden, und das sogenannte Trockenreinigen, bei dem ein aktives Gas oder Plasma verwendet wird, um anhaftende Stoffe zu zersetzen. Trockenreinigen ist aus dem Gesichtspunkt des Arbeitsfaktors und der Effizienz hervor ragend. Diese Merkmale der Trockenreinigung wurden einhergehend mit den Fortschritten bei der Automatisierung von Herstelllinien wesentlich.
  • Ein Beispiel für Vakuum-Bearbeitungsvorrichtungen mit derartiger Trockenreinigungsfunktion ist in der japanischen Gebrauchsmuster-Offenlegung Nr. 127125/1988 offenbart. Diese Vorrichtung verfügt über eine Vakuum-Vorkammer zum Einführen zu behandelnder Wafer von der Atmosphärenseite in eine Bearbeitungskammer auf der Vakuumseite, die über ein Schleusenventil angrenzend an die Bearbeitungskammer angebracht ist. In die Vakuum-Vorkammer wird ein Hilfswafer geladen und durch eine spezielle Fördereinrichtung in die Bearbeitungskammer transportiert, bevor diese einer Trockenreinigung unterzogen wird, und der Hilfswafer wird durch die Fördereinrichtung zur Vakuum-Vorkammer zurückgeführt, nachdem die Trockenreinigung abgeschlossen ist.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Bei der oben beschriebenen bekannten Technik ist dem Aufbau der Vakuum-Bearbeitungsvorrichtung nicht viel Aufmerksamkeit geschenkt. Die Vakuum-Vorkammer zum Aufbewahren der Hilfswafer muss über ein großes Fassungsvermögen verfügen und die spezielle Fördereinrichtung zum Transportieren der Hilfswafer ist erforderlich, und demgemäß verfügt die Vorrichtung über eine komplizierte Konstruktion.
  • Zur Plasmareinigung verwendete Hilfswafer werden erneut in die Vakuum-Vorkammer zurückgeführt und warten dort. In diesem Fall haften während der Plasmareinigung erzeugte Reaktionsprodukte und zur Plasmareinigung verwendetes Reaktionsgas an den verwendeten Hilfswafern an. Danach wird die normale Bearbeitung für Wafer wieder aufgenommen. Daher existieren die verwendeten Hilfswafer und unbearbeitete Wafer gemischt innerhalb der VakuumVorkammer, und dieser Zustand ist aus dem Gesichtspunkt einer Verunreinigung unbearbeiteter Wafer nicht erwünscht.
  • Durch die Erfindung ist ein Verfahren zur Vakuumbearbeitung geschaffen, das die oben beschriebenen Probleme löst, einfach ist, die Verunreinigung unbearbeiteter Substrate verhindert und zu hoher Herstellausbeute führt.
  • Ein Verfahren zur Vakuumbearbeitung eines Substrats unter Verwendung mehrerer Vakuum-Bearbeitungskammern mit den Schritten des Oberbegriffs des Anspruchs 1 ist aus EP 0367423A bekannt.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • 1 ist eine Draufsicht einer Trockenätzvorrichtung als einer Ausführungsform einer Vakuum-Bearbeitungsvorrichtung; und
  • 2 ist ein Vertikalschnitt entlang der Linie 1-1 in der 1.
  • BESCHREIBUNG DER BEVORZUGTEN AUSFÜHRUNGSFORMEN
  • Wenn zu bearbeitender Substrate in einer Vakuum-Bearbeitungsvorrichtung bearbeitet werden, haften an Vakuum-Bearbeitungskammern Reaktionsprodukte an und werden in ihnen abgeschieden. Die an den Vakuum-Bearbeitungskammern anhaftenden und in ihnen abgeschiedenen Reaktionsprodukte werden dadurch entfernt, dass Hilfswafer innerhalb der Vakuum-Bearbeitungskammern angebracht werden und eine Trockenreinigung ausgeführt wird. Um eine Trockenreinigung auszuführen, werden die Zeitpunkte für die Trockenreinigung der Vakuum-Bearbeitungskammern bestimmt, und während oder nach der Bearbeitung einer vorbestimmten Anzahl zu bearbeitender Substrate werden Hilfssubstrate durch eine Substratfördereinrichtung von einer in der Luftatmosphäre angeordneten Hilfssubstrat-Speichereinrichtung gemeinsam mit einer Speichereinrichtung für bearbeitete Substrate transportiert und dann innerhalb den Vakuum-Bearbeitungskammern angeordnet. Nachdem die Hilfssubstrat auf diese Weise angeordnet sind, wird innerhalb jeder Vakuum-Bearbeitungskammer ein Plasma erzeugt, um eine Trockenreinigung innerhalb der Vakuum-Bearbeitungskammer auszuführen. Nachdem die Trockenreinigung innerhalb der Vakuum-Bearbeitungskammern abgeschlossen ist, werden die Vakuum-Bearbeitungskammern von ihnen durch die Substratfördereinrichtung in die Hilfssubstrat-Speichereinrichtung zurückgebracht. Auf diese Weise werden sowohl eine Vakuum-Vorkammer als auch ein spezieller Transportmechanismus, wie sie bei bekannten Techniken beide erforderlich waren, überflüssig, und die Vorrichtungskonstruktion wird vereinfacht. Die für die Trockenreinigung verwendeten Hilfssubstrate und die zu bearbeitenden Substrate liegen nicht gemeinsam innerhalb derselben Kammer vor, so dass eine Verunreinigung zu bearbeitender Substrate durch Staub und Restgas verhindert ist und eine hohe Herstellausbeute erzielt werden kann.
  • Nachfolgend wird das erfindungsgemäße Verfahren unter Bezugnahme auf die 1 und 2 erläutert.
  • Die 1 und 2 zeigen eine Vakuum-Bearbeitungsvorrichtung, die in diesem Fall eine Trockenätzvorrichtung zum Ätzen von Wafern, d. h. von durch Plasma zu bearbeitenden Substraten, ist.
  • Kassettentische 2a bis 2c sind in diesem Fall in L-Form an solchen Positionen angeordnet, dass sie in die Vorrichtung geladen und aus ihr entladen werden können, ohne dass ihre Positionen und Stellungen geändert werden. Anders gesagt, sind die Kassetten 1a bis 1c immer an vorbestimmten Positionen auf einer im Wesentlichen horizontalen Ebene fixiert, während die Kassettentische 2a und 2b benachbart zueinander und parallel zueinander an einer der Seiten der L-Form angeordnet sind. Der Kassettentisch 2c ist auf der anderen Seite der L-Form angeordnet. Die Kassettentische 1a und 1b dienen zum Aufbewahren unbearbeiteter Wafer und zum Entnehmen der bearbeiteten Wafer. Sie können eine Anzahl (im Allgemeine 25) Wafer 20 als zu behandelnde Substrate aufnehmen. Die Kassette 1c dient in diesem Fall zum Speichern der Hilfswafer zum Ausführen einer Trockenreinigung unter Verwendung von Plasma (nachfolgend als "Plasmareinigung" bezeichnet) und zum Entnehmen der Hilfswafer nach der Plasmareinigung. Sie kann mehrere (im Allgemeinen 25) Hilfswafer 30 aufnehmen.
  • Eine Ladeschleusenkammer 5 und eine Entladeschleusenkammer 6 sind so angeordnet, dass sie den Kassettentischen 2a und 2b zugewandt sind, und ein Förderer 13 ist zwischen den Kassettentischen 2a, 2b und der Ladeschleusenkammer 5 und der Entladeschleusenkammer 6 angeordnet. Die Ladeschleusenkammer 5 ist mit einer Abpumpvorrichtung 3 und einer Gaseinleitvorrichtung 4 versehen, und sie kann unbearbeitete Wafer durch ein Schleusenventil 12a in die Vakuumvorrichtung laden. Die Entladeschleusenkammer 6 ist auf ähnliche Weise mit der Abpumpvorrichtung 6 und der Gaseinleitvorrichtung 4 versehen, und sie kann bearbeitete Wafer über ein Schleusenventil 12d an die Atmosphäre entnehmen. Der Förderer 13 ist mit einem Roboter mit Achsen X, Y, Z und ⊙ versehen, und er arbeitet so, dass er die Wafer 20 zwischen den Kassetten 1a, 1b und der Ladeschleusenkammer 5 und der Entladeschleusenkammer 6 sowie die Hilfswafer 30 zwischen der Kassette 1c und der Ladeschleusenkammer 5 und der Entladeschleusenkammer 6 zuführt und empfängt.
  • Die Ladeschleusenkammer 5 und die Entladeschleusenkammer 6 sind über die Schleusenventile 12b und 12c mit einer Übertragungskammer 16 verbunden. Die Übertragungskammer 16 ist in diesem Fall rechteckig, und Ätzkammern 11a, 11b und 11c sind an den drei Seitenwänden derselben über jeweilige Schleusenventile 15a, 15b bzw. 15c angeordnet. Ein Förderer 14, der die Wafer 20 oder die Hilfswafer 30 von der Ladeschleusenkammer 5 zu den Ätzkammern 11a, 11b, 11c und sie von diesen zur Entladeschleusenkammer 6 transportieren kann, ist innerhalb der Übertragungskammer 16 angeordnet. Die Übertragungs kammer 16 ist mit einer Abpumpvorrichtung 17 versehen, die ein unabhängiges Evakuieren ausführen kann.
  • Die Ätzkammern 11a, 11b, 11c haben dieselbe Konstruktion, und sie können dieselbe Bearbeitung ausführen. Beispielhaft erfolgt eine Erläuterung zur Ätzkammer 11b. Die Ätzkammer 11b verfügt über einen Probentisch 8b, um die Wafer 20 darauf zu platzieren, und eine Auslasskammer ist so vorhanden, dass sie über dem Probentisch 8b einen Auslassabschnitt 7b bildet. Die Ätzkammer 11b verfügt über eine Gaseinlassvorrichtung 10b zum Einlassen eines Bearbeitungsgases in den Auslassabschnitt 7b sowie eine Abpumpvorrichtung 9b zum Absenken des Innendrucks der Ätzkammer 11b auf einen vorbestimmte Druck. Die Ätzkammer 11b verfügt ferner über eine Erzeugungseinrichtung zum Erzeugen von Mikrowellen und eines Magnetfelds zum Umwandeln des Bearbeitungsgases im Auslassabschnitt 7b im Plasma.
  • Im oberen Teil der Ätzkammer ist ein Sensor 18 zum Messen der Intensität von Plasmalicht angeordnet. Der Messwert des Sensors 18 wird in eine Steuerung 19 eingegeben. Die Steuerung 19 vergleicht den Messwert vom Sensor 18 mit einem vorbestimmten, und sie bestimmt den Zeitpunkt der Reinigung im Inneren der Ätzkammer. Die Steuerung 19 steuert die Förderer 13 und 14 zum Steuern des Transports der Hilfswafer 30 zwischen der Kassette 1c und den Ätzkammern 11a bis 11c.
  • In einer Vakuum-Bearbeitungsvorrichtung mit dem oben beschriebenen Aufbau werden als Erstes die unbearbeitete Wafer aufnehmenden Kassetten 1a, 1b durch einen Linientransportroboter, der auf Grundlage der von einer Hoststeuervorrichtung, oder durch eine Bedienperson, gelieferten Daten arbeitet, auf den Kassettentischen 2a, 2b platziert. Andererseits wird die die Hilfswafer speichernde Kassette 1c auf dem Kassettentisch 2c platziert. Die Vakuum-Bearbeitungsvorrichtung führt die Waferbearbeitung oder die Plasmareinigung auf Grundlage dessen aus, dass sie selbst die auf den Kassetten 1a bis 1c vorhandenen Herstelldaten, die von der Hoststeuervorrichtung gelieferten Daten oder den von einer Bedienperson eingegebenen Befehl er- kennt.
  • Z. B. werden die Wafer 20 nacheinander in der Reihenfolge von oben her durch die Förderer 13 und 14 in die Ätzkammern 11a, 11b, 11c geladen und geätzt. Die geätzten Wafer werden durch die Förderer 14 und 13 in ihren ursprünglichen Positionen innerhalb der Kassette 1a gespeichert. In diesem Fall werden, vom Anfang bis zum Ende des Vorgangs, ohne dass die Position und die Haltung der Kassetten geändert würden, die unbearbeiteten Wafer den Kassetten entnommen, und sie werden in ihre ursprünglichen Positionen zurückgebracht, in denen sie gespeichert waren, und sie werden dort gespeichert. Auf diese Weise kann die Vorrichtung einfach eine Automatisierung der Heratelllinie meistern, die Verunreinigung der Wafer durch Staub kann verrin- gert werden, und so können hohe Herstelleffizienz und hohe Herstellausbeute erzielt werden.
  • Wenn der Ätzvorgang wiederholt wird, haften Reaktionsprodukte an der Innenwand der Ätzkammern 11a bis 11c an und werden dort abgeschieden. Daher muss der ursprüngliche Zustand dadurch wiederhergestellt werden, dass die anhaftenden Stoffe durch Plasmaätzen entfernt werden. Die Steuerung 19 beurteilt den Zeitpunkt für diese Plasmareinigung. In diesem Fall ist in jeder der Ätzkammern 11a bis 11c ein Abschnitt vorhanden, durch den das Plasmalicht läuft. Der Sensor 18 misst die Intensität des durch diesen Abschnitt laufenden Plasmalichts, und wenn der Messwert einen vorbestimmten Wert erreicht, wird der Startzeitpunkt für die Plasmareinigung festgelegt. Alternativ kann der Zeitpunkt für die Plasmareinigung dadurch festgelegt werden, dass die Anzahl der in jeder Ätzkammer bearbeiteten Wafer durch die Steuerung 19 gezählt wird und der Zeitpunkt dann festgelegt wird, wenn dieser Wert einen vorbestimmten Wert erreicht. Beide Verfahren für den Zeitpunkt der Plasmareinigung sind aus JP-U-2-26229 bekannt. Der tatsächliche Zeitpunkt für die Plasmareinigung, wie sie ausgeführt wird, kann während der Verarbeitung einer vorbestimmten Anzahl von Wafern in den Kassetten 1a oder 1b, nach Abschluss der Bearbeitung aller Wafer 20 in einer Kassette und vor der Bearbeitung von Wafern in der nächsten Kassette liegen.
  • Die Plasmareinigung wird mit der folgenden Abfolge ausgeführt. In diesem Fall erfolgt die Erläuterung für den Fall, dass die Ätzkammern 11a bis 11c einer Plasmareinigung unter Verwendung dreier Hilfswafer 30 unter den in der Kassette 1c untergebrachten Hilfswafer 30 (in diesem Fall sind 25 Hilfswafer gespeichert) einer Plasmareinigung unterzogen werden.
  • Durch den Förderer 13 werden Hilfswafer 30, die in der Kassette 1c gespeichert sind und noch nicht genutzt wurden oder genutzt werden können, da die Anzahl der Verwendungen zur Plasmareinigung unter einer vorbestimmten Anzahl liegt, herausgezogen. Dabei können an jeder beliebigen Position in der Kassette 1c gespeicherte Hilfswafer 30 verwendet werden, jedoch sind in diesem Fall die Positionsnummern der Hilfswafer in der Kassette und ihre Verwendungsanzahlen in der Steuerung 19 gespeichert, und demgemäß werden vorzugsweise Hilfswafer herausgezogen, die kleinere Verwendungsanzahlen zeigen. Dann wird ein Hilfswafer 30 durch den Förderer 13 durch das Schleusenventil 12a auf dieselbe Weise wie bei der Übertragung beim Ätzen von Wafern 20 in die Ladeschleusenkammer 5 geladen, die auf der Seite entgegengesetzt zur Kassette 1a liegt. Nachdem das Schleusenventil 12a geschlossen wurde, wird die Ladeschleusenkammer 5 durch die Abpumpvorrichtung 3 bis auf einen vorbestimmten Druck evakuiert, und dann werden die Schleusenventile 12b und 15a geöffnet. Der Hilfswafer 30 wird durch den Förderer 14 von der Ladeschleusenkammer 5 durch die Übertragungskammer 16 zur Ätzkammer 11a transportiert, und er wird auf dem Probentisch 8a platziert. Nachdem das Schleusenventil 15a geschlossen wurde, wird in der Ätzkammer 11a, in der der Hilfswafer 30 angeordnet ist, eine Plasmareinigung unter vorbestimmten Bedingungen ausgeführt.
  • Inzwischen werden die Schleusenventile 12a, 12b geschlossen und der Druck in der Ladeschleusenkammer 5 wird durch die Gaseinlassvorrichtung 5 auf den. Atmosphärendruck zurückgebracht. Als Nächstes wird das Schleusenventil 12a geöffnet und der zweite Hilfswafer 30 wird durch den Förderer 13 auf dieselbe Weise wie der erste Hilfswafer 30 in die Ladeschleusenkammer 5 geladen, und durch die Abpumpvorrichtung 3 wird erneut ein Abpumpen bis auf einen vorbestimmten Druck nach dem Schließen des Schleusenventils 12a ausgeführt. Danach werden die Schleusenventile 12b und 15b geöffnet, und der zweite Hilfswafer 30 wird von der Ladeschleusenkammer 5 mittels des Förderers 13 durch die Übertragungskammer 16 zur Ätzkammer 11b transportiert. Eine Plasmareinigung startet, nachdem das Schleusenventil 15b geschlossen wurde.
  • Inzwischen der dritte Hilfswafer 30 auf dieselbe Weise wie der zweite Hilfswafer 30, in die Ätzkammer 11c transportiert und es wird eine Plasmareinigung ausgeführt.
  • Nachdem die Plasmareinigung in der Ätzkammer 11a, in der der erste Hilfswafer 30 platziert ist, abgeschlossen ist, werden die Schleusenventile 15a und 12c geöffnet. Der benutzte Hilfswafer 30 wird von der Ätzkammer 11a mittels des Förderers 14 zur Entladeschleusenkammer 6 transportiert. Dann wird das Schleusenventil 12c geschlossen. Nachdem der Druck in der Entladeschleusenkammer 6 durch die Gaseinlassvorrichtung 4 auf den Atmosphärendruck zurückgebracht wurde, wird das Schleusenventil 12d geöffnet. Der benutzte Hilfswafer 30, der in die Entladeschleusenkammer 6 transportiert wurde, wird mittels des Förderers 13 durch das Schleusenventil 12d an die Luft entnommen, und er wird an seine Ursprungsposition in der Kassette 1c zurückgebracht, an der er zu Beginn gespeichert war.
  • Wenn die Plasmareinigung der Ätzkammern 11b und 11c abgeschlossen ist, werden der zweite und der dritte Hilfswafer 30 an ihre Ursprungspositionen in der Kassette 1c zurückgebracht.
  • Auf diese Weise werden die benutzten Hilfswafer 30 an ihre Ursprungspositionen in der Kassette 1c zurückgebracht, und die Hilfswafer 30 sind immer in der Kassette 1c gelagert. Wenn alle Hilfswafer 30 in der Kassette 1c zur Plasmareinigung verwendet wurden oder wenn die Gebrauchsanzahl der Wafer 30 nach wiederholtem Gebrauch vorbestimmte Werte erreichen, werden die Hilfswafer 30 insgesamt mit der Kassette 1c ersetzt. Der Zeitpunkt für dieses Ersetzen der Kassette wird durch die Steuerung 19 verwaltet, und das Ersetzen wird der Hoststeuervorrichtung zum Steuern des Linientransportroboters oder an die Bedienperson mitgeteilt.
  • Obwohl die obige Erläuterung den Fall betrifft, dass die Ätzkammern 11a bis 11c unter Verwendung dreier Hilfswafer 30 unter den Hilfswafern 30 in der Kassette 1c kontinuierlich eine Plasmareinigung erfahren, können auch andere Bearbeitungsverfahren verwendet werden.
  • Z. B. werden die Ätzkammern 11a bis 11c sequenziell unter Verwendung eines Hilfswafers 30 einer Plasmareinigung unterzogen. Im Fall einer derartigen Plasmareinigung können unbearbeitete Wafer 20 in anderen Ätzkammer als derjenigen geätzt werden, die einer Plasmareinigung unterzogen wird, und so kann die Plasmareinigung ausgeführt werden, ohne dass das Ätzen unterbrochen wird.
  • Wenn die Bearbeitungskammern verschieden sind, wenn sie z. B. eine Ätzkammer, eine Nachbearbeitungskammer und eine Filmbildungskammer sind, und wenn Wafer sequenziell bearbeitet werden, während sie durch jede dieser Bearbeitungskammern laufen, kann jede der Bearbeitungskammern dadurch geeignet einer Plasmareinigung unterzogen werden, dass Hilfswafer 30 während der Bearbeitung der in der Kassette 1a oder 2a untergebrachten Wafer 20, die sequenziell herausgezogen und zugeführt werden, zugeführt werden, was dadurch erfolgt, dass lediglich die Hilfswafer 30 durch die Bearbeitungskammern geführt werden, für die keine Plasmareinigung erforderlich ist, wobei eine Plasmareinigung nur dann ausgeführt wird, wenn die Hilfswafer 30 diejenigen Bearbeitungskammern erreichen, die eine Plasmareinigung benötigen.
  • Gemäß dem oben beschriebenen Verfahren sind die die Hilfswafer speichernde Kassette und die die zu bearbeitenden Wafer speichernden Kassetten gemeinsam an Luft angeordnet, die Hilfswafer werden durch denselben Förderer wie den zum Transportieren der Wafer von der Kassette in die Vorrichtung geladen, wenn eine Reinigung ausgeführt wird, und die verwendeten Hilfswafer werden an ihre Ursprungspositionen in der Kassette zurückgebracht. Auf diese Weise muss kein Mechanismus zum Ausführen ausschließlich der Plasmareinigung vorhanden sein, und die Konstruktion der Vorrichtung kann vereinfacht sein. Es ist nicht erforderlich, die Plasmareinigung als spezielle Bearbeitungssequenz zu handhaben, sondern die Plasmareinigung kann in eine normale Ätzbearbeitung eingebaut werden und effizient in einer Reihe von Vorgängen ausgeführt werden.
  • Die zur Plasmareinigung verwendeten Hilfswafer werden an ihre Ursprungspositionen in der in der Luft platzierten Kassette zurückgebracht. Demgemäß existieren die gebrauchten Hilfswafer und die Wafer vor und nach der Bearbeitung nicht gemischt in der Vakuumkammer, so dass, abweichend von herkömmlichen Vorrichtungen, keine Verunreinigung von Wafern durch Staub und Restgas erfolgt.
  • Die gebrauchten Hilfswafer werden an ihre Ursprungspositionen in der Kassette zurückgebracht, und es wird die Anzahl ihres Gebrauchs verwaltet. Demgemäß ist es möglich, eine Verwechslung benutzter Hilfswafer mit unbenutzten Hilfswafern sowie eine Verwechslung von Hilfswafern mit kleinen Nutzungszahlen mit solchen mit großen Nutzungszahlen zu vermeiden. Aus diesen Gründen können die Hilfswafer effektiv ohne jedes Problem genutzt werden, wenn eine Plasmareinigung ausgeführt wird.
  • Ferner kann die Vorrichtung über mehrere Bearbeitungskammern verfügen, und sie kann Wafer und Hilfswafer durch denselben Förderer transportieren. Da eine Plasmareinigung durch Verwalten des Reinigungszeitpunkts jeder Bearbeitungskammer durch die Steuerung ausgeführt werden kann, kann der Reinigungszyklus wahlfrei eingestellt werden, ein Trockenreinigen kann ohne Unterbrechen des Bearbeitungsablaufs ausgeführt werden, die Bearbeitung kann effizient erfolgen und die Produktivität kann verbessert werden.
  • Wie oben beschrieben, existieren Effekte dahingehend, dass der Aufbau der Vorrichtung einfach ist, die zu bearbeitenden Substrate frei von Verunrei nigung sind und die Herstellausbeute hoch ist.

Claims (4)

  1. Verfahren zur Vakuum-Bearbeitung mehrerer zu bearbeitender Substrate (20) in einer Vakuum-Bearbeitungsvorrichtung, mit folgenden Schritten: (i) Bereitstellen einer ersten und einer zweiten Kassette (1a, 1b), die jeweils mehrere der genannten Substrate enthalten, in einem Luftbereich der Vorrichtung, (ii) Übertragen der zu bearbeitenden Substrate zwischen den Kassetten (1a, 1b) und einer ersten und einer zweiten Ladeschleusenkammer (5, 6) der Vorrichtung mittels einer luftseitigen Fördereinrichtung (13) der Vorrichtung, die eingerichtet ist, alle Kassetten und beide Ladeschleusenkammern zu erreichen, (iii) Übertragen der zu bearbeitenden Substrate mittels einer vakuumseitigen Fördereinrichtung (14) der Vorrichtung (a) zwischen den Ladeschleusenkammern (5, 6) und Vakuumbearbeitungskammern (11a, 11b, 11c) der Vorrichtung und (b) zwischen den Vakuumbearbeitungskammern (11a, 11b, 11c), wobei die vakuumseitige Fördereinrichtung (14) in einer Vakuumübertragungskammer (16) angeordnet ist, die direkt mit den Ladeschleusenkammern und den Vakuumbearbeitungskammern verbunden ist, wobei die Ladeschleusenkammern (5, 6) direkt zwischen die Vakuumübertragungskammer (16) und den Luftbereich geschaltet sind und die Kassetten (1a, 1b) vor den Ladeschleusenkammern angeordnet werden, (iv) Bearbeiten der zu bearbeitenden Substrate im Vakuum in den Vakuumbearbeitungskammern (11a, 11b, 11c), gekennzeichnet durch (v) Reinigen der Vakuumbearbeitungskammern, um anhaftendes Material, das von der Bearbeitung der Substrate stammt, zu entfernen, wobei das Reinigen folgendes umfaßt: (v) (a) vor und nach dem Reinigen der Vakuumbearbeitungskammern (11a, 11b, 11c) Übertragen von Hilfssubstraten (30) zwischen einem Hilfssubstratspeicher (2c) in dem Luftbereich und den Vakuumbearbeitungskammern mittels der luftseitigen Fördereinrichtung (13), der Ladeschleusenkammern (5, 6) und der vakuumseitigen Fördereinrichtung (14), und (v) (b) trockenes Reinigen der Vakuumbearbeitungskammern (11a, 11b, 11c) mit den darin befindlichen Hilfssubstraten, wobei alle zu bearbeitenden Substrate vom Luftbereich zur Vakuumübertragungskammer (16) durch eine erste der Ladeschleusenkammern und von der Vakuumübertragungskammer zum Luftbereich durch eine zweite der Ladeschleusenkammern übertragen werden.
  2. Verfahren nach Anspruch 1, wobei die zu bearbeitenden Substrate von der luftseitigen Fördereinrichtung (13) und der vakuumseitigen Fördereinrichtung (14) einzeln übertragen werden.
  3. Verfahren nach Anspruch 1 oder 2 mit einem Schritt zum Bestimmen des Zeitpunkts für die Reinigung der Vakuumbearbeitungskammern (11a, 11b, 11c) aufgrund (a) der Intensität von die Vakuumbearbeitungskammern durchtretendem Plasmalicht oder (b) der Anzahl in den Vakuumbearbeitungskammern bearbeiteter Substrate.
  4. Verfahren nach einem der Ansprüche 1 bis 3, wobei in einer der Vakummbearbeitungskammern eine trockene Reinigung durchgeführt wird, während in mindestens einer anderen der Vakuumbearbeitungskammern eine Bearbeitung des zu bearbeitenden Substrats ausgeführt wird.
DE69133254T 1990-08-29 1991-08-19 Arbeitsverfahren für Vakuumbehandlungsvorrichtung Expired - Fee Related DE69133254T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2225321A JP2644912B2 (ja) 1990-08-29 1990-08-29 真空処理装置及びその運転方法
JP22532190 1990-08-29

Publications (2)

Publication Number Publication Date
DE69133254D1 DE69133254D1 (de) 2003-06-12
DE69133254T2 true DE69133254T2 (de) 2004-03-11

Family

ID=16827524

Family Applications (5)

Application Number Title Priority Date Filing Date
DE69133535T Expired - Lifetime DE69133535T2 (de) 1990-08-29 1991-08-19 Arbeitsverfahren für Vakuumbehandlungsvorrichtung
DE69133564T Expired - Lifetime DE69133564T2 (de) 1990-08-29 1991-08-19 Vakuumbehandlungsvorrichtung und Arbeitsverfahren dafür
DE69133254T Expired - Fee Related DE69133254T2 (de) 1990-08-29 1991-08-19 Arbeitsverfahren für Vakuumbehandlungsvorrichtung
DE69133567T Expired - Lifetime DE69133567T2 (de) 1990-08-29 1991-08-19 Vakuumbehandlungsvorrichtung und Arbeitsverfahren dafür
DE69128861T Expired - Fee Related DE69128861T3 (de) 1990-08-29 1991-08-19 Vakuumsbehandlungsvorrichtung und Reinigungsverfahren dafür

Family Applications Before (2)

Application Number Title Priority Date Filing Date
DE69133535T Expired - Lifetime DE69133535T2 (de) 1990-08-29 1991-08-19 Arbeitsverfahren für Vakuumbehandlungsvorrichtung
DE69133564T Expired - Lifetime DE69133564T2 (de) 1990-08-29 1991-08-19 Vakuumbehandlungsvorrichtung und Arbeitsverfahren dafür

Family Applications After (2)

Application Number Title Priority Date Filing Date
DE69133567T Expired - Lifetime DE69133567T2 (de) 1990-08-29 1991-08-19 Vakuumbehandlungsvorrichtung und Arbeitsverfahren dafür
DE69128861T Expired - Fee Related DE69128861T3 (de) 1990-08-29 1991-08-19 Vakuumsbehandlungsvorrichtung und Reinigungsverfahren dafür

Country Status (5)

Country Link
US (47) US5314509A (de)
EP (5) EP0856875B1 (de)
JP (1) JP2644912B2 (de)
KR (1) KR0184682B1 (de)
DE (5) DE69133535T2 (de)

Families Citing this family (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
US5685684A (en) * 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system
US5630434A (en) * 1991-11-05 1997-05-20 Gray; Donald J. Filter regeneration system
US5240507A (en) * 1991-11-05 1993-08-31 Gray Donald J Cleaning method and system
US5702535A (en) * 1991-11-05 1997-12-30 Gebhard-Gray Associates Dry cleaning and degreasing system
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
US5746008A (en) * 1992-07-29 1998-05-05 Shinko Electric Co., Ltd. Electronic substrate processing system using portable closed containers
DE69333576T2 (de) * 1992-08-14 2005-08-25 Hamamatsu Photonics K.K., Hamamatsu Verfahren und Vorrichtung zur Erzeugung von gasförmigen Ionen unter Verwendung von Röntgenstrahlen
JP3239320B2 (ja) * 1992-12-14 2001-12-17 株式会社荏原製作所 基板搬送システム
JPH0712458A (ja) * 1993-06-23 1995-01-17 Murata Mfg Co Ltd 部品乾燥機
US6090706A (en) * 1993-06-28 2000-07-18 Applied Materials, Inc. Preconditioning process for treating deposition chamber prior to deposition of tungsten silicide coating on active substrates therein
JP3158264B2 (ja) * 1993-08-11 2001-04-23 東京エレクトロン株式会社 ガス処理装置
US5565034A (en) * 1993-10-29 1996-10-15 Tokyo Electron Limited Apparatus for processing substrates having a film formed on a surface of the substrate
JP2900788B2 (ja) * 1994-03-22 1999-06-02 信越半導体株式会社 枚葉式ウェーハ処理装置
US6712577B2 (en) * 1994-04-28 2004-03-30 Semitool, Inc. Automated semiconductor processing system
JPH0817894A (ja) * 1994-06-27 1996-01-19 Dainippon Screen Mfg Co Ltd 基板表面処理装置
FR2733036B1 (fr) * 1995-04-14 1997-07-04 Unir Dispositif de protection anti-contamination rapprochee
US6363164B1 (en) 1996-05-13 2002-03-26 Cummins-Allison Corp. Automated document processing system using full image scanning
US6283130B1 (en) 1995-05-30 2001-09-04 Anelva Corporation Plasma cleaning method and placement area protector used in the method
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US6672819B1 (en) 1995-07-19 2004-01-06 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
KR100310249B1 (ko) * 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
US6481956B1 (en) * 1995-10-27 2002-11-19 Brooks Automation Inc. Method of transferring substrates with two different substrate holding end effectors
WO1997034742A1 (fr) * 1996-03-18 1997-09-25 Komatsu Ltd. Dispositif de commande d'un systeme de transport de pieces
US5779799A (en) * 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
JPH1022358A (ja) * 1996-06-28 1998-01-23 Dainippon Screen Mfg Co Ltd 基板処理装置
US5713138A (en) * 1996-08-23 1998-02-03 Research, Incorporated Coating dryer system
US6714832B1 (en) 1996-09-11 2004-03-30 Hitachi, Ltd. Operating method of vacuum processing system and vacuum processing system
KR100234060B1 (ko) * 1996-12-04 1999-12-15 구자홍 음극선관용 스프링 구조
US6009890A (en) * 1997-01-21 2000-01-04 Tokyo Electron Limited Substrate transporting and processing system
IT1290911B1 (it) * 1997-02-03 1998-12-14 Siv Soc Italiana Vetro Procedimento e dispositivo per l'alimentazione di impianti da vuoto atti al deposito di rivestimenti superficiali su substrati.
US6138695A (en) * 1997-03-07 2000-10-31 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
US5922136A (en) * 1997-03-28 1999-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Post-CMP cleaner apparatus and method
US6059507A (en) * 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
JP3850952B2 (ja) * 1997-05-15 2006-11-29 東京エレクトロン株式会社 基板搬送装置及び基板搬送方法
JP3850951B2 (ja) * 1997-05-15 2006-11-29 東京エレクトロン株式会社 基板搬送装置及び基板搬送方法
JP3737604B2 (ja) * 1997-06-03 2006-01-18 大日本スクリーン製造株式会社 基板処理装置
US5882413A (en) * 1997-07-11 1999-03-16 Brooks Automation, Inc. Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer
US6139245A (en) * 1997-07-11 2000-10-31 Brooks Automation Inc. Robot arm relocation system
KR19990010200A (ko) * 1997-07-15 1999-02-05 윤종용 감압식 건조 장치를 이용하는 반도체장치 건조방법
US6034000A (en) * 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
US5974689A (en) * 1997-09-23 1999-11-02 Gary W. Farrell Chemical drying and cleaning system
DE19756830A1 (de) * 1997-12-19 1999-07-01 Wacker Chemie Gmbh Vakuumtechnisches Trocknen von Halbleiterbruch
US6026589A (en) * 1998-02-02 2000-02-22 Silicon Valley Group, Thermal Systems Llc Wafer carrier and semiconductor apparatus for processing a semiconductor substrate
KR20010041031A (ko) * 1998-04-02 2001-05-15 오노 시게오 기판처리장치와 그 방법 및 노광장치와 그 방법
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6079927A (en) * 1998-04-22 2000-06-27 Varian Semiconductor Equipment Associates, Inc. Automated wafer buffer for use with wafer processing equipment
US6246473B1 (en) 1998-04-23 2001-06-12 Sandia Corporation Method and apparatus for monitoring plasma processing operations
NL1009171C2 (nl) * 1998-05-14 1999-12-10 Asm Int Waferrek voorzien van een gasverdeelinrichting.
KR20010043705A (ko) * 1998-05-18 2001-05-25 조셉 제이. 스위니 워크 스테이션간에 웨이퍼당 이송을 위한 웨이퍼 버퍼스테이션과 방법
US6151796A (en) * 1998-06-04 2000-11-28 Kem-Tec Japan Co., Ltd. Substrate drying device, drying method and substrate dried by the same
US6217272B1 (en) * 1998-10-01 2001-04-17 Applied Science And Technology, Inc. In-line sputter deposition system
JP2000306978A (ja) * 1999-02-15 2000-11-02 Kokusai Electric Co Ltd 基板処理装置、基板搬送装置、および基板処理方法
JP3959200B2 (ja) * 1999-03-19 2007-08-15 株式会社東芝 半導体装置の製造装置
US6251195B1 (en) 1999-07-12 2001-06-26 Fsi International, Inc. Method for transferring a microelectronic device to and from a processing chamber
DE60025891T2 (de) * 1999-08-24 2006-07-20 Nishikawa Rubber Co., Ltd. Halterlose Dichtung
JP2001093791A (ja) * 1999-09-20 2001-04-06 Hitachi Ltd 真空処理装置の運転方法及びウエハの処理方法
JP2001127044A (ja) 1999-10-29 2001-05-11 Hitachi Ltd 真空処理装置および真空処理システム
US6364592B1 (en) * 1999-12-01 2002-04-02 Brooks Automation, Inc. Small footprint carrier front end loader
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
JP2001308003A (ja) * 2000-02-15 2001-11-02 Nikon Corp 露光方法及び装置、並びにデバイス製造方法
US6698991B1 (en) * 2000-03-02 2004-03-02 Applied Materials, Inc. Fabrication system with extensible equipment sets
JP2002043229A (ja) * 2000-07-25 2002-02-08 Hitachi Kokusai Electric Inc 半導体製造装置
US6530733B2 (en) 2000-07-27 2003-03-11 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6821912B2 (en) 2000-07-27 2004-11-23 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6682288B2 (en) 2000-07-27 2004-01-27 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6745783B2 (en) * 2000-08-01 2004-06-08 Tokyo Electron Limited Cleaning processing method and cleaning processing apparatus
KR20030032034A (ko) * 2000-09-15 2003-04-23 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 두 개의 이중 슬롯 로드록
AU2002230793A1 (en) * 2000-10-31 2002-05-15 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
KR100408604B1 (ko) * 2000-12-07 2003-12-06 주식회사제4기한국 대기압 플라즈마를 이용한 정밀세정과 표면개질방법 및 그장치
GB2370411B (en) * 2000-12-20 2003-08-13 Hanmi Co Ltd Handler system for cutting a semiconductor package device
US6852242B2 (en) * 2001-02-23 2005-02-08 Zhi-Wen Sun Cleaning of multicompositional etchant residues
US6635144B2 (en) 2001-04-11 2003-10-21 Applied Materials, Inc Apparatus and method for detecting an end point of chamber cleaning in semiconductor equipment
JP4731755B2 (ja) * 2001-07-26 2011-07-27 東京エレクトロン株式会社 移載装置の制御方法および熱処理方法並びに熱処理装置
US6817823B2 (en) * 2001-09-11 2004-11-16 Marian Corporation Method, device and system for semiconductor wafer transfer
US20030053892A1 (en) * 2001-09-17 2003-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Loadport equipped with automatic height adjustment means and method for operating
US7316966B2 (en) 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
US7260704B2 (en) * 2001-11-30 2007-08-21 Intel Corporation Method and apparatus for reinforcing a prefetch chain
JP4025069B2 (ja) * 2001-12-28 2007-12-19 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
US6899507B2 (en) * 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
JP3887570B2 (ja) * 2002-02-18 2007-02-28 協和化工株式会社 高速乾燥装置
JP3862596B2 (ja) * 2002-05-01 2006-12-27 東京エレクトロン株式会社 基板処理方法
WO2003100836A1 (en) * 2002-05-21 2003-12-04 Asm America, Inc. Reduced cross-contamination between chambers in a semiconductor processing tool
JP2004071611A (ja) * 2002-08-01 2004-03-04 Matsushita Electric Ind Co Ltd 電子部品装着装置および電子部品装着方法
JP4219799B2 (ja) * 2003-02-26 2009-02-04 大日本スクリーン製造株式会社 基板処理装置
JP3674864B2 (ja) * 2003-03-25 2005-07-27 忠素 玉井 真空処理装置
US20060156627A1 (en) * 2003-06-27 2006-07-20 Ultracell Corporation Fuel processor for use with portable fuel cells
KR100500169B1 (ko) * 2003-07-02 2005-07-07 주식회사 디엠에스 도킹형 기판 이송 및 처리 시스템과, 그를 이용한 이송 및 처리 방법
US7313262B2 (en) * 2003-08-06 2007-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for visualization of process chamber conditions
US7276210B2 (en) * 2003-08-20 2007-10-02 Petroleo Brasileiro S.A. -Petrobras Stripping apparatus and process
JP2005101584A (ja) * 2003-08-28 2005-04-14 Suss Microtec Test Systems Gmbh 基板を検査する装置
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
JP2005167083A (ja) * 2003-12-04 2005-06-23 Daifuku Co Ltd ガラス基板用の搬送設備
JP4435610B2 (ja) * 2004-03-23 2010-03-24 パナソニック株式会社 ダミー基板
JP4128973B2 (ja) * 2004-03-30 2008-07-30 株式会社日立ハイテクノロジーズ 真空処理装置及び真空処理方法
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
DE502004006497D1 (de) * 2004-07-15 2008-04-24 Hermle Berthold Maschf Ag Bearbeitungsmaschine mit Werkstückwechsler
EP1621284A1 (de) * 2004-07-15 2006-02-01 Maschinenfabrik Berthold Hermle Aktiengesellschaft Werkstückwechsler für Bearbeitungsmaschinen
US8000837B2 (en) 2004-10-05 2011-08-16 J&L Group International, Llc Programmable load forming system, components thereof, and methods of use
US7771563B2 (en) 2004-11-18 2010-08-10 Sumitomo Precision Products Co., Ltd. Systems and methods for achieving isothermal batch processing of substrates used for the production of micro-electro-mechanical-systems
JP3960332B2 (ja) * 2004-11-29 2007-08-15 セイコーエプソン株式会社 減圧乾燥装置
JP2006179528A (ja) * 2004-12-20 2006-07-06 Tokyo Electron Ltd 基板処理装置の検査方法及び検査プログラム
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
KR101255718B1 (ko) * 2005-11-07 2013-04-17 주성엔지니어링(주) 기판처리시스템 및 이를 이용한 기판처리방법
US8125610B2 (en) 2005-12-02 2012-02-28 ASML Metherlands B.V. Method for preventing or reducing contamination of an immersion type projection apparatus and an immersion type lithographic apparatus
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US20080003377A1 (en) * 2006-06-30 2008-01-03 The Board Of Regents Of The Nevada System Of Higher Ed. On Behalf Of The Unlv Transparent vacuum system
JP2008027937A (ja) * 2006-07-18 2008-02-07 Hitachi High-Technologies Corp 真空処理装置
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US7740437B2 (en) 2006-09-22 2010-06-22 Asm International N.V. Processing system with increased cassette storage capacity
US20080206022A1 (en) * 2007-02-27 2008-08-28 Smith John M Mult-axis robot arms in substrate vacuum processing tool
US20080206036A1 (en) * 2007-02-27 2008-08-28 Smith John M Magnetic media processing tool with storage bays and multi-axis robot arms
US7585142B2 (en) * 2007-03-16 2009-09-08 Asm America, Inc. Substrate handling chamber with movable substrate carrier loading platform
JP5065167B2 (ja) * 2007-09-20 2012-10-31 東京エレクトロン株式会社 基板の処理方法及び基板の処理システム
US8118946B2 (en) 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
EP2313873A1 (de) * 2008-07-11 2011-04-27 MEI, Inc. Automatisiertes system zum umgang mit dokumenten
DE102009018700B4 (de) * 2008-09-01 2020-02-13 Singulus Technologies Ag Beschichtungsanlage und Verfahren zum Beschichten
US8882431B2 (en) * 2008-10-07 2014-11-11 Kawasaki Jukogyo Kabushiki Kaisha Substrate transfer robot and substrate transfer system
US7972961B2 (en) * 2008-10-09 2011-07-05 Asm Japan K.K. Purge step-controlled sequence of processing semiconductor wafers
JP5139253B2 (ja) * 2008-12-18 2013-02-06 東京エレクトロン株式会社 真空処理装置及び真空搬送装置
US8216380B2 (en) 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
JP2011009362A (ja) * 2009-06-24 2011-01-13 Tokyo Electron Ltd インプリントシステム、インプリント方法、プログラム及びコンピュータ記憶媒体
JP5060517B2 (ja) * 2009-06-24 2012-10-31 東京エレクトロン株式会社 インプリントシステム
JP5423227B2 (ja) 2009-08-11 2014-02-19 富士ゼロックス株式会社 画像形成装置及びプログラム
DE112010003863T5 (de) * 2009-09-30 2013-01-03 Cummins Inc. Vorgehensweisen zur Erhöhung der Regenerationsfähigkeit einer Nachbehandlung
EP2534674B1 (de) * 2010-02-09 2016-04-06 Intevac, Inc. Einstellbare lochmaskenanordnung zur verwendung bei der herstellung von solarzellen
US20120288355A1 (en) * 2011-05-11 2012-11-15 Ming-Teng Hsieh Method for storing wafers
WO2012176060A1 (en) 2011-06-23 2012-12-27 Dynamic Micro Systems Semiconductor cleaner systems and methods
US8728587B2 (en) * 2011-06-24 2014-05-20 Varian Semiconductor Equipment Associates, Inc. Closed loop process control of plasma processed materials
TWI506719B (zh) 2011-11-08 2015-11-01 Intevac Inc 基板處理系統及方法
CN103930984B (zh) * 2011-11-23 2016-09-21 日本电产三协株式会社 工件搬运系统
JP5516610B2 (ja) * 2012-01-19 2014-06-11 株式会社安川電機 ロボット、ロボットハンドおよびロボットハンドの保持位置調整方法
DE102012100929A1 (de) * 2012-02-06 2013-08-08 Roth & Rau Ag Substratbearbeitungsanlage
US20150050105A1 (en) * 2012-04-26 2015-02-19 Applied Materials, Inc. Vapor dryer module with reduced particle generation
TWI570745B (zh) 2012-12-19 2017-02-11 因特瓦克公司 用於電漿離子植入之柵極
WO2014143846A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc Multi-position batch load lock apparatus and systems and methods including same
JP6105436B2 (ja) * 2013-08-09 2017-03-29 東京エレクトロン株式会社 基板処理システム
CN103611703B (zh) * 2013-09-07 2016-03-30 国家电网公司 一种组合式超声波清洗装置的使用方法
CN103611702B (zh) * 2013-09-07 2016-03-30 国家电网公司 一种可拆式超声波清洗装置的使用方法
KR102316440B1 (ko) * 2013-10-18 2021-10-22 브룩스 오토메이션 인코퍼레이티드 공정 장치
CN104752152B (zh) * 2013-12-29 2018-07-06 北京北方华创微电子装备有限公司 一种沟槽刻蚀方法及刻蚀装置
KR101575129B1 (ko) * 2014-01-13 2015-12-08 피에스케이 주식회사 기판 이송 장치 및 방법, 그리고 기판 처리 장치
CN103817470B (zh) * 2014-02-13 2016-08-17 潍柴重机股份有限公司 一种油底壳螺塞支座焊机
WO2018197008A1 (en) * 2017-04-28 2018-11-01 Applied Materials, Inc. Method for cleaning a vacuum system used in the manufacture of oled devices, method for vacuum deposition on a substrate to manufacture oled devices, and apparatus for vacuum deposition on a substrate to manufacture oled devices
CN107102536B (zh) * 2017-05-12 2020-08-21 芜湖乐佳自动化机械有限公司 一种变电柜防尘自动控制系统
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US11121014B2 (en) 2018-06-05 2021-09-14 Asm Ip Holding B.V. Dummy wafer storage cassette
US11183409B2 (en) * 2018-08-28 2021-11-23 Taiwan Semiconductor Manufacturing Company Ltd. System for a semiconductor fabrication facility and method for operating the same
CN113035749A (zh) * 2021-03-02 2021-06-25 北京北方华创微电子装备有限公司 半导体工艺腔室的清洗控制方法及半导体工艺腔室

Family Cites Families (156)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US536897A (en) * 1895-04-02 Reversing-gear for steam-engines
US904153A (en) * 1907-09-27 1908-11-17 Ludwig Scheib Sr Central-buffer claw-coupling.
US3652444A (en) * 1969-10-24 1972-03-28 Ibm Continuous vacuum process apparatus
US3981791A (en) * 1975-03-10 1976-09-21 Signetics Corporation Vacuum sputtering apparatus
US4138306A (en) * 1976-08-31 1979-02-06 Tokyo Shibaura Electric Co., Ltd. Apparatus for the treatment of semiconductors
US4226897A (en) * 1977-12-05 1980-10-07 Plasma Physics Corporation Method of forming semiconducting materials and barriers
US4313815A (en) * 1978-04-07 1982-02-02 Varian Associates, Inc. Sputter-coating system, and vaccuum valve, transport, and sputter source array arrangements therefor
DE2940064A1 (de) * 1979-10-03 1981-04-16 Leybold-Heraeus GmbH, 5000 Köln Vakuumaufdampfanlage mir einer ventilkammer, einer bedampfungskammer und einer verdampferkammer
JPS5681533U (de) * 1979-11-27 1981-07-01
US4311427A (en) * 1979-12-21 1982-01-19 Varian Associates, Inc. Wafer transfer system
US4313783A (en) * 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
FR2486006A1 (fr) 1980-07-07 1982-01-08 Jeumont Schneider Boucle induisant un courant dans les deux rails d'une voie ferree
JPS5729577A (en) * 1980-07-30 1982-02-17 Anelva Corp Automatic continuous sputtering apparatus
JPS5892921A (ja) 1981-11-30 1983-06-02 Fujitsu Ltd 赤外線検知装置の組立方法
JPS5893321A (ja) 1981-11-30 1983-06-03 Semiconductor Energy Lab Co Ltd 半導体装置製造装置
JPS5895636A (ja) 1981-11-30 1983-06-07 イビデン株式会社 耐熱弾性シ−ト状物とその製造方法
US4457661A (en) * 1981-12-07 1984-07-03 Applied Materials, Inc. Wafer loading apparatus
JPS58108641A (ja) 1981-12-21 1983-06-28 Hitachi Ltd ウエハ自動交換装置
US4449885A (en) * 1982-05-24 1984-05-22 Varian Associates, Inc. Wafer transfer system
US4634331A (en) * 1982-05-24 1987-01-06 Varian Associates, Inc. Wafer transfer system
JPS58220917A (ja) 1982-06-18 1983-12-22 ジヨ−ジ・ブラウン 液体冷却装置に使用するサ−モスタツト
JPS5994435A (ja) 1982-11-20 1984-05-31 Tokuda Seisakusho Ltd 真空処理装置
US4576698A (en) * 1983-06-30 1986-03-18 International Business Machines Corporation Plasma etch cleaning in low pressure chemical vapor deposition systems
JPS6037129A (ja) * 1983-08-10 1985-02-26 Hitachi Ltd 半導体製造装置
JPS6052575A (ja) 1983-09-01 1985-03-25 Nitto Electric Ind Co Ltd フイルム類の連続真空処理装置
JPS6052574A (ja) 1983-09-02 1985-03-25 Hitachi Ltd 連続スパツタ装置
JPH06105742B2 (ja) * 1983-11-28 1994-12-21 株式会社日立製作所 真空処理方法及び装置
US5259881A (en) * 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
JPS60203265A (ja) * 1984-03-28 1985-10-14 ダイセル化学工業株式会社 抗血液凝固性高分子材料
US4534314A (en) * 1984-05-10 1985-08-13 Varian Associates, Inc. Load lock pumping mechanism
JPS60246635A (ja) * 1984-05-22 1985-12-06 Anelva Corp 自動基板処理装置
JPS61105853A (ja) * 1984-10-30 1986-05-23 Anelva Corp オ−トロ−ダ−
US4562240A (en) 1984-12-20 1985-12-31 Ashland Oil, Inc. Bicyclic amide acetal/polyol/polyisocyanate polymers
DE3681799D1 (de) * 1985-01-22 1991-11-14 Applied Materials Inc Halbleiter-bearbeitungseinrichtung.
JPS61173445A (ja) 1985-01-28 1986-08-05 Tokyo Erekutoron Kk ウエハの真空処理装置
JPS61250185A (ja) * 1985-04-25 1986-11-07 Anelva Corp 真空処理装置のクリ−ニング方法
JPS628801A (ja) 1985-07-06 1987-01-16 Toyo Tire & Rubber Co Ltd 重荷重ラジアルタイヤ
US4649629A (en) * 1985-07-29 1987-03-17 Thomson Components - Mostek Corp. Method of late programming a read only memory
JPS6244571A (ja) * 1985-08-20 1987-02-26 Toshiba Mach Co Ltd イオン注入装置
JPS6250463A (ja) * 1985-08-30 1987-03-05 Hitachi Ltd 連続スパツタ装置
JPS6289881A (ja) * 1985-10-16 1987-04-24 Hitachi Ltd スパツタ装置
EP0245520B1 (de) * 1985-11-21 1992-09-16 Teijin Limited Monoklonaler antikörper gegen glutathion s-transferase und dessen verwendung zur diagnose von krebs
JPS62132321A (ja) 1985-12-04 1987-06-15 Anelva Corp ドライエツチング装置
JPH0613751B2 (ja) * 1986-03-07 1994-02-23 株式会社日立製作所 連続スパッタ装置
JPS62216315A (ja) 1986-03-18 1987-09-22 Toshiba Mach Co Ltd 半導体処理装置
US4909695A (en) * 1986-04-04 1990-03-20 Materials Research Corporation Method and apparatus for handling and processing wafer-like materials
US4915564A (en) * 1986-04-04 1990-04-10 Materials Research Corporation Method and apparatus for handling and processing wafer-like materials
US4705951A (en) * 1986-04-17 1987-11-10 Varian Associates, Inc. Wafer processing system
US6103055A (en) * 1986-04-18 2000-08-15 Applied Materials, Inc. System for processing substrates
EP0246453A3 (de) * 1986-04-18 1989-09-06 General Signal Corporation Kontaminierungsfreie Plasma-Ätzvorrichtung mit mehreren Behandlungsstellen
US4836733A (en) * 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
ATE84276T1 (de) 1986-04-28 1993-01-15 Varian Associates Modulare foerder- und beabeitungsanlage fuer halbleiterwafer.
US4715764A (en) * 1986-04-28 1987-12-29 Varian Associates, Inc. Gate valve for wafer processing system
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4670126A (en) * 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US4924890A (en) * 1986-05-16 1990-05-15 Eastman Kodak Company Method and apparatus for cleaning semiconductor wafers
WO1987007309A1 (en) * 1986-05-19 1987-12-03 Novellus Systems, Inc. Deposition apparatus with automatic cleaning means and method of use
US4866507A (en) 1986-05-19 1989-09-12 International Business Machines Corporation Module for packaging semiconductor integrated circuit chips on a base substrate
JPS636582A (ja) * 1986-06-26 1988-01-12 Mita Ind Co Ltd 現像装置
JPS6357734A (ja) 1986-08-28 1988-03-12 Mitsubishi Heavy Ind Ltd 繊維強化金属およびその製造法
JPS63131123A (ja) 1986-11-20 1988-06-03 Fujitsu Ltd 光学式読取装置
US4904153A (en) * 1986-11-20 1990-02-27 Shimizu Construction Co., Ltd. Transporting robot for semiconductor wafers
JPS63133521A (ja) 1986-11-25 1988-06-06 Kokusai Electric Co Ltd 半導体基板の熱処理装置
JPH0660397B2 (ja) * 1986-12-15 1994-08-10 日本真空技術株式会社 真空槽内における基板交換装置
US5215619A (en) * 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
JPS63127125U (de) * 1987-02-12 1988-08-19
JPS63244619A (ja) * 1987-03-30 1988-10-12 Sumitomo Metal Ind Ltd プラズマ装置
US5169407A (en) * 1987-03-31 1992-12-08 Kabushiki Kaisha Toshiba Method of determining end of cleaning of semiconductor manufacturing apparatus
JPH0691952B2 (ja) * 1987-04-17 1994-11-16 株式会社日立製作所 真空装置
JPS646582A (en) * 1987-06-30 1989-01-11 Tokyo Gas Co Ltd Shutoff valve unit with nozzle
JP2513588B2 (ja) * 1987-07-01 1996-07-03 本田技研工業株式会社 内燃エンジンの燃料供給制御装置
JPS6411320A (en) * 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
US4835453A (en) * 1987-07-07 1989-05-30 U.S. Philips Corp. Battery-powered device
JPH0636582Y2 (ja) 1987-07-10 1994-09-21 株式会社日立製作所 エッチング装置
US4836905A (en) * 1987-07-16 1989-06-06 Texas Instruments Incorporated Processing apparatus
JPS6431970A (en) * 1987-07-28 1989-02-02 Tokuda Seisakusho Vacuum treatment equipment
JPS6431971A (en) * 1987-07-28 1989-02-02 Tokuda Seisakusho Vacuum treatment device
JPS6436042A (en) 1987-07-31 1989-02-07 Kokusai Electric Co Ltd Method and device for wafer handling in semiconductor manufacturing apparatus
DE3827343A1 (de) * 1988-08-12 1990-02-15 Leybold Ag Vorrichtung nach dem karussel-prinzip zum beschichten von substraten
JPH0217636Y2 (de) 1987-08-27 1990-05-17
US4851101A (en) * 1987-09-18 1989-07-25 Varian Associates, Inc. Sputter module for modular wafer processing machine
US4903937A (en) * 1987-09-24 1990-02-27 Varian Associates, Inc. Isolation valve for vacuum and non-vacuum application
JP2868767B2 (ja) 1987-11-04 1999-03-10 富士電機株式会社 半導体ウエハ処理装置
JPH0652721B2 (ja) * 1987-11-20 1994-07-06 富士電機株式会社 半導体ウエハ処理装置
JP2610918B2 (ja) 1987-12-25 1997-05-14 東京エレクトロン株式会社 被処理体の処理方法及び処理装置
US5225036A (en) * 1988-03-28 1993-07-06 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
JP2628335B2 (ja) * 1988-03-31 1997-07-09 テル・バリアン株式会社 マルチチャンバ型cvd装置
JPH01258438A (ja) 1988-04-08 1989-10-16 Fujitsu Ltd 物品情報管理方式
JPH0610357B2 (ja) * 1988-05-25 1994-02-09 株式会社日立製作所 プラズマ処理装置
JP2615860B2 (ja) * 1988-06-09 1997-06-04 富士電機株式会社 半導体ウエハ処理装置
JPH01316957A (ja) 1988-06-15 1989-12-21 Nec Corp 枚葉式処理装置
JPH07118208B2 (ja) 1988-06-28 1995-12-18 株式会社小糸製作所 自動車用前照灯
US4857160A (en) * 1988-07-25 1989-08-15 Oerlikon-Buhrle U.S.A. Inc. High vacuum processing system and method
US4914556A (en) 1988-07-26 1990-04-03 Morpheus Lights, Inc. Spectral filter module
JPH0226229U (de) * 1988-08-05 1990-02-21
JPH0744315Y2 (ja) * 1988-08-16 1995-10-11 シンガー日鋼株式会社 ミシンの後側ベルトガード
JPH0252449A (ja) 1988-08-16 1990-02-22 Teru Barian Kk 基板のロード・アンロード方法
JPH0265252A (ja) * 1988-08-31 1990-03-05 Nec Kyushu Ltd 半導体製造装置
JP2545591B2 (ja) * 1988-09-30 1996-10-23 国際電気株式会社 ウェーハ処理装置
JP2690971B2 (ja) * 1988-10-14 1997-12-17 東京エレクトロン株式会社 処理方法
US5536128A (en) 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
US4923584A (en) 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
EP0367423A3 (de) * 1988-10-31 1991-01-09 Eaton Corporation Vakuumablagerungsvorrichtung
JPH02224242A (ja) 1988-11-21 1990-09-06 Oki Electric Ind Co Ltd 半導体基板処理装置
JPH02178946A (ja) 1988-12-29 1990-07-11 Tokyo Electron Ltd 半導体製造装置
JPH07105357B2 (ja) * 1989-01-28 1995-11-13 国際電気株式会社 縦型cvd拡散装置に於けるウェーハ移載方法及び装置
DE3903607A1 (de) * 1989-02-08 1990-08-09 Leybold Ag Vorrichtung zum reinigen, pruefen und einordnen von werkstuecken
US5014217A (en) * 1989-02-09 1991-05-07 S C Technology, Inc. Apparatus and method for automatically identifying chemical species within a plasma reactor environment
JP2853143B2 (ja) 1989-02-25 1999-02-03 ソニー株式会社 半導体装置の製造方法
JP2528962B2 (ja) * 1989-02-27 1996-08-28 株式会社日立製作所 試料処理方法及び装置
JPH0793348B2 (ja) 1989-05-19 1995-10-09 アプライド マテリアルズ インコーポレーテッド 多重チャンバ真空式処理装置及び多重チャンバ真空式半導体ウェーハ処理装置
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
EP0416774B1 (de) * 1989-08-28 2000-11-15 Hitachi, Ltd. Verfahren zur Behandlung eines Aluminium enthaltenden Musters
JP2862956B2 (ja) * 1990-05-28 1999-03-03 大日本スクリーン製造株式会社 基板搬送装置
JPH0482841A (ja) 1990-07-23 1992-03-16 Arakawa Chem Ind Co Ltd 低分子量芳香族炭化水素化合物の水素化方法
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
US5436848A (en) 1990-09-03 1995-07-25 Dainippon Screen Mfg. Co., Ltd. Method of and device for transporting semiconductor substrate in semiconductor processing system
JP2595132B2 (ja) * 1990-11-26 1997-03-26 株式会社日立製作所 真空処理装置
US5685684A (en) * 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
JPH05275511A (ja) * 1991-03-01 1993-10-22 Tokyo Electron Ltd 被処理体の移載システム及び処理装置
JP2579851B2 (ja) 1991-06-21 1997-02-12 太陽化学株式会社 食品用日持ち向上剤
JP2751975B2 (ja) * 1991-12-20 1998-05-18 株式会社日立製作所 半導体処理装置のロードロック室
US5766360A (en) * 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
US5351415A (en) * 1992-05-18 1994-10-04 Convey, Inc. Method and apparatus for maintaining clean articles
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JPH0636582A (ja) * 1992-07-21 1994-02-10 Oki Micro Design Miyazaki:Kk 読み出し回路
JP3139155B2 (ja) * 1992-07-29 2001-02-26 東京エレクトロン株式会社 真空処理装置
US5382541A (en) * 1992-08-26 1995-01-17 Harris Corporation Method for forming recessed oxide isolation containing deep and shallow trenches
CH686445A5 (de) * 1992-10-06 1996-03-29 Balzers Hochvakuum Kammer und Kammerkombination fuer eine Vakuumanlage und Verfahren zum Durchreichen mindestens eines Werkstueckes.
US6022458A (en) * 1992-12-07 2000-02-08 Canon Kabushiki Kaisha Method of production of a semiconductor substrate
KR970011065B1 (ko) * 1992-12-21 1997-07-05 다이닛뽕 스크린 세이조오 가부시키가이샤 기판처리장치와 기판처리장치에 있어서 기판교환장치 및 기판교환방법
US5295777A (en) * 1992-12-23 1994-03-22 Materials Research Corporation Wafer transport module with rotatable and horizontally extendable wafer holder
JP3218488B2 (ja) * 1993-03-16 2001-10-15 東京エレクトロン株式会社 処理装置
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5452166A (en) * 1993-10-01 1995-09-19 Applied Magnetics Corporation Thin film magnetic recording head for minimizing undershoots and a method for manufacturing the same
EP0650017B1 (de) 1993-10-21 1998-04-22 Asea Brown Boveri Ag Rost für eine Feuerungsanlage
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
JP3471916B2 (ja) 1994-09-28 2003-12-02 サッポロホールディングス株式会社 組換えβ−アミラーゼ
US5504347A (en) * 1994-10-17 1996-04-02 Texas Instruments Incorporated Lateral resonant tunneling device having gate electrode aligned with tunneling barriers
TW297919B (de) * 1995-03-06 1997-02-11 Motorola Inc
JP2861885B2 (ja) 1995-09-19 1999-02-24 ヤマハ株式会社 効果付与アダプタ
DE19546826C1 (de) * 1995-12-15 1997-04-03 Fraunhofer Ges Forschung Verfahren und Einrichtung zur Vorbehandlung von Substraten
US5746565A (en) * 1996-01-22 1998-05-05 Integrated Solutions, Inc. Robotic wafer handler
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
JPH11135600A (ja) * 1997-08-25 1999-05-21 Shibaura Mechatronics Corp ロボット装置および処理装置
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US5970908A (en) * 1997-12-13 1999-10-26 Compuvac Systems, Inc. Apparatus and improved polymerization gun for coating objects by vacuum deposit
KR100257903B1 (ko) * 1997-12-30 2000-08-01 윤종용 인시튜 모니터링가능한 플라즈마 식각장치, 그 인시튜 모니터링방법, 플라즈마 식각챔버내의 잔류물 제거를 위한 인시튜 세정방법
US6059567A (en) * 1998-02-10 2000-05-09 Silicon Valley Group, Inc. Semiconductor thermal processor with recirculating heater exhaust cooling system
US6277235B1 (en) * 1998-08-11 2001-08-21 Novellus Systems, Inc. In situ plasma clean gas injection

Also Published As

Publication number Publication date
US5950330A (en) 1999-09-14
US20010003873A1 (en) 2001-06-21
US20010009074A1 (en) 2001-07-26
EP0475604B1 (de) 1998-02-04
US20010009075A1 (en) 2001-07-26
EP1076354A2 (de) 2001-02-14
US6634116B2 (en) 2003-10-21
US6112431A (en) 2000-09-05
US5314509A (en) 1994-05-24
US6463678B2 (en) 2002-10-15
US6055740A (en) 2000-05-02
US6490810B2 (en) 2002-12-10
KR920005275A (ko) 1992-03-28
US20010009076A1 (en) 2001-07-26
US6487794B2 (en) 2002-12-03
US20010008052A1 (en) 2001-07-19
EP0805481A3 (de) 1998-05-20
US20010011423A1 (en) 2001-08-09
EP1079418A2 (de) 2001-02-28
US6467187B2 (en) 2002-10-22
DE69133535T2 (de) 2007-03-08
KR0184682B1 (ko) 1999-04-15
US20020032972A1 (en) 2002-03-21
EP0856875A2 (de) 1998-08-05
US20010011422A1 (en) 2001-08-09
US20010008050A1 (en) 2001-07-19
US20010001901A1 (en) 2001-05-31
US6467186B2 (en) 2002-10-22
US6505415B2 (en) 2003-01-14
US6460270B2 (en) 2002-10-08
US20040187337A1 (en) 2004-09-30
EP0475604A1 (de) 1992-03-18
US20040074104A1 (en) 2004-04-22
DE69133567D1 (de) 2007-05-16
US20010001902A1 (en) 2001-05-31
JPH04108531A (ja) 1992-04-09
DE69133567T2 (de) 2007-12-13
US6655044B2 (en) 2003-12-02
US20040187338A1 (en) 2004-09-30
US6470596B2 (en) 2002-10-29
DE69128861T2 (de) 1998-10-08
US6880264B2 (en) 2005-04-19
US6044576A (en) 2000-04-04
US20010007175A1 (en) 2001-07-12
DE69133564T2 (de) 2007-12-06
DE69133564D1 (de) 2007-04-12
US6968630B2 (en) 2005-11-29
JP2644912B2 (ja) 1997-08-25
US6499229B2 (en) 2002-12-31
US6473989B2 (en) 2002-11-05
US6625899B2 (en) 2003-09-30
DE69128861D1 (de) 1998-03-12
US6330755B1 (en) 2001-12-18
US6332280B2 (en) 2001-12-25
US20010010126A1 (en) 2001-08-02
EP0805481A2 (de) 1997-11-05
EP1079418A3 (de) 2002-08-07
US6487791B2 (en) 2002-12-03
US5457896A (en) 1995-10-17
EP0475604B2 (de) 2003-09-17
US6886272B2 (en) 2005-05-03
DE69133535D1 (de) 2006-08-03
US5553396A (en) 1996-09-10
US6314658B2 (en) 2001-11-13
US6263588B1 (en) 2001-07-24
DE69128861T3 (de) 2004-05-19
US6108929A (en) 2000-08-29
US20010009073A1 (en) 2001-07-26
EP1076354B1 (de) 2007-02-28
EP1076354A3 (de) 2002-08-07
EP0805481B1 (de) 2006-06-21
US20010000048A1 (en) 2001-03-22
US6330756B1 (en) 2001-12-18
US6457253B2 (en) 2002-10-01
US6301802B1 (en) 2001-10-16
US6484415B2 (en) 2002-11-26
US6904699B2 (en) 2005-06-14
DE69133254D1 (de) 2003-06-12
US20010002517A1 (en) 2001-06-07
US6487793B2 (en) 2002-12-03
US7367135B2 (en) 2008-05-06
EP0856875A3 (de) 1999-04-28
US6070341A (en) 2000-06-06
US20060032073A1 (en) 2006-02-16
US20010008051A1 (en) 2001-07-19
US20010037585A1 (en) 2001-11-08
US6301801B1 (en) 2001-10-16
US6662465B2 (en) 2003-12-16
US6446353B2 (en) 2002-09-10
US6588121B2 (en) 2003-07-08
EP0856875B1 (de) 2003-05-07
US5661913A (en) 1997-09-02
US20040074103A1 (en) 2004-04-22
US5349762A (en) 1994-09-27
EP1079418B1 (de) 2007-04-04
US20010016990A1 (en) 2001-08-30
US6012235A (en) 2000-01-11
US20010004807A1 (en) 2001-06-28
US6484414B2 (en) 2002-11-26
US20010020339A1 (en) 2001-09-13
US5784799A (en) 1998-07-28
US6463676B1 (en) 2002-10-15
US20010004554A1 (en) 2001-06-21
US20010020340A1 (en) 2001-09-13

Similar Documents

Publication Publication Date Title
DE69133254T2 (de) Arbeitsverfahren für Vakuumbehandlungsvorrichtung
DE4447977B4 (de) Vorrichtung und Verfahren zur Plasmabehandlung von flachen Werkstücken, insbesondere flachen, aktiven Bildschirmen, sowie Verwendung der Vorrichtung
DE69636872T2 (de) Vakuumbehandlungsanlage und Halbleiterfertigungsstrasse die diese verwendet
DE69937554T2 (de) Synchron gemultiplexte architektur für vakuumverfahren mit einem überschuss nahenull
DE19982566B4 (de) Einrichtung und Verfahren zum Bearbeiten eines Substrats
DE60214763T2 (de) Waferhandhabungsvorrichtung und verfahren dafür
DE3047441C2 (de)
DE4412915B4 (de) Plasmabehandlungsanlage, Verfahren zu deren Betrieb und Verwendung derselben
DE10255688A1 (de) Verfahren und Vorrichtung zum Durchführen von sequentiellen Verfahren, die verschiedene Zeitdauern erfordern, bei der Herstellung von Halbleitervorrichtung
DE69838273T2 (de) Verfahren zum Reinigen und Trocknen von zu verarbeitenden Objekte
DE60131511T2 (de) Halbleiterverarbeitungsmodul und Vorrichtung
CH698528B1 (de) Schiebereinrichtung eines Vakuumprozesssystems.
DE3425267A1 (de) System zum transportieren und behandeln von duennen substraten wie platten oder wafer
DE19910391A1 (de) Drying system and method
DE3507337A1 (de) Vorrichtung zur durchfuehrung von prozessen im vakuum
DE19606463C2 (de) Mehrkammer-Kathodenzerstäubungsvorrichtung
DE112008000047T5 (de) Veraschungsvorrichtung
DE112020001947T5 (de) Dampfabscheidungsverfahren und dampfabscheidungsvorrichtung
EP0856594B1 (de) Vorrichtung zur Plasma-Oberflächenbehandlung von Werkstücken
DE112019006420T5 (de) Gasphasenabscheidungsvorrichtung
DE112020001976T5 (de) Dampfabscheidungsverfahren und dampfabscheidungsvorrichtung
DE10115492B4 (de) Verfahren zur Aufbereitung einer Reaktionskammer
DE112020001873T5 (de) Dampfabscheidungsvorrichtung und darin verwendeter träger
USRE39756E1 (en) Vacuum processing operating method with wafers, substrates and/or semiconductors
DE3448599B4 (de) Verfahren zur Durchführung einer Behandlung unter Vakuum

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee