DE602004014904D1 - Komprimieren von testantworten unter verwendung eines kompaktors - Google Patents

Komprimieren von testantworten unter verwendung eines kompaktors

Info

Publication number
DE602004014904D1
DE602004014904D1 DE602004014904T DE602004014904T DE602004014904D1 DE 602004014904 D1 DE602004014904 D1 DE 602004014904D1 DE 602004014904 T DE602004014904 T DE 602004014904T DE 602004014904 T DE602004014904 T DE 602004014904T DE 602004014904 D1 DE602004014904 D1 DE 602004014904D1
Authority
DE
Germany
Prior art keywords
compactor
test
values
circuit
test responses
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE602004014904T
Other languages
English (en)
Inventor
Janusz Rajski
Jerzy Tyszer
Chen Wang
Grzegorz Mrugalski
Artur Pogiel
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mentor Graphics Corp
Original Assignee
Mentor Graphics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mentor Graphics Corp filed Critical Mentor Graphics Corp
Publication of DE602004014904D1 publication Critical patent/DE602004014904D1/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318566Comparators; Diagnosing the device under test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318583Design for test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/319Tester hardware, i.e. output processing circuits
    • G01R31/31917Stimuli generation or application of test patterns to the device under test [DUT]
    • G01R31/31919Storing and outputting test patterns
    • G01R31/31921Storing and outputting test patterns using compression techniques, e.g. patterns sequencer
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/38Response verification devices
    • G11C29/40Response verification devices using compression techniques
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/48Arrangements in static stores specially adapted for testing by means external to the store, e.g. using direct memory access [DMA] or using auxiliary access paths
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31703Comparison aspects, e.g. signature analysis, comparators
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318536Scan chain arrangements, e.g. connections, test bus, analog signals
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/18Address generation devices; Devices for accessing memories, e.g. details of addressing circuits
    • G11C29/30Accessing single arrays
    • G11C2029/3202Scan chain
DE602004014904T 2003-02-13 2004-02-13 Komprimieren von testantworten unter verwendung eines kompaktors Expired - Lifetime DE602004014904D1 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US44763703P 2003-02-13 2003-02-13
US50649903P 2003-09-26 2003-09-26
PCT/US2004/004271 WO2004072660A2 (en) 2003-02-13 2004-02-13 Compressing test responses using a compactor

Publications (1)

Publication Number Publication Date
DE602004014904D1 true DE602004014904D1 (de) 2008-08-21

Family

ID=32872039

Family Applications (1)

Application Number Title Priority Date Filing Date
DE602004014904T Expired - Lifetime DE602004014904D1 (de) 2003-02-13 2004-02-13 Komprimieren von testantworten unter verwendung eines kompaktors

Country Status (6)

Country Link
US (3) US7370254B2 (de)
EP (2) EP1595211B1 (de)
JP (1) JP4791954B2 (de)
AT (2) ATE400845T1 (de)
DE (1) DE602004014904D1 (de)
WO (1) WO2004072660A2 (de)

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003032159A2 (en) 2001-10-11 2003-04-17 Altera Corporation Error detection on programmable logic resources
US7058869B2 (en) * 2003-01-28 2006-06-06 Syntest Technologies, Inc. Method and apparatus for debug, diagnosis, and yield improvement of scan-based integrated circuits
EP1595211B1 (de) * 2003-02-13 2008-07-09 Mentor Graphics Corporation Komprimieren von testantworten unter verwendung eines kompaktors
US7509550B2 (en) * 2003-02-13 2009-03-24 Janusz Rajski Fault diagnosis of compressed test responses
US7437640B2 (en) * 2003-02-13 2008-10-14 Janusz Rajski Fault diagnosis of compressed test responses having one or more unknown states
US7302624B2 (en) * 2003-02-13 2007-11-27 Janusz Rajski Adaptive fault diagnosis of compressed test responses
US7239978B2 (en) * 2004-03-31 2007-07-03 Wu-Tung Cheng Compactor independent fault diagnosis
US8280687B2 (en) * 2004-03-31 2012-10-02 Mentor Graphics Corporation Direct fault diagnostics using per-pattern compactor signatures
US7729884B2 (en) * 2004-03-31 2010-06-01 Yu Huang Compactor independent direct diagnosis of test hardware
US7395473B2 (en) * 2004-12-10 2008-07-01 Wu-Tung Cheng Removing the effects of unknown test values from compacted test responses
US7260760B2 (en) * 2005-04-27 2007-08-21 International Business Machines Corporation Method and apparatus to disable compaction of test responses in deterministic test-set embedding-based BIST
US7272767B2 (en) * 2005-04-29 2007-09-18 Freescale Semiconductor, Inc. Methods and apparatus for incorporating IDDQ testing into logic BIST
US7451373B2 (en) 2005-06-17 2008-11-11 Infineon Technologies Ag Circuit for compression and storage of circuit diagnosis data
DE502005009739D1 (de) * 2005-06-17 2010-07-22 Infineon Technologies Ag Schaltung zur Komprimierung und Speicherung von Schaltungsdiagnosedaten
DE102005046588B4 (de) * 2005-09-28 2016-09-22 Infineon Technologies Ag Vorrichtung und Verfahren zum Test und zur Diagnose digitaler Schaltungen
US8161338B2 (en) * 2005-10-14 2012-04-17 Mentor Graphics Corporation Modular compaction of test responses
US7840862B2 (en) 2006-02-17 2010-11-23 Mentor Graphics Corporation Enhanced diagnosis with limited failure cycles
EP1994419B1 (de) 2006-02-17 2013-11-06 Mentor Graphics Corporation Mehrstufige test-antwort-kompressions-vorrichtungen
US20070266283A1 (en) * 2006-05-01 2007-11-15 Nec Laboratories America, Inc. Method and Apparatus for Testing an Integrated Circuit
US7779322B1 (en) 2006-09-14 2010-08-17 Syntest Technologies, Inc. Compacting test responses using X-driven compactor
US7788562B2 (en) * 2006-11-29 2010-08-31 Advantest Corporation Pattern controlled, full speed ATE compare capability for deterministic and non-deterministic IC data
US8248073B2 (en) 2007-04-06 2012-08-21 Nec Corporation Semiconductor integrated circuit and testing method therefor
US7823034B2 (en) * 2007-04-13 2010-10-26 Synopsys, Inc. Pipeline of additional storage elements to shift input/output data of combinational scan compression circuit
JP2010539633A (ja) * 2007-09-18 2010-12-16 メンター グラフィックス コーポレイション メモリbist環境における故障診断
US7882409B2 (en) * 2007-09-21 2011-02-01 Synopsys, Inc. Method and apparatus for synthesis of augmented multimode compactors
US7949921B2 (en) * 2007-09-21 2011-05-24 Synopsys, Inc. Method and apparatus for synthesis of augmented multimode compactors
US7925947B1 (en) * 2008-01-14 2011-04-12 Syntest Technologies, Inc. X-canceling multiple-input signature register (MISR) for compacting output responses with unknowns
US7971176B2 (en) * 2008-03-18 2011-06-28 International Business Machines Corporation Method for testing integrated circuits
US8584073B2 (en) * 2008-07-21 2013-11-12 Synopsys, Inc. Test design optimizer for configurable scan architectures
US8112685B2 (en) 2009-06-11 2012-02-07 Texas Instruments Incorporated Serial compressed data I/O in a parallel test compression architecture
US8887018B2 (en) 2010-06-11 2014-11-11 Texas Instruments Incorporated Masking circuit removing unknown bit from cell in scan chain
US8468404B1 (en) * 2010-06-25 2013-06-18 Cadence Design Systems, Inc. Method and system for reducing switching activity during scan-load operations
US8756468B2 (en) * 2011-04-28 2014-06-17 New York University Architecture, system, method, and computer-accessible medium for toggle-based masking
US9069989B2 (en) * 2012-01-27 2015-06-30 International Business Machines Corporation Chip authentication using scan chains
US20130326281A1 (en) * 2012-06-01 2013-12-05 Syntest Technologies, Inc. X-Tracer: A Reconfigurable X-Tolerance Trace Compressor for Silicon Debug
US10345369B2 (en) * 2012-10-02 2019-07-09 Synopsys, Inc. Augmented power-aware decompressor
US9081932B2 (en) 2013-02-01 2015-07-14 Qualcomm Incorporated System and method to design and test a yield sensitive circuit
US9329235B2 (en) 2013-03-13 2016-05-03 Synopsys, Inc. Localizing fault flop in circuit by using modified test pattern
US9411014B2 (en) 2013-03-22 2016-08-09 Synopsys, Inc. Reordering or removal of test patterns for detecting faults in integrated circuit
US9239897B2 (en) 2013-04-03 2016-01-19 Synopsys, Inc. Hierarchical testing architecture using core circuit with pseudo-interfaces
US9417287B2 (en) * 2013-04-17 2016-08-16 Synopsys, Inc. Scheme for masking output of scan chains in test circuit
US9588179B2 (en) 2013-06-12 2017-03-07 Synopsys, Inc. Scheme for masking output of scan chains in test circuit
US9009553B2 (en) * 2013-06-17 2015-04-14 Mentor Graphics Corporation Scan chain configuration for test-per-clock based on circuit topology
US10067187B2 (en) 2013-07-19 2018-09-04 Synopsys, Inc. Handling of undesirable distribution of unknown values in testing of circuit using automated test equipment
EP3149630A2 (de) * 2014-05-29 2017-04-05 Universiteit Gent Prüfung von integrierten schaltungen unter verwendung von parametrisierter konfiguration
US9514844B2 (en) 2014-08-26 2016-12-06 Globalfoundries Inc. Fast auto shift of failing memory diagnostics data using pattern detection
US10215803B1 (en) 2014-10-15 2019-02-26 Santiago Remersaro Method and apparatus for concurrent inter-test response compaction and diagnosis
US9268892B1 (en) * 2014-12-19 2016-02-23 International Business Machines Corporation Identification of unknown sources for logic built-in self test in verification
US10380303B2 (en) 2015-11-30 2019-08-13 Synopsys, Inc. Power-aware dynamic encoding
US9891282B2 (en) 2015-12-24 2018-02-13 Intel Corporation Chip fabric interconnect quality on silicon
US10578672B2 (en) * 2015-12-31 2020-03-03 Stmicroelectronics (Grenoble 2) Sas Method, device and article to test digital circuits
US10060978B2 (en) * 2016-06-21 2018-08-28 International Business Machines Corporation Implementing prioritized compressed failure defects for efficient scan diagnostics
US10509072B2 (en) * 2017-03-03 2019-12-17 Mentor Graphics Corporation Test application time reduction using capture-per-cycle test points
US10705934B2 (en) * 2017-06-30 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Scan synchronous-write-through testing architectures for a memory device
DE102017121308B4 (de) 2017-06-30 2019-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Synchron-write-through-abtastprüfungsarchitekturen für einen speicherbaustein
US10962595B1 (en) 2017-12-04 2021-03-30 Synopsys, Inc. Efficient realization of coverage collection in emulation
JP6570608B2 (ja) * 2017-12-21 2019-09-04 キヤノン株式会社 検査装置、撮像装置、電子機器および輸送装置
US11681843B2 (en) * 2018-01-17 2023-06-20 Siemens Industry Software Inc. Input data compression for machine learning-based chain diagnosis
US10775432B2 (en) * 2018-05-30 2020-09-15 Seagate Technology Llc Programmable scan compression
US10761131B1 (en) * 2018-09-25 2020-09-01 Cadence Design Systems, Inc. Method for optimally connecting scan segments in two-dimensional compression chains
US10908213B1 (en) * 2018-09-28 2021-02-02 Synopsys, Inc. Reducing X-masking effect for linear time compactors
CN110991295B (zh) * 2019-11-26 2022-05-06 电子科技大学 一种基于一维卷积神经网络的自适应故障诊断方法
US11175338B2 (en) 2019-12-31 2021-11-16 Alibaba Group Holding Limited System and method for compacting test data in many-core processors
US11423202B2 (en) 2020-08-31 2022-08-23 Siemens Industry Software Inc. Suspect resolution for scan chain defect diagnosis

Family Cites Families (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4161041A (en) 1978-10-06 1979-07-10 The United States Of America As Represented By The Secretary Of The Air Force Pseudo random number generator apparatus
GB2049958B (en) 1979-03-15 1983-11-30 Nippon Electric Co Integrated logic circuit adapted to performance tests
US4320509A (en) 1979-10-19 1982-03-16 Bell Telephone Laboratories, Incorporated LSI Circuit logic structure including data compression circuitry
US4503537A (en) 1982-11-08 1985-03-05 International Business Machines Corporation Parallel path self-testing system
US4513418A (en) 1982-11-08 1985-04-23 International Business Machines Corporation Simultaneous self-testing system
US4602210A (en) 1984-12-28 1986-07-22 General Electric Company Multiplexed-access scan testable integrated circuit
US4687988A (en) 1985-06-24 1987-08-18 International Business Machines Corporation Weighted random pattern testing apparatus and method
US4801870A (en) 1985-06-24 1989-01-31 International Business Machines Corporation Weighted random pattern testing apparatus and method
US4754215A (en) 1985-11-06 1988-06-28 Nec Corporation Self-diagnosable integrated circuit device capable of testing sequential circuit elements
JPS63286780A (ja) 1987-05-20 1988-11-24 Hitachi Ltd 故障検出方式および故障検出装置
JPH01239486A (ja) 1988-03-18 1989-09-25 Nec Corp 出力応答圧縮器
JP2591825B2 (ja) 1989-05-30 1997-03-19 富士通株式会社 圧縮データを用いた論理回路試験方法及びその装置
JP2584673B2 (ja) 1989-06-09 1997-02-26 株式会社日立製作所 テストデータ変更回路を有する論理回路テスト装置
WO1991010182A1 (en) 1989-12-21 1991-07-11 Bell Communications Research, Inc. Generator of multiple uncorrelated noise sources
JPH03214809A (ja) 1990-01-19 1991-09-20 Nec Corp リニアフィードバック・シフトレジスタ
US5091908A (en) 1990-02-06 1992-02-25 At&T Bell Laboratories Built-in self-test technique for read-only memories
US5138619A (en) 1990-02-15 1992-08-11 National Semiconductor Corporation Built-in self test for integrated circuit memory
US5167034A (en) 1990-06-18 1992-11-24 International Business Machines Corporation Data integrity for compaction devices
US5173906A (en) 1990-08-31 1992-12-22 Dreibelbis Jeffrey H Built-in self test for integrated circuits
US5258986A (en) 1990-09-19 1993-11-02 Vlsi Technology, Inc. Tightly coupled, low overhead RAM built-in self-test logic with particular applications for embedded memories
US5369648A (en) 1991-11-08 1994-11-29 Ncr Corporation Built-in self-test circuit
JPH05215816A (ja) 1991-12-06 1993-08-27 Nec Corp 情報処理装置
JP2711492B2 (ja) 1992-03-05 1998-02-10 日本電信電話株式会社 組込み自己試験回路
EP0549949B1 (de) 1991-12-16 1998-03-11 Nippon Telegraph And Telephone Corporation Schaltung mit eingebautem Selbsttest
US5412665A (en) 1992-01-10 1995-05-02 International Business Machines Corporation Parallel operation linear feedback shift register
US5436653A (en) 1992-04-30 1995-07-25 The Arbitron Company Method and system for recognition of broadcast segments
US5608870A (en) 1992-11-06 1997-03-04 The President And Fellows Of Harvard College System for combining a plurality of requests referencing a common target address into a single combined request having a single reference to the target address
US5450414A (en) 1993-05-17 1995-09-12 At&T Corp. Partial-scan built-in self-testing circuit having improved testability
US5533035A (en) * 1993-06-16 1996-07-02 Hal Computer Systems, Inc. Error detection and correction method and apparatus
US5416783A (en) 1993-08-09 1995-05-16 Motorola, Inc. Method and apparatus for generating pseudorandom numbers or for performing data compression in a data processor
US5848198A (en) 1993-10-08 1998-12-08 Penn; Alan Irvin Method of and apparatus for analyzing images and deriving binary image representations
JP2882743B2 (ja) 1993-12-21 1999-04-12 川崎製鉄株式会社 半導体集積回路装置
US5631913A (en) 1994-02-09 1997-05-20 Matsushita Electric Industrial Co., Ltd. Test circuit and test method of integrated semiconductor device
JP3403814B2 (ja) 1994-07-04 2003-05-06 富士通株式会社 自己試験機能組込み型回路
US5642362A (en) 1994-07-20 1997-06-24 International Business Machines Corporation Scan-based delay tests having enhanced test vector pattern generation
US5533128A (en) 1995-01-18 1996-07-02 Vobach; Arnold Pseudo-random transposition cipher system and method
US5574733A (en) 1995-07-25 1996-11-12 Intel Corporation Scan-based built-in self test (BIST) with automatic reseeding of pattern generator
US5831992A (en) 1995-08-17 1998-11-03 Northern Telecom Limited Methods and apparatus for fault diagnosis in self-testable systems
US5680543A (en) 1995-10-20 1997-10-21 Lucent Technologies Inc. Method and apparatus for built-in self-test with multiple clock circuits
US5867507A (en) 1995-12-12 1999-02-02 International Business Machines Corporation Testable programmable gate array and associated LSSD/deterministic test methodology
DE69613560T2 (de) 1996-04-30 2002-03-14 Agilent Technologies Inc Ein Prüfgerät für elektronische Schaltkreise oder Platinen mit komprimierten Datenfolgen
US5790562A (en) * 1996-05-06 1998-08-04 General Motors Corporation Circuit with built-in test and method thereof
US5717701A (en) 1996-08-13 1998-02-10 International Business Machines Corporation Apparatus and method for testing interconnections between semiconductor devices
US5991909A (en) 1996-10-15 1999-11-23 Mentor Graphics Corporation Parallel decompressor and related methods and apparatuses
KR100206128B1 (ko) 1996-10-21 1999-07-01 윤종용 선형 궤환 쉬프트레지스터, 다중 입력기호 레지스터 및 이들을 이용한 내장 자기 진단회로
US5694402A (en) 1996-10-22 1997-12-02 Texas Instruments Incorporated System and method for structurally testing integrated circuit devices
US5701308A (en) 1996-10-29 1997-12-23 Lockheed Martin Corporation Fast bist architecture with flexible standard interface
US5905986A (en) 1997-01-07 1999-05-18 Hewlett-Packard Company Highly compressible representation of test pattern data
US5991898A (en) 1997-03-10 1999-11-23 Mentor Graphics Corporation Arithmetic built-in self test of multiple scan-based integrated circuits
US6026508A (en) 1997-04-22 2000-02-15 International Business Machines Corporation Storage sub-system compression and dataflow chip offering excellent data integrity
JPH1130646A (ja) 1997-07-10 1999-02-02 Nec Eng Ltd 半導体集積回路及びそれに含まれるテスト回路
US5883906A (en) 1997-08-15 1999-03-16 Advantest Corp. Pattern data compression and decompression for semiconductor test system
EP0903587B1 (de) 1997-09-18 2005-11-02 Infineon Technologies AG Verfahren zum Testen einer elektronischen Schaltung
US6272653B1 (en) * 1997-11-14 2001-08-07 Intrinsity, Inc. Method and apparatus for built-in self-test of logic circuitry
JP3047883B2 (ja) 1998-03-17 2000-06-05 日本電気株式会社 テストモードを有する半導体装置の出力回路
JP3257528B2 (ja) 1998-12-28 2002-02-18 日本電気株式会社 テストパタン生成方法および装置ならびにテストパタン生成プログラムを記録した記録媒体
GB9900432D0 (en) 1999-01-08 1999-02-24 Xilinx Inc Linear feedback shift register in a progammable gate array
US6467058B1 (en) * 1999-01-20 2002-10-15 Nec Usa, Inc. Segmented compaction with pruning and critical fault elimination
US6590929B1 (en) 1999-06-08 2003-07-08 International Business Machines Corporation Method and system for run-time logic verification of operations in digital systems
US6463561B1 (en) * 1999-09-29 2002-10-08 Agere Systems Guardian Corp. Almost full-scan BIST method and system having higher fault coverage and shorter test application time
US6874109B1 (en) 1999-11-23 2005-03-29 Janusz Rajski Phase shifter with reduced linear dependency
US6557129B1 (en) 1999-11-23 2003-04-29 Janusz Rajski Method and apparatus for selectively compacting test responses
US6684358B1 (en) 1999-11-23 2004-01-27 Janusz Rajski Decompressor/PRPG for applying pseudo-random and deterministic test patterns
WO2001039254A2 (en) * 1999-11-23 2001-05-31 Mentor Graphics Corporation Continuous application and decompression of test patterns to a circuit-under-test
US6353842B1 (en) 1999-11-23 2002-03-05 Janusz Rajski Method for synthesizing linear finite state machines
US6327687B1 (en) 1999-11-23 2001-12-04 Janusz Rajski Test pattern compression for an integrated circuit test environment
DE60108993T2 (de) 2000-03-09 2005-07-21 Texas Instruments Inc., Dallas Anpassung von "Scan-BIST"-Architekturen für einen Betrieb mit niedrigem Verbrauch
US6300885B1 (en) 2000-04-14 2001-10-09 International Business Machines Corporation Dual aldc decompressors inside printer asic
US6668347B1 (en) 2000-05-08 2003-12-23 Intel Corporation Built-in self-testing for embedded memory
JP4228061B2 (ja) 2000-12-07 2009-02-25 富士通マイクロエレクトロニクス株式会社 集積回路の試験装置および試験方法
US6782501B2 (en) 2001-01-23 2004-08-24 Cadence Design Systems, Inc. System for reducing test data volume in the testing of logic products
US6950974B1 (en) 2001-09-07 2005-09-27 Synopsys Inc. Efficient compression and application of deterministic patterns in a logic BIST architecture
US7552373B2 (en) * 2002-01-16 2009-06-23 Syntest Technologies, Inc. Method and apparatus for broadcasting scan patterns in a scan-based integrated circuit
US6807646B1 (en) * 2002-03-04 2004-10-19 Synopsys, Inc. System and method for time slicing deterministic patterns for reseeding in logic built-in self-test
US7185253B2 (en) 2002-03-27 2007-02-27 Intel Corporation Compacting circuit responses
US6671839B1 (en) 2002-06-27 2003-12-30 Logicvision, Inc. Scan test method for providing real time identification of failing test patterns and test bist controller for use therewith
US7058869B2 (en) 2003-01-28 2006-06-06 Syntest Technologies, Inc. Method and apparatus for debug, diagnosis, and yield improvement of scan-based integrated circuits
EP1595211B1 (de) 2003-02-13 2008-07-09 Mentor Graphics Corporation Komprimieren von testantworten unter verwendung eines kompaktors
US7302624B2 (en) 2003-02-13 2007-11-27 Janusz Rajski Adaptive fault diagnosis of compressed test responses
US7509550B2 (en) * 2003-02-13 2009-03-24 Janusz Rajski Fault diagnosis of compressed test responses
US7437640B2 (en) * 2003-02-13 2008-10-14 Janusz Rajski Fault diagnosis of compressed test responses having one or more unknown states
US7032148B2 (en) 2003-07-07 2006-04-18 Syntest Technologies, Inc. Mask network design for scan-based integrated circuits
US7574640B2 (en) 2003-09-05 2009-08-11 Intel Corporation Compacting circuit responses

Also Published As

Publication number Publication date
JP4791954B2 (ja) 2011-10-12
EP1595211B1 (de) 2008-07-09
WO2004072660A2 (en) 2004-08-26
ATE400845T1 (de) 2008-07-15
JP2006518855A (ja) 2006-08-17
US7890827B2 (en) 2011-02-15
US20040230884A1 (en) 2004-11-18
EP1978446B1 (de) 2011-11-02
US7370254B2 (en) 2008-05-06
US7743302B2 (en) 2010-06-22
EP1595211A4 (de) 2005-11-30
EP1978446A1 (de) 2008-10-08
US20080133987A1 (en) 2008-06-05
ATE532133T1 (de) 2011-11-15
US20100257417A1 (en) 2010-10-07
EP1595211A2 (de) 2005-11-16
WO2004072660A3 (en) 2005-04-28

Similar Documents

Publication Publication Date Title
DE602004014904D1 (de) Komprimieren von testantworten unter verwendung eines kompaktors
WO2004040324A3 (en) A method of and apparatus for testing for integrated circuit contact defects
TW200624840A (en) Model based testing for electronic devices
WO2004074852A3 (en) Method and circuit for at-speed testing of scan circuits
WO2005010932A3 (en) Mask network design for scan-based integrated circuits
TWI266042B (en) Method to determine the value of process parameters based on scatterometry data
WO2004003967A3 (en) Scan test method providing real time identification of failing test patterns and test controller for use therewith
WO2005081910A3 (en) Generalization of the photo process window and its application to opc test pattern design
ATE289094T1 (de) Testmuster-kompression für eine testumgebung von integrierten schaltungen
MY124258A (en) Method of testing electronic components and testing apparatus for electronic components
WO2007080527A3 (en) Testable integrated circuit and ic test method
WO2004042787A3 (en) Method and apparatus for testing asynchronous set/reset faults in a scan-based integrated circuit
ATE531131T1 (de) Verfahren und vorrichtung zum verteilen mehrerer signaleingänge an mehrere integrierte schaltungen
TW200724949A (en) Test sequence optimization method and design tool
JP2005172549A5 (de)
DE602004009329D1 (de) Verfahren und system zum selektiven maskieren von testantworten
TW200612239A (en) Methods and apparatus for providing scan patterns to an electronic device
TW200608409A (en) Testing device and testing method
TW200611118A (en) Testing simulator, testing simulation program and record medium
TW200714908A (en) Method and apparatus for determining stuck-at fault locations in cell chains using scan chains
WO2004042786A3 (en) High-frequency scan testability with low-speed testers
WO2008120362A1 (ja) 不良箇所特定装置、不良箇所特定方法および集積回路
DE602004022878D1 (de) Auswertung eines ausgangssignals eines gerade geprüften bausteins
WO2006012875A3 (de) Verfahren zur bewertung der güte eines testprogramms
WO2003007194A3 (en) Method for generating electronic circuits

Legal Events

Date Code Title Description
8364 No opposition during term of opposition