DE19700854B4 - Method for producing an insulation layer for a semiconductor device - Google Patents

Method for producing an insulation layer for a semiconductor device Download PDF

Info

Publication number
DE19700854B4
DE19700854B4 DE19700854A DE19700854A DE19700854B4 DE 19700854 B4 DE19700854 B4 DE 19700854B4 DE 19700854 A DE19700854 A DE 19700854A DE 19700854 A DE19700854 A DE 19700854A DE 19700854 B4 DE19700854 B4 DE 19700854B4
Authority
DE
Germany
Prior art keywords
layer
insulation layer
substrate
isolation
insulating layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE19700854A
Other languages
German (de)
Other versions
DE19700854A1 (en
Inventor
Young Kwon Jun
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
LG Semicon Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LG Semicon Co Ltd filed Critical LG Semicon Co Ltd
Publication of DE19700854A1 publication Critical patent/DE19700854A1/en
Application granted granted Critical
Publication of DE19700854B4 publication Critical patent/DE19700854B4/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76202Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using a local oxidation of silicon, e.g. LOCOS, SWAMI, SILO
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Abstract

Verfahren zur Herstellung einer Isolationsschicht für eine Halbleitereinrichtung, mit folgenden Schritten:
a) Bilden einer ersten und einer zweiten Isolationsschicht (32, 33) übereinanderliegend auf einem Substrat (31);
b) Strukturieren der ersten und der zweiten Isolationsschicht (32, 33) zum Festlegen von ersten und zweiten Isolationsbereichen (34, 35), wobei der zweite Isolationsbereich (35) weiter ist als der erste Isolationsbereich (34);
c) Bilden einer zusätzlichen Isolationsschicht auf der gesamten resultierenden Oberfläche;
d) isotropes Rückätzen der zusätzlichen Isolationsschicht, so daß im ersten Isolationsbereich (34) eine diesen auffüllende Schutzschicht (36) und am Bodenbereich des zweiten Isolationsbereichs (35) Seitenwandstücke (36a) verbleiben;
e) Bilden einer Feldoxidschicht (37) im zweiten Isolationsbereich (35) durch Oxidation;
f) Entfernen der Schutzschicht (36) im ersten Isolationsbereich (34);
g) Ätzen des Substrats (31) im ersten Isolationsbereich (34) bis zu einer vorbestimmten Tiefe, um einen Graben (38) zu erhalten; und
h) Ausfüllen des Grabens (38) mit einer...
Method for producing an insulation layer for a semiconductor device, comprising the following steps:
a) forming a first and a second insulating layer (32, 33) superimposed on a substrate (31);
b) patterning the first and second insulating layers (32, 33) to define first and second isolation regions (34, 35), the second isolation region (35) being wider than the first isolation region (34);
c) forming an additional insulating layer on the entire resulting surface;
d) isotropic etching back of the additional insulating layer, so that in the first insulating region (34) a protective layer (36) filling up the latter and at the bottom region of the second insulating region (35) side wall pieces (36a) remain;
e) forming a field oxide layer (37) in the second isolation region (35) by oxidation;
f) removing the protective layer (36) in the first isolation region (34);
g) etching the substrate (31) in the first isolation region (34) to a predetermined depth to obtain a trench (38); and
h) filling in the trench (38) with a ...

Figure 00000001
Figure 00000001

Description

  • Priorität: 26. Juni 1996 Korea (KR) Nr. 24092/1996Priority: June 26, 1996 Korea (KR) No. 24092/1996

Die vorliegende Erfindung bezieht sich auf ein Verfahren zur Herstellung einer Isolationsschicht für eine Halbleitereinrichtung, und inbesondere auf ein Verfahren zur Herstellung einer solchen Isolationsschicht, durch die die Isolationseigenschaften hochintegrierter Einrichtungen verbessert werden.The The present invention relates to a method of manufacture an insulation layer for a semiconductor device, and more particularly to a method of Production of such an insulating layer, through which the insulating properties of highly integrated Facilities are improved.

Ein koventionelles Verfahren zur Bildung einer Isolationsschicht für eine Halbleitereinrichtung wird nachfolgend unter Bezugnahme auf die 1A bis 1D näher beschrieben. Gemäß 1A wird eine erste Isolationsschicht 2 auf einem Halbleitersubstrat 1 durch chemische Dampfabscheidung im Vakuum gebildet, also durch ein CVD-Verfahren. Die Dicke der ersten Isolationsschicht 2 beträgt hier etwa 1 μm. Anschließend wird die erste Isolationsschicht 2 selektiv geätzt, und zwar durch Anwendung eines reaktiven Ionenätzverfahrens (RIE-Verfahren), um ein Kontaktloch zu bilden, durch das ein vorbestimmter Teil des Substrats 1 freigelegt wird. Sodann wird auf die so erhaltene Struktur eine zweite Isolationsschicht 3 aufgebracht, und zwar mit einer Dicke von etwa 0,1 μm. Das Aufbringen der zweiten Isolationsschicht 3 erfolgt mittels eines CVD-Verfahrens, wobei die zweite Isolationsschicht 3 auf der ersten Isolationsschicht 2 zuliegen kommt und im Kontaktloch sowie im Bereich des Bodens des Kontaktlochs auf dem Halbleitersubstrat 1.A conventional method for forming an insulating layer for a semiconductor device will be described below with reference to FIGS 1A to 1D described in more detail. According to 1A becomes a first insulation layer 2 on a semiconductor substrate 1 formed by chemical vapor deposition in vacuo, ie by a CVD method. The thickness of the first insulation layer 2 here is about 1 micron. Subsequently, the first insulation layer 2 selectively etched using a reactive ion etching (RIE) process to form a contact hole through which a predetermined portion of the substrate 1 is exposed. Then, a second insulating layer is applied to the structure thus obtained 3 applied, with a thickness of about 0.1 microns. The application of the second insulation layer 3 takes place by means of a CVD method, wherein the second insulation layer 3 on the first insulation layer 2 and in the contact hole as well as in the region of the bottom of the contact hole on the semiconductor substrate 1 ,

Gemäß 1B wird die zweite Isolationsschicht 3 anschließend zurückgeätzt, um Seitenwandstücke 3a an beiden Seiten des Kontaktlochs zu erhalten oder an der Umfangsfläche des Kontaktlochs. Sodann wird das Substrat 1 geätzt, und zwar bis zu einer Tiefe von 0,5 μm unter Verwendung der ersten Isolationsschicht 2 und der Seitenwandstücke 3a als Masken. Die Breite des geätzten Bereichs des Substrats 1 beträgt hier 0,1 μm.According to 1B becomes the second insulation layer 3 then etched back to side wall pieces 3a on both sides of the contact hole or on the peripheral surface of the contact hole. Then the substrate becomes 1 etched, to a depth of 0.5 microns using the first insulating layer 2 and the side wall pieces 3a as masks. The width of the etched area of the substrate 1 here is 0.1 μm.

Wie die 1C zeigt, werden sodann die erste Isolationsschicht 2 und die Seitenwandstücke 3a entfernt, um die Oberfläche des Substrats 1 freizulegen. Die Oberfläche des Substrats 1 wird dann in geeigneter Weise behandelt, um Beschädigungen zu beseitigen, die sich durch die Entfernung der ersten Isolationsschicht 2 und der Seitenwandstücke 3a eventuell ergeben haben. Anschließend wird durch thermisches Aufwachsen eine Oxidschicht 4 mit einer Dicke von etwa 20 nm auf der gesamten Oberfläche des Substrats 1 sowie auch im erhaltenen Loch gebildet. Sodann wird auf die so erhaltene Struktur eine dritte Isolationsschicht 5 mit einer Dicke von 300 nm aufgebracht, wozu ein CVD-Verfahren zum Einsatz kommt. Die dritte Isolationsschicht 5 liegt somit auf der Oxidschicht 4. Dabei füllt die dritte Isolationsschicht 5 das vorhandene Loch aus. Die dritte Isolationsschicht 5 wird anschließend mit einem Fotoresist bedeckt, der sodann durch Belichtung und Entwicklung strukturiert wird, um ein Fotoresistmuster 6 zu erhalten.As the 1C then, the first insulation layer will become 2 and the side wall pieces 3a removed to the surface of the substrate 1 expose. The surface of the substrate 1 is then suitably treated to eliminate damage caused by the removal of the first insulating layer 2 and the side wall pieces 3a may have resulted. Subsequently, by thermal growth, an oxide layer 4 with a thickness of about 20 nm on the entire surface of the substrate 1 as well as formed in the obtained hole. Then, a third insulation layer is applied to the structure thus obtained 5 applied with a thickness of 300 nm, using a CVD method is used. The third insulation layer 5 is thus on the oxide layer 4 , This fills the third insulation layer 5 the existing hole. The third insulation layer 5 is then covered with a photoresist, which is then patterned by exposure and development to form a photoresist pattern 6 to obtain.

Gemäß 1D wird unter Verwendung des Fotoresistmuster als Maske die dritte Isolationsschicht 5 selektiv weggeätzt, um auf diese Weise die Oberfläche des Substrats 1 freizulegen. Das Ätzen der dritten Isolationsschicht 5 erfolgt durch Anwendung eines RIE-Verfahrens. Das Fotoresistmuster 6 liegt dabei oberhalb der zuvor im Substrat 1 gebildeten Öffnung und überragt diese zum Teil an beiden Seiten. Anschließend werden Borionen in das Substrat 1 implantiert, und zwar durch Dreifach-Ionenimplantationen mit Ionenimplantationsenergien, die voneinander verschieden sind, um einen Isolationsbereich zu erhalten. Die Dosis der Borionen beträgt 3 × 1012/cm2, während die Energien der implantierten Ionen 130 KeV, 180 KeV und 260 KeV betragen.According to 1D becomes the third insulating layer by using the photoresist pattern as a mask 5 selectively etched away, in this way, the surface of the substrate 1 expose. The etching of the third insulating layer 5 is done by applying an RIE procedure. The photoresist pattern 6 lies above the previously in the substrate 1 formed opening and towers these partly on both sides. Subsequently, boron ions in the substrate 1 implanted by triple ion implantation with ion implantation energies that are different from each other to provide an isolation region. The dose of boron ions is 3 × 10 12 / cm 2 , while the energies of the implanted ions are 130 KeV, 180 KeV and 260 KeV.

Beim konventionellen Verfahren zur Bildung der Isolationsschicht treten allerdings einige Nachteile auf. So ist die Kante des geätzten Bereichs des Substrats relativ scharf, so daß sich dort eine hohe elektrische Feldkonzentration ergibt, was zu einem Leckstrom führt. Wird dagegen ein ausgedehnterer Isolationsbereich gebildet, so ist auch der zu ätzende Bereich auf dem Substrat größer. Die Oberfläche des Isolationsbereichs wird dadurch unebener.At the conventional methods for forming the insulating layer occur however, some disadvantages. Such is the edge of the etched area of the substrate relatively sharp, so that there is a high electrical Field concentration results, resulting in a leakage current. Becomes on the other hand, a more extensive isolation area is formed, so too the area to be etched bigger on the substrate. The surface of the insulation area is thereby uneven.

Aus der US 5,096,848 A ist bereits ein Verfahren zur Ausbildung von Isolationsbereichen für eine Halbleitereinrichtung bekannt, bei dem unterschiedlich breite Isolationsbereiche festgelegt werden, in dem auf einem Substrat zunächst eine Siliziumoxidschicht und ein Siliziumnitridschicht abgeschieden und dann gemeinsam gemustert werden. Anschließend wird zunächst eine dünne Siliziumnitridschicht auf der gesamten resultierenden Oberfläche ausgebildet. Nachfolgend wird eine dicke Siliziumoxidschicht abgeschieden und anisotrop rückgeätzt, so daß im schmäleren Bereich ein Teil der Siliziumoxidschicht als Schutzschicht verbleibt, während im weiten Isolationsbereich nur Seitenwandstücke der Siliziumoxidschicht verbleiben.From the US 5,096,848 A A method for forming isolation regions for a semiconductor device is already known, in which different widths of isolation regions are defined, in which a silicon oxide layer and a silicon nitride layer are first deposited on a substrate and then patterned together. Subsequently, a thin silicon nitride film is first formed on the entire resulting surface. Subsequently, a thick silicon oxide layer is deposited and etched back anisotropically, so that in the narrower range a part of the silicon oxide layer remains as a protective layer, while in the wide isolation region only side wall pieces of the silicon oxide remain.

Danach wird die Siliziumnitridschicht zwischen den Seitenwandbereichen im weiten Isolationsbereich entfernt, um die Oberfläche des Substrats freizulegen. Bevor dann durch thermische Oxidation ein Siliziumoxidfilm am Boden des weiten Isolationsbereichs ausgebildet wird, werden die Seitenwandstücke aus Siliziumoxid zusammen mit dem Schutzfilm aus Siliziumoxid im schmalen Isolationsbereich entfernt.After that the silicon nitride layer will be between the sidewall regions in the wide isolation area removed to the surface of the To expose substrate. Before then by thermal oxidation Silicon oxide film formed at the bottom of the wide isolation region becomes, the side wall pieces become of silicon oxide together with the protective film of silicon oxide in the narrow isolation area away.

Während der thermischen Oxidation zur Ausbildung des Siliziumoxidfilms dienen dann auf der Substratoberfläche liegende Abschnitte der Siliziumnitridschicht als Oxidationsschutzmasken.During thermal oxidation to Formation of the silicon oxide film then serve on the substrate surface lying portions of the silicon nitride layer as oxidation masks.

Nach der Durchführung der thermischen Oxidation werden die Siliziumnitridschichten entfernt und das freiliegende Substrat wird geätzt, um Grabenbereiche zu erhalten, die dann mit Siliziumoxid aufgefüllt werden.To the implementation the thermal oxidation, the silicon nitride layers are removed and the exposed substrate is etched to obtain trench areas then filled with silica become.

Die US 5,272,117 betrifft ein Verfahren zum Einebenen einer Materialschicht, bei dem zur Herstellung einer ebenen Schicht, insbesondere einer Isolationsschicht, auf der Oberfläche einer integrierten Schaltung zunächst eine erste Schicht und anschließend eine Ätzstoppschicht abgeschieden wird. Anschließend wird die Ätzstoppschicht zurückgeätzt, um enge Vertiefungen in der Oberfläche der ersten Schicht auszufüllen, und um in weiten Vertiefungen Seitenwandstücke zu bilden. Anschließend wird eine weitere Schicht, die vorzugsweise aus dem gleichen Material besteht, wie die erste Schicht abgeschieden und anschließend eingeebnet, wozu beispielsweise ein chemisch mechanischer Poliervorgang (CMP) eingesetzt wird. Dabei läßt sich mit Hilfe der Füll- und Seitenwandstücke der Ätzstoppschicht das Ende des chemisch mechanischen Poliervorgangs feststellen.The US 5,272,117 relates to a method for leveling a material layer, in which a first layer and then an etching stop layer are first deposited on the surface of an integrated circuit to produce a planar layer, in particular an insulation layer. Subsequently, the etch stop layer is etched back to fill in narrow recesses in the surface of the first layer and to form sidewall pieces in wide depressions. Subsequently, another layer, which preferably consists of the same material as the first layer is deposited and then leveled, for which purpose, for example, a chemical mechanical polishing (CMP) is used. In this case, the end of the chemical-mechanical polishing process can be determined with the aid of the filling and side wall pieces of the etching stop layer.

Die US 4,842,675 beschreibt, daß es üblich ist, zur Herstellung eines Feldoxids zunächst auf einer thermischen Oxidschicht in einer Vertiefung im Substrat eine Nitridschicht und anschließend eine dicke Oxidschicht abzuscheiden. Nach Rückätzen der Oxidschicht ergeben sich in der Ausnehmung Seitenwandstücke, die als Ätzmaske für die Nitridschicht dienen. Nach dem Entfernen der Seitenwandstücke wird dann die thermische Oxidation ausgeführt, um das Feldoxid zu bilden.The US 4,842,675 describes that it is customary to first deposit a nitride layer and then a thick oxide layer on a thermal oxide layer in a recess in the substrate to produce a field oxide. After etching back of the oxide layer resulting in the recess side wall pieces that serve as an etching mask for the nitride layer. After removal of the sidewall pieces, thermal oxidation is then performed to form the field oxide.

Weiter ist es aus der US 4,842,675 bekannt, zum Auffüllen von Vertiefungen eine dicke Einebnungsoxidschicht abzuscheiden und mittels Plasmaätzen zu entfernen, um das Substrat zwischen den Isolationsbereichen freizulegen.Next it is from the US 4,842,675 It is known to deposit a thick planarization oxide layer to fill wells and to remove it by means of plasma etching in order to expose the substrate between the isolation areas.

Die DE 37 15 092 A1 betrifft ein Verfahren zur Herstellung einer Halbleiteranordnung, bei der schmale und breite Isolationsbereiche vorgesehen sind. Zur Herstellung der Isolationsschicht in den weiten Isolationsbereichen wird wiederum eine thermische Oxidation eingesetzt, bei der ein gemusterter Siliziumnitridfilm als Oxidationsmaske verwendet wird.The DE 37 15 092 A1 relates to a method of manufacturing a semiconductor device in which narrow and wide isolation regions are provided. In order to produce the insulating layer in the wide isolation regions, a thermal oxidation is again used in which a patterned silicon nitride film is used as the oxidation mask.

Davon ausgehend liegt der Erfindung die Aufgabe zugrunde, ein weiteres Verfahren zur Herstellung einer Isolationsschicht für eine Halbleitereinrichtung bereitzustellen, das es auf einfache Weise ermöglicht, sowohl schmale als auch weite Isolationsbereiche zuverlässig auszubilden.From that Based on the object of the invention, another Method for producing an insulation layer for a semiconductor device which allows it to be easily handled, both narrow and also to reliably form wide insulation areas.

Diese Aufgabe wird durch das Verfahren nach Anspruch 1 gelöst.These The object is achieved by the method according to claim 1.

Dagegen finden sich vorteilhafte Ausgestaltungen der Erfindung in den nachgeordneten Unteransprüchen.On the other hand find advantageous embodiments of the invention in the subordinate Dependent claims.

Die Erfindung ermöglicht es somit, Isolationsbereiche größerer und geringerer Breite gleichzeitig durch einen photolithographischen Prozeß herzustellen.The Invention allows it thus, isolation areas larger and lesser width at the same time by a photolithographic Process to produce.

Nachfolgend wird die Erfindung unter Bezugnahme auf die Zeichnung im einzelnen beschrieben. Es zeigen:following the invention with reference to the drawings in detail described. Show it:

1A bis 1D Querschnitte zur Erläuterung eines konventionellen Verfahrens zur Herstellung einer Isolationsschicht für eine Halbleiterein richtung; 1A to 1D Cross sections for explaining a conventional method for producing an insulating layer for a Halbleiterein direction;

2 ein Layout einer Halbleitereinrichtung nach der vorliegenden Erfindung; und 2 a layout of a semiconductor device according to the present invention; and

3A bis 3E Querschnitte zur Erläuterung eines Verfahrens zur Herstellung einer Isolationsschicht für eine Halbleitereinrichtung in Übereinstimmung mit dervorliegenden Erfindung, wobei die Querschnitte entlang der Linien A-A' und B-B' von 2 liegen. 3A to 3E Cross-sectional views for explaining a method for producing an insulating layer for a semiconductor device in accordance with the present invention, wherein the cross-sections along the lines AA 'and BB' of 2 lie.

Die 2 zeigt ein Layout einer Isolationsschicht für den Fall, daß Isolationsbereiche zum Isolieren von Einrichtungen gegeneinander unterschiedliche Breiten zueinander aufweisen. Die 3A bis 3E sind Querschnittsdarstellungen zur Erläuterung eines erfindungsgemäßen Verfahrens zur Bildung einer Isolationsschicht für eine Halbleitereinrichtung, wobei die Querschnittsdarstellungen entlang der Linien A-A' und B-B' von 2 liegen.The 2 FIG. 12 shows a layout of an insulating layer in the case where isolation regions for isolating devices have different widths from each other. The 3A to 3E are cross-sectional views for explaining a method according to the invention for forming an insulating layer for a semiconductor device, wherein the cross-sectional views along the lines AA 'and BB' of 2 lie.

Gemäß 3A wird zunächst eine als Unterlage dienende Oxidschicht 32 auf einem Halbleitersubstrat 31 gebildet, wonach anschließend auf der Oxidschicht 32 eine Siliziumnitridschicht 32 gebildet wird, um auf diese Weise eine Maskenschicht zur Verhinderung von Oxidation zu erhalten. Diese Maskenschicht zur Verhinderung von Oxidation besteht hier also aus einer oberen Siliziumnitridschicht und einer unteren Siliziumoxidschicht, die aufeinander liegen. Sodann wird eine nicht dargestellte Fotoresistschicht auf der Siliziumnitridschicht 33 gebildet, wonach die Fotoresistschicht strukturiert wird, um anschließend die Siliziumnitridschicht 33 zu strukturieren. Dadurch lassen sich Isolationsbereiche 34 und 35 definieren, die einen vorbestimmten Bereich des Substrats 31 freilegen. Dies geschieht dadurch, daß unter Verwendung der strukturierten Fotoresistschicht zunächst die Siliziumnitridschicht 33 geätzt wird und dann die darunterliegende Siliziumoxidschicht 32, um das Halbleitersubstrat 31 freizulegen. Auf diese Weise werden ein schmalerer Isolationsbereich 34 und ein breiterer Isolationsbereich 35 gleichzeitig gebildet bzw. struktu riert. Die Breiten der Isolationsbereiche 34 und 35 hängen von den Eigenschaften und dem Layout der Halbleitereinrichtung ab.According to 3A First, a serving as a substrate oxide layer 32 on a semiconductor substrate 31 formed, which then on the oxide layer 32 a silicon nitride layer 32 is formed so as to obtain a mask layer for preventing oxidation. In this case, this mask layer for preventing oxidation consists of an upper silicon nitride layer and a lower silicon oxide layer lying on top of each other. Then, a non-illustrated photoresist layer on the silicon nitride layer 33 after which the photoresist layer is patterned, followed by the silicon nitride layer 33 to structure. This can be isolation areas 34 and 35 define a predetermined area of the substrate 31 uncover. This is done by first using the patterned photoresist layer, the silicon nitride layer 33 is etched and then the underlying silicon oxide layer 32 to the semiconductor substrate 31 expose. In this way, a narrower isolation area 34 and a wider isolation area 35 at the same time formed or struktu riert. The widths of the isolation areas 34 and 35 depend on the characteristics and layout of the semiconductor device.

Anschließend wird auf die gesamte Oberfläche der so erhaltenen Struktur eine zusätzliche Isolationsschicht/Schutzschicht aufgebracht, und zwar durch ein CVD-Verfahren, also durch chemische Dampfabscheidung im Vakuum. Die zusätzliche Isolationsschicht/Schutzschicht kommt also auf der Siliziumnitridschicht 33 zu liegen als auch auf dem Halbleitersubstrat 31 im Bereich der Isolationsbereiche 34 und 35. Die zusätzliche Isolationsschicht/Schutzschicht selbst kann z. B. aus Siliziumnitrid oder Siliziumoxid bestehen. Darüber hinaus wird die zusätzliche Isolationsschicht/Schutzschicht so dick ausgebildet, daß sie den schmaleren Isolationsbereich 34 ausfüllt, der von der Unterlagen-Oxidschichtstruktur 32 und der Siliziumnitridschichtstruktur 33 umgeben ist.Subsequently, an additional insulation layer / protective layer is applied to the entire surface of the structure thus obtained, by a CVD method, ie by chemical vapor deposition in vacuo. The additional insulation layer / protective layer thus comes on the silicon nitride layer 33 to lie as well as on the semiconductor substrate 31 in the area of isolation areas 34 and 35 , The additional insulation layer / protective layer itself can be z. B. silicon nitride or silicon oxide. In addition, the additional insulation layer / protective layer is formed so thick that it the narrower isolation area 34 that of the underlayer oxide layer structure 32 and the silicon nitride layer structure 33 is surrounded.

Sodann wird die zusätzliche Isolationsschicht/Schutzschicht entsprechend der 3B weggeätzt, um Schutzschicht-Seitenwandstücke 36a an beiden Seiten der Unterlagen-Oxidschichtstruktur 32 und der Siliziumnitridschichtstruktur 33 zu erhalten, die sich an beiden Seiten des Isolationsbereichs 35 befinden. Die Schutzschicht-Seitenwandstücke 36a können durch isotropes Ätzen gebildet werden. Wird isotropes Ätzen angewandt, so können sich die Seitenwandstücke unter Umständen nicht ausbilden, was davon abhängt, wie stark die zusätzliche Isolationsschicht/Schutzschicht geätzt wird. Werden die Seitenwandstücke durch isotropes Ätzen gebildet, so formen sie sich nur an den unteren Rändern der Unterlagen-Oxidschicht 32 bzw. der Siliziumnitridschichtstruktur 33 aus und nicht an deren gesamten Seitenwänden. Die Schutzschicht-Seitenwandstücke kommen daher nur am Bodenbereich der Ausnehmung 35 zu liegen, wie die 3B erkennen läßt. Auch dies reicht jedoch für den gewünschten Zweck aus, selbst wenn sie sich nicht an den gesamten Seitenwänden der Schichten 32 und 33 befinden. Der schmalere Isolationsbereich 34, der von der Unterlagen-Oxidschichtstruktur 32 und der Siliziumnitridschichtstruktur 33 umgeben ist, bleibt entsprechend der 3B nach dem isotropen Ätzen mit einer Schutzschicht 36 ausgefüllt.Then, the additional insulation layer / protective layer according to the 3B etched away to protective layer sidewall pieces 36a on both sides of the underlayer oxide layer structure 32 and the silicon nitride layer structure 33 to get on both sides of the isolation area 35 are located. The protective layer side wall pieces 36a can be formed by isotropic etching. If isotropic etching is used, then the sidewall pieces may not form, depending on how much the additional insulating layer / protective layer is etched. If the sidewall pieces are formed by isotropic etching, they form only at the lower edges of the backing oxide layer 32 or the silicon nitride layer structure 33 off and not on their entire sidewalls. The protective layer side wall pieces therefore come only at the bottom region of the recess 35 to lie like that 3B recognize. However, even this is sufficient for the desired purpose, even if they are not on the entire side walls of the layers 32 and 33 are located. The narrower isolation area 34 that of the underlayer oxide layer structure 32 and the silicon nitride layer structure 33 is surrounded, remains according to the 3B after isotropic etching with a protective layer 36 filled.

Wie die 3C erkennen läßt, erfolgt sodann eine thermische Oxidation bei einer Temperatur von etwa 800°C oder darüber, um eine Feldoxidschicht 37 mit einer Dicke von 300 bis 500 nm im relativ weiten Isolationsbereich 35 zu erhalten. Während dieser thermischen Oxidation wird keine Oxidschicht im Isolationsbereich 34 gebildet, da dort noch die Schutzschicht 36 vorhanden ist.As the 3C Then, a thermal oxidation is carried out at a temperature of about 800 ° C or above to form a field oxide layer 37 with a thickness of 300 to 500 nm in the relatively wide isolation range 35 to obtain. During this thermal oxidation, no oxide layer in the isolation region 34 formed, there there still the protective layer 36 is available.

Entsprechend der 3D wird anschließend die Schutzschicht 36 entfernt. Sodann wird auch die Siliziumnitridschicht 33 an beiden Seiten des Isolationsbereichs 34 entfernt. Dabei kann die Siliziumnitridschicht 33 von der gesamten Strukturoberfläche abgenommen werden. Das Substrat 31 wird dann selektiv geätzt, und zwar bis zu einer vorbestimmten Tiefe unter Verwendung der Unterlagen-Oxidschicht 32 als Maske, um auf diese Weise einen Graben 38 im Bereich des ersten Isolationsbereichs 34 zu erhalten. Zu diesem Zeitpunkt wird der Bereich des Substrats 31, der unterhalb des zweiten Isolationsbereichs 35 zuliegen kommt, nicht geätzt, da dieser Bereich durch die Feldoxidschicht 37 geschützt ist.According to the 3D then becomes the protective layer 36 away. Then also the silicon nitride layer 33 on both sides of the isolation area 34 away. In this case, the silicon nitride layer 33 be removed from the entire structure surface. The substrate 31 is then selectively etched to a predetermined depth using the backing oxide layer 32 as a mask to dig in this way 38 in the area of the first isolation area 34 to obtain. At this point, the area of the substrate becomes 31 , which is below the second isolation area 35 does not etch, because this area through the field oxide layer 37 is protected.

Wie die 3E weiter erkennen läßt, wird sodann die Unterlagen-Oxidschicht 32 entfernt, und es wird eine dritte Isolationsschicht 39 auf der gesamten Oberfläche der so erhaltenen Struktur gebildet, also auf dem Substrat. Dabei kann die dritte Isolationsschicht 39 entweder aus Siliziumoxid oder Siliziumnitrid bestehen. Anschließend wird die dritte Isolationsschicht 39 zurückgeätzt, so daß nur noch der Graben 38 mit der dritten Isolationsschicht 39 ausgefüllt ist. Auf diese Weise wird eine Isolationsschicht 39 im Graben 38 erhalten. Teile der dritten Isolationsschicht 39, die sich anderswo als im Graben 38 befinden, werden vollständig entfernt, so daß der Prozeß zur Herstellung einer Isolationsschicht für eine Halbleitereinrichtung nach der Erfindung damit beendet ist.As the 3E can be seen further, then the substrate-oxide layer 32 removed, and it becomes a third insulation layer 39 formed on the entire surface of the structure thus obtained, ie on the substrate. In this case, the third insulation layer 39 consist of either silicon oxide or silicon nitride. Subsequently, the third insulation layer 39 etched back so that only the ditch 38 with the third insulation layer 39 is filled. In this way, an insulation layer 39 in the ditch 38 receive. Parts of the third insulation layer 39 who are elsewhere than in the ditch 38 are completely removed, so that the process for producing an insulating layer for a semiconductor device according to the invention is thus completed.

Das Zurückätzen der dritten Isolationsschicht 39 kann durch isotropes Trockenätzen, Nassätzen oder Plasmaätzen unter Verwendung eines Gases aus CF4 oder SF6 erfolgen. Darüber hinaus kann ein chemisch-mechanisches-Polieren (CMP-Verfahren) erfolgen, um die dritte Isolationsschicht zurückzuätzen. Beim CMP-Verfahren kommt ein Polierpuder zum Einsatz, z. B. Aluminiumoxid bzw. Tonerde oder Siliziumoxid bzw. eine Silikamasse. Eine entsprechende Polierlösung kann eine Lauge sein oder enthalten, z. B. Kalilauge (Kaliumhydroxid bzw. Ätzkali) oder Natriumhydroxid bzw. Ätznatron.Etching back the third insulation layer 39 can be done by isotropic dry etching, wet etching or plasma etching using a gas of CF 4 or SF 6 . In addition, chemical mechanical polishing (CMP) can be used to etch back the third insulating layer. In the CMP process, a polishing powder is used, for. As alumina or alumina or silica or a silica. A corresponding polishing solution may be or contain an alkali, for. Example, potassium hydroxide (potassium hydroxide or caustic potash) or sodium hydroxide or caustic soda.

In Übereinstimmung mit der Erfindung liegt die Isolationsschicht im schmaleren Isolationsbereich senkrecht zum Substrat bzw. zur Substratoberfläche. Dies vergrößert die Isolationseigenschaft der Einrichtung und verbessert somit deren Betriebszuverlässigkeit. Darüber hinaus lassen sich der schmalere Isolationsbereich und der weitere bzw. breitere Isolationsbereich durch einen fotolithografischen Prozeß gleichzeitig herausbilden, was deren Herstellung vereinfacht.In accordance with the invention, the insulating layer in the narrower isolation region is perpendicular to the substrate or to the substrate surface. This increases the insulating property of the device and thus improves its operational reliability. In addition, the narrower isolation region and the wider or wider isolation region can be achieved by a photolithographic process at the same time, which simplifies their manufacture.

Claims (8)

Verfahren zur Herstellung einer Isolationsschicht für eine Halbleitereinrichtung, mit folgenden Schritten: a) Bilden einer ersten und einer zweiten Isolationsschicht (32, 33) übereinanderliegend auf einem Substrat (31); b) Strukturieren der ersten und der zweiten Isolationsschicht (32, 33) zum Festlegen von ersten und zweiten Isolationsbereichen (34, 35), wobei der zweite Isolationsbereich (35) weiter ist als der erste Isolationsbereich (34); c) Bilden einer zusätzlichen Isolationsschicht auf der gesamten resultierenden Oberfläche; d) isotropes Rückätzen der zusätzlichen Isolationsschicht, so daß im ersten Isolationsbereich (34) eine diesen auffüllende Schutzschicht (36) und am Bodenbereich des zweiten Isolationsbereichs (35) Seitenwandstücke (36a) verbleiben; e) Bilden einer Feldoxidschicht (37) im zweiten Isolationsbereich (35) durch Oxidation; f) Entfernen der Schutzschicht (36) im ersten Isolationsbereich (34); g) Ätzen des Substrats (31) im ersten Isolationsbereich (34) bis zu einer vorbestimmten Tiefe, um einen Graben (38) zu erhalten; und h) Ausfüllen des Grabens (38) mit einer dritten Isolationsschicht (39).Method for producing an insulating layer for a semiconductor device, comprising the following steps: a) forming a first and a second insulating layer ( 32 . 33 ) lying one above the other on a substrate ( 31 ); b) structuring the first and the second insulation layer ( 32 . 33 ) for defining first and second isolation areas ( 34 . 35 ), the second isolation area ( 35 ) is further than the first isolation area ( 34 ); c) forming an additional insulating layer on the entire resulting surface; d) isotropic etching back of the additional insulation layer, so that in the first isolation region ( 34 ) a protective layer ( 36 ) and at the bottom area of the second isolation area ( 35 ) Side wall pieces ( 36a ) remain; e) forming a field oxide layer ( 37 ) in the second isolation area ( 35 by oxidation; f) removing the protective layer ( 36 ) in the first isolation area ( 34 ); g) etching the substrate ( 31 ) in the first isolation area ( 34 ) to a predetermined depth to a trench ( 38 ) to obtain; and h) completing the trench ( 38 ) with a third insulation layer ( 39 ). Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß die erste Isolationsschicht (32) eine Oxidschicht und die zweite Isolationsschicht (33) eine Nitridschicht oder die erste Isolationsschicht (32) eine Nitridschicht und die zweite Isolationsschicht (33) eine Oxidschicht sind.Method according to Claim 1, characterized in that the first insulation layer ( 32 ) an oxide layer and the second insulation layer ( 33 ) a nitride layer or the first insulating layer ( 32 ) a nitride layer and the second insulating layer ( 33 ) are an oxide layer. Verfahren nach Anspruch 1 oder 2, dadurch gekennzeichnet, daß die dritte Isolationsschicht (39) aus Siliziumoxid oder Siliziumnitrid besteht.Method according to Claim 1 or 2, characterized in that the third insulation layer ( 39 ) consists of silicon oxide or silicon nitride. Verfahren nach Anspruch 1, 2 oder 3, dadurch gekennzeichnet, daß der Schritt zur Ausfüllung des Grabens (38) mit der dritten Isolationsschicht (39) folgende Schritte umfasst: – Bildung einer dritten Isolationsschicht (39) auf der gesamten Oberfläche des Substrats; und – Entfernen der dritten Isolationsschicht (39) auf einem Bereich außerhalb des Grabens (38) durch anisotropes Ätzen, Naßätzen oder Plasmaätzen.Method according to claim 1, 2 or 3, characterized in that the step of filling the trench ( 38 ) with the third insulation layer ( 39 ) comprises the following steps: - formation of a third insulation layer ( 39 ) on the entire surface of the substrate; and - removing the third insulation layer ( 39 ) on an area outside the trench ( 38 ) by anisotropic etching, wet etching or plasma etching. Verfahren nach einem der vorstehenden Ansprüche, dadurch gekennzeichnet, daß die dritte Isolationsschicht (39), die sich im Graben (38) befindet, durch ein CMP-Verfahren behandelt wird (chemisch-mechanisches-Polieren).Method according to one of the preceding claims, characterized in that the third insulation layer ( 39 ), which are in the ditch ( 38 ) is treated by a CMP process (chemical-mechanical polishing). Verfahren nach Anspruch 5, dadurch gekennzeichnet, daß beim CMP-Verfahren ein Polierpuder zum Einsatz kommt, z.B. Aluminiumoxid oder Siliziumoxid, sowie eine Polierlösung, die eine alkalische Lösung ist oder enthält, z. B. Kaliumhydroxid oder Natriumhydroxid.Method according to claim 5, characterized in that that at CMP process, a polishing powder is used, e.g. alumina or silica, as well as a polishing solution that is an alkaline solution or contains, z. For example, potassium hydroxide or sodium hydroxide. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß die Feldoxidschicht (37) durch thermische Oxidation gebildet wird.Process according to claim 1, characterized in that the field oxide layer ( 37 ) is formed by thermal oxidation. Verfahren nach Anspruch 4, dadurch gekennzeichnet, daß das Plasmaätzen unter Anwendung von CF4 oder SF6 ausgeführt wird.A method according to claim 4, characterized in that the plasma etching is carried out using CF 4 or SF 6 .
DE19700854A 1996-06-26 1997-01-13 Method for producing an insulation layer for a semiconductor device Expired - Fee Related DE19700854B4 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1019960024092A KR980006053A (en) 1996-06-26 1996-06-26 Method for forming a separation film of a semiconductor device
KR24092/96 1996-06-26

Publications (2)

Publication Number Publication Date
DE19700854A1 DE19700854A1 (en) 1998-01-02
DE19700854B4 true DE19700854B4 (en) 2007-04-05

Family

ID=19463615

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19700854A Expired - Fee Related DE19700854B4 (en) 1996-06-26 1997-01-13 Method for producing an insulation layer for a semiconductor device

Country Status (3)

Country Link
JP (1) JPH1050818A (en)
KR (1) KR980006053A (en)
DE (1) DE19700854B4 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000041419A (en) * 1998-12-22 2000-07-15 김영환 Method of forming device isolation region
KR100824632B1 (en) * 2006-08-31 2008-04-25 동부일렉트로닉스 주식회사 Method of Manufacturing Semiconductor Device by 90nm Design Rule

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3715092A1 (en) * 1986-05-09 1987-11-12 Seiko Epson Corp METHOD FOR PRODUCING A SEMICONDUCTOR ARRANGEMENT
US4842675A (en) * 1986-07-07 1989-06-27 Texas Instruments Incorporated Integrated circuit isolation process
US5096848A (en) * 1990-02-23 1992-03-17 Sharp Kabushiki Kaisha Method for forming semiconductor device isolating regions
US5272117A (en) * 1992-12-07 1993-12-21 Motorola, Inc. Method for planarizing a layer of material

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS53148389A (en) * 1977-05-31 1978-12-23 Fujitsu Ltd Manufacture for semiconductor device
JPH05206263A (en) * 1992-01-29 1993-08-13 Sharp Corp Manufacture of semiconductor device
JPH06151578A (en) * 1992-11-04 1994-05-31 Hitachi Ltd Semiconductor device and its manufacture
JP3102197B2 (en) * 1993-04-12 2000-10-23 富士電機株式会社 Wafer dielectric isolation method
US5362669A (en) * 1993-06-24 1994-11-08 Northern Telecom Limited Method of making integrated circuits
JPH07135247A (en) * 1993-11-10 1995-05-23 Citizen Watch Co Ltd Manufacture of semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3715092A1 (en) * 1986-05-09 1987-11-12 Seiko Epson Corp METHOD FOR PRODUCING A SEMICONDUCTOR ARRANGEMENT
US4842675A (en) * 1986-07-07 1989-06-27 Texas Instruments Incorporated Integrated circuit isolation process
US5096848A (en) * 1990-02-23 1992-03-17 Sharp Kabushiki Kaisha Method for forming semiconductor device isolating regions
US5272117A (en) * 1992-12-07 1993-12-21 Motorola, Inc. Method for planarizing a layer of material

Also Published As

Publication number Publication date
JPH1050818A (en) 1998-02-20
DE19700854A1 (en) 1998-01-02
KR980006053A (en) 1998-03-30

Similar Documents

Publication Publication Date Title
DE69836943T2 (en) Planarization of a non-compliant device layer in semiconductor fabrication
DE102005029313B4 (en) Method for producing a semiconductor component and semiconductor component
DE19704149B4 (en) A method of producing a metal wiring on a semiconductor device and metal wiring produced by this method
DE19860505A1 (en) Electrostatic discharge protection circuit
EP1182699B1 (en) Process for forming a thick dielectric region in a semiconductor substrate
EP0001100A2 (en) Method for forming recessed dielectric isolation regions in silicon by means of charged and accelerated particles
DE2636971C2 (en) Method for producing an insulating layer with a flat surface on an uneven surface of a substrate
EP0453644B1 (en) Method of making a hole in a semiconductor layer structure and its use in manufacturing contact holes
EP0656651A2 (en) Process for the manufacturing of an integrated circuit device
DE19717880C2 (en) Method for forming an isolation region of a semiconductor device
DE10261404B4 (en) Method for producing a semiconductor component
DE10233195A1 (en) Trench isolation semiconductor device and method of manufacturing the same
DE19700854B4 (en) Method for producing an insulation layer for a semiconductor device
EP1709677A1 (en) Passivation of deep isolating separating trenches with sunk covering layers
DE10242145B4 (en) Semiconductor device with local interconnect layer and manufacturing method
DE10248218A1 (en) Method of manufacturing a semiconductor device
DE69721376T2 (en) Improvements in or with respect to semiconductor devices
DE10259322B4 (en) A method of forming an alignment mark in an opaque layer on a substrate
DE19717358B4 (en) A method of forming an insulating layer of a semiconductor device
DE19622415A1 (en) CMOS semiconductor structure and method of manufacturing the same
DE4426364A1 (en) Semiconductor component and method for its production
DE4029070C2 (en)
DE19927284C2 (en) Method for producing an electrically conductive connection in a microelectronic structure
DE19628041A1 (en) Insulation layer arrangement for semiconductor component e.g. CMOS
DE19806819A1 (en) Dielectric insulated (DI)semiconductor device

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee