DE112007000812T5 - Transaktionalspeicher in Out-of-Order-Prozessoren - Google Patents

Transaktionalspeicher in Out-of-Order-Prozessoren Download PDF

Info

Publication number
DE112007000812T5
DE112007000812T5 DE112007000812T DE112007000812T DE112007000812T5 DE 112007000812 T5 DE112007000812 T5 DE 112007000812T5 DE 112007000812 T DE112007000812 T DE 112007000812T DE 112007000812 T DE112007000812 T DE 112007000812T DE 112007000812 T5 DE112007000812 T5 DE 112007000812T5
Authority
DE
Germany
Prior art keywords
transaction
cache
logic
memory
instruction
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE112007000812T
Other languages
English (en)
Other versions
DE112007000812B4 (de
Inventor
Ravi Portland Rajwar
Haitham Portland Akkary
Konrad Vancover Lai
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of DE112007000812T5 publication Critical patent/DE112007000812T5/de
Application granted granted Critical
Publication of DE112007000812B4 publication Critical patent/DE112007000812B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/44Arrangements for executing specific programs
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/52Program synchronisation; Mutual exclusion, e.g. by means of semaphores
    • G06F9/526Mutual exclusion algorithms
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/16Handling requests for interconnection or transfer for access to memory bus
    • G06F13/1605Handling requests for interconnection or transfer for access to memory bus based on arbitration
    • G06F13/161Handling requests for interconnection or transfer for access to memory bus based on arbitration with latency improvement
    • G06F13/1626Handling requests for interconnection or transfer for access to memory bus based on arbitration with latency improvement by reordering requests
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/3004Arrangements for executing specific machine instructions to perform operations on memory
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30076Arrangements for executing specific machine instructions to perform miscellaneous control operations, e.g. NOP
    • G06F9/30087Synchronisation or serialisation instructions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3824Operand accessing
    • G06F9/3834Maintaining memory consistency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3854Instruction completion, e.g. retiring, committing or graduating
    • G06F9/3858Result writeback, i.e. updating the architectural state or memory
    • G06F9/38585Result writeback, i.e. updating the architectural state or memory with result invalidation, e.g. nullification
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3861Recovery, e.g. branch miss-prediction, exception handling
    • G06F9/3863Recovery, e.g. branch miss-prediction, exception handling using multiple copies of the architectural state, e.g. shadow registers

Abstract

Vorrichtung, aufweisend:
eine Speichereinheit zum Speichern eines Werts, der einer Anzahl von Transaktionalspeicherzugriffsanfragen entspricht, die unerledigt (uncommitted) sind; und
eine erste Logik, zum Aktualisieren des in der Speichereinheit gespeicherten Werts für ein Auftreten eines Starts einer Transaktionalspeicherzugriffsanfrage und einer Erledigung der Transaktionalspeicherzugriffsanfrage.

Description

  • HINTERGRUND
  • Die vorliegende Offenbarungsschrift bezieht sich generell auf den Bereich der Elektronik. Insbesondere bezieht sich eine Ausführungsform der Erfindung auf Transaktionalspeicher(TM)-Ausführung in Out-of-Order-Prozessoren.
  • Um die Leistungsfähigkeit zu verbessern, können manche Computersysteme mehrere Threads simultan ausführen. Generell kann ein Thread, bevor er auf eine Gemeinschaftsressource zugreift, einen Lock der Gemeinschaftsressource erwerben. In Situationen, in denen die Gemeinschaftsressource eine im Speicher gespeicherte Datenstruktur ist, können alle Threads, die versuchen, auf dieselbe Ressource zuzugreifen, die Ausführung ihrer Operationen angesichts der gegenseitigen Ausschließlichkeit, die von dem Locking-Mechanismus bereitgestellt wird, serialisieren. Dies kann sich nachteilig auf die Systemleistungsfähigkeit auswirken und kann Programmfunktionsfehler, z. B. aufgrund von Deadlock-Fehlern, verursachen.
  • Um den aus der Verwendung von Locking-Mechanismen resultierenden Leistungsverlust zu reduzieren, können manche Computersysteme Transaktionalspeicher verwenden. Transaktionalspeicher bezieht sich im Allgemeinen auf ein Synchronisationsmodell, das mehreren Threads erlaubt, simultan auf eine Gemeinschaftsressource zuzugreifen, ohne einen Locking-Mechanismus zu verwenden. Transaktionalspeicherausführung in Out-of-Order-Prozessoren kann jedoch ein Design komplizierter gestalten, z. B. aufgrund von aus spekulativer Verarbeitung resultierenden Fehlvorhersagen, die in Out-of-Order-Prozessoren auftreten.
  • KURZBESCHREIBUNG DER ZEICHNUNGEN
  • Die detaillierte Beschreibung wird mit Bezug auf die begleitenden Figuren bereitgestellt. Die linkeste(n) Ziffer(n) eines Bezugszeichens in den Figuren identifiziert/identifizieren die Figur, in der das Bezugszeichen zuerst erscheint. Die Verwendung der gleichen Bezugszeichen in unterschiedlichen Figuren zeigt ähnliche oder identische Gegenstände an.
  • 1, 6 und 7 stellen Blockdiagramme von Ausführungsformen von Rechensystemen dar, die verwendet werden können, um verschiedene hier besprochene Ausführungsformen zu implementieren.
  • 2 stellt ein Blockdiagramm von Teilen eines Prozessorkerns gemäß einer Ausführungsform der Erfindung dar.
  • 34 stellen Blockdiagramme von Verfahren gemäß verschiedenen Ausführungsformen der Erfindung dar.
  • 5 stellt eine Ausführungsform eines Status- und Kontrollregisters einer Transaktion dar.
  • DETAILLIERTE BESCHREIBUNG
  • In der folgenden Beschreibung werden zahlreiche spezifische Details dargelegt, um ein tiefgehendes Verständnis von verschiedenen Ausführungsformen bereitzustellen. Einige Ausführungsformen können jedoch ohne diese spezifischen Details praktiziert werden. In anderen Fällen werden wohlbekannte Verfahren, Prozeduren, Komponenten und Schaltungen nicht detailliert beschrieben, um jeweilige Ausführungsformen nicht zu verschleiern.
  • Einige der hier besprochenen Ausführungsformen können effiziente Mechanismen für Transaktionalspeicherausführung in Out-of-Order-Prozessoren bereitstellen, wie z. B. den mit Bezug auf 17 besprochenen Prozessoren. Insbesondere stellt 1 ein Blockdiagramm eines Rechensystems 100 gemäß einer Ausführungsform der Erfindung dar. Das System 100 kann einen oder mehrere Prozessoren 102-1 bis 102-N umfassen (die hier generell als "Prozessoren 102" oder "Prozessor 102" bezeichnet werden). Die Prozessoren 102 können über eine Zwischenverbindung oder einen Bus 104 kommunizieren. Jeder Prozessor kann verschiedene Komponenten umfassen, von denen einige nur mit Bezug auf Prozessor 102-1 um der Klarheit willen besprochen werden. Entsprechend kann jeder der verbleibenden Prozesso ren 102-2 bis 102-N dieselben oder ähnliche mit Bezug auf den Prozessor 102-1 besprochene Komponenten umfassen.
  • In einer Ausführungsform kann der Prozessor 102-1 einen oder mehrere Prozessorkerne 106-1 bis 106-N (die hier als "Kerne 106" oder allgemeiner als "Kern 106" bezeichnet werden), einen Cache 108 (der einen oder mehrere private oder Gemeinschafts-Caches umfassen kann) und/oder einen Router 110 umfassen. Die Prozessorkerne 106 können auf einem einzigen integrierten Schaltungs(IC)-Chip implementiert sein. Darüber hinaus kann der Chip einen oder mehrere Gemeinschafts- und/oder private Caches (wie z. B. Cache 108), Busse oder Zwischenverbindungen (wie z. B. einen Bus oder Zwischenverbindung 112), Speichercontroller oder andere Komponenten umfassen.
  • In einer Ausführungsform kann der Router 110 verwendet werden, um zwischen verschiedenen Komponenten des Prozessors 102-1 und/oder Systems 100 zu kommunizieren. Darüber hinaus kann der Prozessor 102-1 mehr als einen Router 110 umfassen. Des Weiteren kann die Vielzahl der Router (110) kommunizierend sein, um Routing von Daten zwischen verschiedenen Komponenten innerhalb oder außerhalb des Prozessors 102-1 zu ermöglichen.
  • Der Cache 108 kann Daten (z. B. Instruktionen umfassend) speichern, die von einer oder mehreren Komponenten des Prozessors 102-1, wie z. B. den Kernen 106, benutzt werden. Der Cache 108 kann z. B. lokal in einem Speicher 114 gespeicherte Daten für einen schnelleren Zugriff durch die Komponenten des Prozessors 102 zwischenspeichern. Wie in 1 gezeigt, kann der Speicher 114 mit den Prozessoren 102 über die Zwischenverbindung 104 kommunizieren. In einer Ausführungsform kann der Cache 108 ein Last Level Cache (LLC) sein. Jeder der Kerne 106 kann ferner einen Level 1 (L1)-Cache (116) umfassen (der hier allgemein als "L1-Cache 116" bezeichnet wird). Des Weiteren kann der Prozessor 102-1 ferner einen Mid-Level-Cache umfassen, der von mehreren Kernen (106) gemeinsam benutzt wird. Verschiedene Komponenten des Prozessors 102-1 können mit dem Cache 108 über einen Bus (z. B. den Bus 112) und/oder einen Speichercontroller oder Hub direkt kommunizieren.
  • 2 stellt ein Blockdiagramm von Teilen eines Prozessorkerns 106 gemäß einer Ausführungsform der Erfindung dar. In einer Ausführungsform stellen die in 2 gezeigten Pfeile den Fluss der Instruktionen durch den Kern 106 dar. Einer oder mehrere Prozessorkerne (wie z. B. der Prozessorkern 106) können auf einem einzigen integrierten Schaltungschip (oder Die), wie z. B. mit Bezug auf 1 besprochen, implementiert sein. Darüber hinaus kann der Chip einen oder mehrere Gemeinschafts- und/oder private Caches (z. B. Cache 108 aus 1), Zwischenverbindungen (z. B. Zwischenverbindungen 104 und/oder 112 aus 1), Speichercontroller oder andere Komponenten umfassen. In einer Ausführungsform kann der in 2 gezeigte Prozessorkern 106 zum Ausführen von Transaktionalspeicherzugriffsanfragen in Hardware verwendet werden (was hier allgemein als beschränkter Transaktionalspeicher (RTM) bezeichnet werden kann).
  • Wie in 2 dargestellt, kann der Prozessorkern 106 eine Fetch-Einheit 202 zum Abrufen von Instruktionen zur Ausführung durch den Kern 106 umfassen. Die Instruktionen können aus jeder Speichervorrichtung, wie z. B. dem Speicher 114 und/oder den mit Bezug auf 6 und 7 besprochenen Speichervorrichtungen, abgerufen werden. Der Kern 106 kann ebenfalls eine Decodiereinheit 204 zum Decodieren der abgerufenen Instruktion umfassen. So kann z. B. die Decodiereinheit 204 die abgerufene Instruktion in eine Vielzahl von uOps (Mikrooperationen) decodieren.
  • Zusätzlich kann der Kern 106 eine Schedule-Einheit 206 umfassen. Die Schedule-Einheit 206 kann verschiedene dem Speichern von decodierten Instruktionen zugeordneten Operationen durchführen (die z. B. von der Decodiereinheit 204 empfangen wurden), bis die Instruktionen zum Abfertigen bereit sind, z. B. bis alle Quellwerte einer decodierten Instruktion verfügbar sind. Die Schedule-Einheit 206 kann in einer Ausführungsform decodierte Instruktionen für eine Ausführungseinheit 208 zur Ausführung einplanen und/oder ausgeben (oder abfertigen). Die Ausführungseinheit 208 kann die abgefertigten Instruktionen ausführen, nachdem sie decodiert (z. B. durch die Decodiereinheit 204) und abgefertigt (z. B. durch die Schedule-Einheit 206) worden sind. Die Ausführungseinheit 208 kann in einer Ausführungsform mehr als eine Ausführungseinheit, wie z. B. eine Speicherausführungseinheit, eine Integer-Ausführungseinheit, eine Fließkomma-Ausführungseinheit oder andere Ausführungseinheiten, umfassen. Des Weiteren kann die Ausführungseinheit 208 Instruktionen out-of-order ausführen. Somit kann in einer Ausführungsform der Prozessorkern 106 ein Out-of-Order-Prozessorkern sein. Der Kern 106 kann ferner eine Retirement-Einheit 210 umfassen. Die Retirement-Einheit 210 kann ausgeführte Instruktionen, nachdem sie erledigt (committed) worden sind, ausscheiden. Das Ausscheiden der ausgeführten Instruktionen kann in einer Ausführungsform im Erledigen eines Prozessorzustands ausgehend von der Ausführung der Instruktionen, im Deallozieren von von den Instruktionen verwendeten physikalischen Registern, usw. resultieren.
  • Wie in 2 gezeigt, kann der Kern 106 einen Reorder-Puffer (ROB) 212 zum Speichern von Informationen über In-Flight-Instruktionen (oder uOps) für einen Zugriff durch verschiedene Komponenten des Prozessorkerns 106 umfassen. Der Kern 106 kann des Weiteren eine RAT (Register Alias Table) 214 zum Führen einer Abbildung von logischen (oder Architektur-)Registern (wie z. B. denen durch Operanden von Softwareinstruktionen identifizierten) auf entsprechende physikalische Register umfassen. In einer Ausführungsform kann jeder Eintrag in der RAT 214 eine ROB-Kennung umfassen, die jedem physikalischen Register zugeordnet wurde. Zusätzlich kann ein Ladepuffer 216 und ein Speicherpuffer 218 (die hier kollektiv als Speicherordnungspuffer (MOB) bezeichnet werden können) ausstehende Speicheroperationen speichern, die nicht aus einem Hauptspeicher geladen oder entsprechend in einen Hauptspeicher zurückgeschrieben haben (z. B. einen Speicher, der extern zum Prozessorkern 106 angeordnet ist, wie z. B. Speicher 114). Eine MOB-Logik 219 kann verschiedene auf die Puffer 216 und 218 bezogene Operationen durchführen, wie z. B. hier mit Bezug auf 3 und 4 besprochen wird.
  • Des Weiteren kann der Prozessorkern 106 eine Buseinheit 220 umfassen, um Kommunikation zwischen Komponenten des Prozessorkern 106 und anderen Komponenten (wie z. B. den mit Bezug auf 1 besprochenen Komponenten) über einen oder mehrere Busse (z. B. Busse 104 und/oder 112) zu ermöglichen. Ein oder mehrere Füllpuffer 222 können temporär Daten, die (z. B. über die Busse 104 und/oder 112) von dem Speicher 114 empfangen wurden, vor dem Speichern der empfangenen Daten im Cache 116 speichern.
  • Wie in 2 gezeigt, kann der Cache 116 eine oder mehrere Cache-Zeilen 224 (z. B. Cache-Zeilen 0 bis W) umfassen. In einer Ausführungsform kann jede Zeile des Cache 116 ein Transaction-Read-Bit 226 und/oder ein Transaction-Write-Bit 228 für jeden in dem Kern 106 ausgeführten Thread umfassen. Die Bits 226 und 228 können, wie mit Bezug auf 3 besprochen, gesetzt oder gelöscht sein, um z. B. einen (Lade- und/oder Speicher-)Zugriff auf die entsprechende Cache-Zeile durch eine Transaktionalspeicherzugriffsanfrage anzuzeigen. Obwohl in 2 jede Cache-Zeile 224 mit einem entsprechenden Bit 226 und 228 gezeigt ist, sind ebenfalls andere Konfigurationen möglich. Ein Transaction-Read-Bit 226 (oder Transaction-Write-Bit 228) kann z. B. einem ausgewählten Abschnitt des Cache 116 entsprechen, wie z. B. ein Cache-Block oder ein anderer Abschnitt des Cache 116. Die Bits 226 und/oder 228 können ebenfalls an anderen Stellen als im Cache 116 gespeichert werden, wie z. B. im Cache 108 aus 1, dem Speicher 114 oder z. B. einem Opfer-Cache.
  • Wie des Weiteren mit Bezug auf 3 besprochen wird, kann der Kern 106 einen Transaktionstiefenzähler 230 zum Speichern eines Werts umfassen, der der Anzahl der Transaktionalspeicherzugriffsanfragen entspricht, die unerledigt (uncommitted) bleiben. Der in dem Zähler 230 gespeicherte Wert kann z. B. die Verschachtelungstiefe von mehreren Transaktionalspeicherzugriffsanfragen, die sich auf denselben Thread beziehen, anzeigen. In einem Fall können mehrere Transaktionalspeicherzugriffsanfragen resultieren, wenn eine Transaktion innerhalb einer ausstehenden Transaktion initiiert wird (wie z. B. über einen Bibliotheksaufruf oder andere verschachtelte Prozedur). Der Zähler 230 kann als ein beliebiger Typ einer Speichervorrichtung, wie z. B. ein Hardwareregister oder eine in einem Speicher (z. B. dem Speicher 114 oder Cache 116) gespeicherte Variable, implementiert werden. Der Kern 106 kann ebenfalls eine Transaktionstiefenzählerlogik 232 zum Aktualisieren des in dem Zähler 230 gespeicherten Werts umfassen. Wie mit Bezug auf 3 des Weiteren besprochen wird, kann der Kern 106 zusätzlich eine Transaktions-Checkpoint-Logik 234 zum Checkpointen (oder Speichern) des Zustands von verschiedenen Komponenten des Kerns 106 und eine Transaktionswiederherstellungslogik 236 zum Wiederherstellen des Zustands von verschiedenen Komponenten des Kerns 106 umfassen. Der Kern 106 kann ebenfalls eine Cache-Logik 239 umfassen, die verschiedene Operationen durch Zugreifen auf den Cache 116 durchführen kann, wie hier des Weiteren z. B. mit Bezug auf 3 und 4 beschrieben wird. Zusätzlich kann der Kern 106 einen oder mehrere zusätzliche Register 240 umfassen, die sich auf verschiedene Transaktionalspeicherzugriffsanfragen beziehen, wie z. B. Status und Kontrolle einer Transaktion (TXSR) (die hier des Weiteren mit Bezug auf 4 besprochen wird), Transaktionsinstruktionszeiger (TRIP) (der z. B. ein Instruktionszeiger auf eine Instruktion am Anfang (oder unmittelbar davor) der entsprechenden Transaktion sein kann) und/oder Transaktions-Stack-Zeiger (TXSP) (der z. B. ein Stack-Zeiger auf das oberste Element eines Stacks sein kann, der verschiedene Zustände einer oder mehrerer Komponenten des Kerns 106 speichert), wie des Weiteren mit Bezug auf 3 besprochen wird.
  • Insbesondere stellt 3 ein Blockdiagramm einer Ausführungsform eines Verfahrens 300 zum Ausführen einer oder mehrerer Operationen, die sich auf eine Transaktionalspeicherzugriffsanfrage beziehen, dar. In einer Ausführungsform können verschiedene mit Bezug auf 12 und 67 besprochene Komponenten zum Durchführen einer oder mehrerer der mit Bezug auf 3 besprochenen Operationen benutzt werden.
  • Bezug nehmend auf 13 wird in einer Operation 302 eine Transaktionalspeicherzugriffsanfrage (wie z. B. eine oder mehrere Lade- oder Speicheroperationen eines Speichers) empfangen. Die Fetch-Einheit 202 kann z. B. eine Instruktion abrufen, die den Start einer Transaktionalspeicherausführung anzeigt. In Übereinstimmung mit mindestens einer Instruktionssatzarchitektur kann die Instruktion, die den Start einer Transaktionalspeicherausführung anzeigt, ein TXMBEG <user_handler_IP> sein, wobei user_handler_IP eine Handler-Prozedur eines Benutzers identifiziert, auf die die Ausführung im Falle eines Abbruchs, Fehlers oder anderer Fehlkonditionen umgeleitet werden kann. Eine transaktionale Endinstruktion (wie z. B. TXMEND in Übereinstimmung mit mindestens einer Instruktionssatzarchitektur) kann ebenfalls das Ende einer Transaktion anzeigen. In einer Ausführungsform können alle Operationen zwischen der TXMBEG-Instruktion und TXMEND als transaktional markiert werden. In einer Ausführungsform können die transaktionalen Operationen standardmäßig transaktional (und z. B. durch einen Instruktionspräfix oder Parameter explizit nicht-transaktional) sein, um z. B. die transaktionale Verwendung von nicht-transaktionalen Altbibliotheken ohne Codeänderungen zu ermöglichen. Alternativ können die transaktionalen Operationen standardmäßig nicht-transaktional (und z. B. durch einen Instruktionspräfix oder Parameter explizit transaktional) sein. In einer Ausführungsform kann ein spezieller Satz von explizit nicht-transaktionalen Instruktionen benutzt werden, die nicht-transaktional behandelt werden, obwohl sie innerhalb einer Transaktion, z. B. zwischen einer TXMBEG-Instruktion und einer TXMEND-Instruktion, vorkommen können. In einer Ausführungsform können ebenfalls nicht-transaktionale Speicheroperationen, die innerhalb einer Transaktion (z. B. zwischen einer TXMBEG-Instruktion und einer TXMEND-Instruktion) auftreten, durch die Ausführungseinheit 208 als Write-Through-Operationen zum Speicher 114 ausgeführt werden. In einer Ausführungsform kann die Einstellung der standardmäßigen Behandlung der Operationen mit der TXMBEG-Instruktion bereitgestellt werden, z. B. bereitgestellt durch einen entsprechenden Parameter oder Präfix. In einer Ausführungsform kann die Einstellung des Standards durch ein Modus-Bit in einem Kontrollregister bereitgestellt werden.
  • In einer Operation 304 kann die Logik 232 den Zähler 230 aktualisieren (z. B. den Zähler 230 abhängig von der Implementierung inkrementieren oder dekrementieren). In einer Ausführungsform kann die Ausführung der TXMBEG-Instruktion (z. B. durch die Ausfüh rungseinheit 208) im Aktualisieren des Zählers 230 resultieren. Alternativ kann der Zähler 230 zum Ausgabezeitpunkt aktualisiert werden, z. B. wenn die Schedule-Einheit 206 die TXMBEG-Instruktion ausgibt. Die Transaktions-Checkpoint-Logik 234 kann ebenfalls in der Operation 304 den Zustand von verschiedenen Komponenten (z. B. Zähler 230 und/oder Register 240) checkpointen. Die Logik 234 kann z. B. den Zustand einer oder mehrerer Komponenten des Kerns 106 in einer Speichervorrichtung (z. B. in dem Cache 116, Cache 108 und/oder Speicher 114) speichern. Wie hier des Weiteren beschrieben wird, kann die Logik 234, da der Kern 106 mehr als eine Transaktionalspeicherzugriffsanfrage zum selben Zeitpunkt (und/oder spekulativ) durchführen kann, mehr als einen Zustand von verschiedenen Komponenten des Kerns 106 speichern, und in einer Ausführungsform können die verschiedenen gecheckpointeten Zustände in einer Datenstruktur, die als ein Stack implementiert ist, gespeichert werden. In einer Ausführungsform kann die Logik 234 einen der äußersten Transaktionalspeicheranfrage entsprechenden gecheckpointeten Zustand speichern.
  • In einer Operation 306 können eine oder mehrere Instruktionen (oder Operationen), die der Transaktionalspeicherzugriffsanfrage der Operation 302 entsprechen, ausgeführt werden, z. B. durch die Ausführungseinheit 208. Während einer Ausführung von Instruktionen in Operation 306 kann jedes Mal, wenn auf einen Abschnitt des Cache 116 zugegriffen wird, das entsprechende Bit (z. B. Bits 226 und/oder 228) aktualisiert werden, z. B. abhängig von der Implementiert gesetzt oder gelöscht werden. Die Cache-Logik 239 kann z. B. transaktionale Instruktionen, die auf den Cache 116 zugreifen, identifizieren und die entsprechenden Bits 226 und/oder 228 aktualisieren. In einer Ausführungsform können die Instruktionen der Operation 306 explizit oder implizit als transaktionale oder nicht-transaktionale Operationen identifiziert werden, z. B. mittels eines Präfix (oder Kontroll-Bits), der mit der Instruktion bereitgestellt und/oder an einer entsprechenden Stelle gespeichert wird, wie z. B. innerhalb eines entsprechenden Eintrags im ROB 212.
  • In einer Operation 308 führt das Verfahren 300, falls eine andere Transaktionalspeicherzugriffsanfrage empfangen wird, mit der Operation 304 fort. Andernfalls wird, falls keine zusätzliche Transaktionalspeicherzugriffsanfrage in Operation 308 empfangen wird, in einer Operation 310 festgelegt, ob ein Konflikt oder eine Abbruchbedingung, die der Ausführung der Instruktionen der Operation 306 entspricht, existiert. Falls ein Konflikt mit einer anderen Instruktion (die eine einem anderen Thread entsprechende Instruktion sein kann, der z. B. auf demselben oder einem Differenzprozessorkern (difference processor core) ausgeführt wird) besteht, wird die Transaktion in einer Operation 312 abgebrochen. Eine Konfliktinstruktion kann z. B. eine Snoop-Invalidierung für einen Eintrag im Cache 116 senden, auf den die Transaktion in Operation 302 zugreift (oder den Zugriff darauf markiert hat, der durch einen in den Bits 226 und/oder 228 gespeicherten Wert angezeigt wird). Darüber hinaus kann, falls das Transaction-Write-Bit 228 des Abschnitts des Cache 116 einen vorherigen Schreibzugriff auf denselben Abschnitt des Cache anzeigt, eine Snoop-Leseanfrage von einem anderen Thread auf denselben Abschnitt des Cache 116 in Operation 312 abgebrochen werden. Der Abbruch in Operation 310 kann ebenfalls aufgrund eines implementierungsspezifischen Ereignisses, das einen Abbruch erzwingt, (z. B. ein nicht-zwischenspeicherbares (UC) Ereignis, ein I/O-Ereignis, ein Pufferüberlauf, usw.) erfolgen.
  • Falls in einer Ausführungsform ein Snoop in einer Invalidierung von im Speicher gespeicherten Daten (wie z. B. im Cache 116 gespeichert) resultiert, kann die Ladeinstruktion am obersten Element des Ladepuffers 216 in die Snoop-Überprüfung einbezogen werden und eine vollständige Adressenüberprüfung kann (statt einer partiellen Adressenüberprüfung), z. B. durch die MOB-Logik 219, durchgeführt werden. Falls die Ladeinstruktion am obersten Element des Ladepuffers 216 mit dem Snoop in Operation 310 kollidiert, kann die entsprechende Transaktionalspeicheranfrage in Operation 312 abgebrochen werden. Alternativ kann eine explizite Abbruchanfrage die Durchführung von Operation 310 verursachen. So kann z. B. in Übereinstimmung mit mindestens einer Instruktionssatzarchitektur die Instruktion, die den Abbruch einer Transaktionalspeicherausführung anzeigt, TXMABT sein. Die Abbruchinstruktion kann in einem expliziten Abbruch resultieren, der im Falle von mehreren verschachtelten Transaktionalspeicherzugriffsanfragen (wie z. B. durch den im Zähler 230 gespeicherten Wert angezeigt) in einem Zurückkehren zu einem vorherigen Zustand und einem Aufrufen des entsprechenden Handlers (z. B. dem innersten Handler im Fall von mehreren ausstehenden Transaktionalspeicherzugriffsanfragen, die mit der entsprechenden TXMBEG-Instruktion, wie z. B. mit Bezug auf Operation 302 besprochen, identifiziert werden können) resultieren kann. In einer Ausführungsform kann der Abbruch in einem Zurückkehren zu dem gecheckpointeten Zustand, der der äußersten Transaktion entspricht, und einem Aufrufen des entsprechenden äußersten TXMBEG-Handlers resultieren. In einer Ausführungsform kann die Transaktionswiederherstellungslogik 236 in Operation 312 einen vorherigen Zustand von verschiedenen Komponenten des Kerns 106, wie mit Bezug auf 2 besprochen, wiederherstellen.
  • In einer Ausführungsform kann das Checkpointen in Operation 304 mittels eines Copy-on-Write-Verfahrens durchgeführt werden, um einen Registerwiederherstellungszustand in einem Bit, das in den Registern 240 und/oder einem entsprechenden Eintrag der RAT 214 vorhanden ist, aufzuzeichnen. So kann z. B. ein 1-Bit-Array jeder RAT-Instanzierung zugeordnet werden (alternativ kann nur ein solches Array verwendet werden). Während der im Zähler 230 gespeicherte Wert eine ausstehende Transaktion anzeigt (z. B. in einer Ausführungsform TXND > 0) und während danach die Decodiereinheit 204 eine Registerumbenennungsoperation durchführt, wird das Array geprüft. Falls das entsprechende Bit keinen vorherigen Zugriff anzeigt, wird eine uOp in den Instruktionsfluss und den ROB 212 eingefügt, um die entsprechenden Daten in einen der Register 240 zu schreiben, z. B. vor dem Umbenennen. Falls das entsprechende Bit einen vorherigen Zugriff anzeigt, ist kein Kopieren notwendig, da die entsprechenden Daten bereits vor einem Schreiben kopiert worden sind. Falls eine Branch-Fehlvorhersage auftritt, können die verschiedenen 1-Bit-Arrays miteinander mittels OR logisch verknüpft werden, z. B. um das weitergehende Start-Array zu bilden. Falls dies gemacht wird, müssen die wiederholten Fehlvorhersagen nicht in wiederholten Copy-on-Write-Operationen resultieren, da die Existenz einer Kopie von gegebenen Daten in einem Register des Kerns 106 garantiert wird. Dies kann den Leistungs-Overhead von Copy-on-Write beschränken.
  • In einer Ausführungsform werden, wenn der Cache 116 geräumt wird, die Einträge, die ein aktives (z. B. gesetztes) Bit 226 oder Bit 228 haben, zuletzt geräumt. Falls kein weiterer verfügbarer Platz im Cache 116 verbleibt, kann die Programmausführung an einen Benutzer-Handler umgeleitet werden (wie z. B. der Handler der entsprechenden TXMBEG-Instruktion). Zusätzlich können die Füllpuffer 222 verwendet werden, um nicht-transaktionale Operation(en) (z. B. Speichern von Daten) zu bedienen, während eine Transaktion aktiv aber temporär suspendiert ist.
  • Falls keine Konflikte existieren, wird in Operation 314 bestimmt, ob die einer Transaktionalspeicherzugriffsanfrage entsprechende(n) Transaktionalspeicherzugriffsoperation(en) (wie z. B. durch eine TXMBEG- und entsprechende TXMEND-Instruktion identifiziert) erfolgreich erledigt werden (z. B. durch die Retirement-Einheit 210). Falls die Transaktionalspeicherzugriffsoperation(en) unerledigt bleibt/bleiben, fährt das Verfahren 300 mit der Operation 306 fort, um die entsprechende(n) Instruktion(en) auszuführen. Sobald die einer Transaktionalspeicherzugriffsanfrage entsprechende(n) Transaktionalspeicherzugriffsoperati on(en) erfolgreich erledigt wird/werden, aktualisiert die Logik 232 den Zähler 230 in einer Operation 316. In einer Ausführungsform kann die Ausführung (z. B. durch die Ausführungseinheit 208) oder Ausgabe (z. B. durch die Schedule-Einheit 206) der TXMEND-Instruktion im Erledigen einer Transaktionalspeicherzugriffsanfrage und somit der Durchführung von Operation 316 resultieren.
  • In einer Operation 318 wird bestimmt, ob irgendwelche anderen Transaktionalspeicherzugriffsanfragen zugeordnete(n) Transaktionalspeicherzugriffsoperation(en) unerledigt bleiben. In einer Ausführungsform kann die Operation durch Logik 232 durchgeführt werden, z. B. durch Bestimmen, ob der im Zähler 230 gespeicherte Wert die Existenz von verbleibenden Transaktionalspeicherzugriffsanfragen anzeigt (z. B. wenn der Zähler 230 in einer Ausführungsform nicht gelöscht ist). Falls andere in Operation 318 auszuführende(n) Operation(en) verbleibt/verbleiben, fahrt das Verfahren 300 mit der Operation 306 fort. Sobald keine weiteren Transaktionalspeicherzugriffsanfragen entsprechenden Operationen bleiben (z. B. wenn der Zähler 230 gelöscht ist), werden in einer Operation 320 die entsprechenden Daten (z. B. innerhalb des Cache 116, Cache 108 und/oder Speicher 114) atomar in Übereinstimmung mit Operationen der erledigten Transaktionalspeicherzugriffsanfrage(n) aktualisiert. Die TXMEND-Instruktion kann ebenfalls einen Erledigungspunkt für die entsprechende Transaktionalspeicherzugriffsanfrage anzeigen, falls der im Zähler 230 gespeicherte Wert anzeigt, dass die TXMEND dem äußersten Transaktionalspeicherzugriff entspricht (z. B. wenn der Zähler 230 gelöscht ist). Die entsprechenden Bits 226 und/oder 228 können in einer Ausführungsform ebenfalls aktualisiert werden (z. B. in einer Ausführungsform gelöscht werden), um anzuzeigen, dass keine weiteren Transaktionalspeicherzugriffsanfragen im Kern 106 ausstehen. Entsprechend kann die TXMEND-Instruktion in einer atomaren Erledigung resultieren, z. B. durch Sicherstellen, dass alle Spekulationen und/oder Ausnahmen aufgelöst wurden, alle Cache-Blöcke, die dem Transaktionsschreibsatz gehören, sich in einem exklusiven Zustand befinden und/oder alle Blöcke, die dem Lesesatz gehören, gegenwärtig vorhanden und valide sind. Hier besprochene Lese- oder Schreibsätze können sich allgemein auf Sätze von Daten innerhalb des Speichers (z. B. Cache 116) beziehen, die jeweils den Bits 226 und 228 entsprechen. In einer Ausführungsform werden die eingehenden Snoops blockiert, während der entsprechende Schreibsatz erledigt wird.
  • In einer Ausführungsform kann der Kern 106 verschiedene Instruktionen (oder Operationen), die mit Bezug auf das Verfahren 300 beschrieben wurden, spekulativ ausführen, wie des Weiteren mit Bezug auf 4 beschrieben wird. Verschiedene Operationen des Verfahrens 300 können ebenfalls out-of-order durchgeführt werden. So können z. B. Operationen 306, 308 und 310 in einer beliebigen Reihenfolge durchgeführt werden. Darüber hinaus können in einer Ausführungsform Snoop-Anfragen vor einer Durchführung von Operationen 310, 312, 314 und/oder 320 blockiert werden und nach der Durchführung der Operationen 310, 312, 314 und/oder 320 entblockiert werden.
  • 4 stellt ein Blockdiagramm einer Ausführungsform eines Verfahrens 400 zum Ausführen einer oder mehrerer einer Transaktionalspeicherzugriffsanfrage entsprechenden Ladeoperationen dar. In einer Ausführungsform können verschiedene mit Bezug auf 12 und 67 besprochene Komponenten benutzt werden, um eine oder mehrere der mit Bezug auf 4 besprochenen Operationen durchzuführen.
  • Bezug nehmend auf 14 kann in einer Operation 402 die Decodiereinheit 204 eine abgerufene Instruktion decodieren und feststellen, ob die abgerufene Instruktion einer Transaktionalspeicherzugriffsladeoperation entspricht. Falls die Instruktion einer Transaktionalspeicherzugriffsladeoperation nicht entspricht, kann der Kern 106 die Instruktion entsprechend verarbeiten (404). So kann z. B. für eine Transaktionalspeicherzugriffsspeicheroperation der Kern 106 die Speicheroperation (oder Instruktion) an den Cache 116 nach dem Ausscheiden der entsprechenden Transaktionalspeicherzugriffsanfrage ausgeben. In einer Ausführungsform kann/können die der Speicheroperation entsprechende(n) Adresse oder Daten spekulativ berechnet und im Speicherpuffer 218 gespeichert werden. Sobald die entsprechende Transaktionalspeicherzugriffsanfrage ausgeschieden ist, kann das Speichern an den Cache in Übereinstimmung mit den vorberechneten Daten ausgegeben werden. In einer Ausführungsform kann das ausgeschiedene Speichern des Weiteren ein Setzen des zugegriffenen Cache-Blocks (z. B. des entsprechenden TXw-Bits 228) verursachen, falls das Bit nicht gesetzt ist, wenn das Speichern an den Cache 116 ausgegeben wird.
  • In einer Operation 406 kann die Logik 239 bestimmen, ob eine vorherige Transaktionalspeicherzugriffsanfrage auf dieselbe Stelle im Cache 116 zugegriffen hat, die von der Ladeinstruktion der Operation 402 adressiert wurde. In einer Ausführungsform kann die Logik 239 auf das entsprechende Bit 226 des Cache 116 zugreifen, um die Operation 406 durchzuführen. Falls auf dieselbe Stelle vorher zugegriffen wurde, kann die Schedule-Einheit 206 die Ladeinstruktion spekulativ ausgeben. Andererseits kann die MOB-Logik 219 die Ladeinstruk tion nach dem Ausscheiden (oder Erledigen, wie durch einen entsprechenden Eintrag im ROB 212 angezeigt wird) in einer Operation 410 erneut ausgeben und dann das entsprechende TXr-Bit 226 im Cache 116 in Operation 412 setzen. In einer Ausführungsform kann das entsprechende Bit 226, falls darauf durch ein vorheriges transaktionales Laden zugegriffen wurde, ebenfalls im Ladepuffer 216 gespeichert werden. Sobald eine Ladeoperation erledigt ist, wie durch einen entsprechenden Eintrag im ROB 212 angezeigt wird, kann der ROB 212 ein Signal an den Ladepuffer 216 (oder die MOB-Logik 219) senden, um anzuzeigen, dass die entsprechende Ladeoperation erledigt ist. Somit kann ein Bereitstellen des Bits 226 im Ladepuffer 216 ein Wiederzugreifen auf den Cache 116 für die Operationen 410 und 412 beschränken, um z. B. die Cache-Bandbreite zu optimieren.
  • In einer Ausführungsform können die Lade- oder Speicheroperationen einer Transaktionalspeicherzugriffsanfrage implizit oder explizit als transaktionale oder nicht-transaktionale Operationen identifiziert werden, z. B. indem beim Laden erlaubt wird, Lese- oder Schreibsätze ohne Serialisierungen und ohne Aktualisierung auszugeben, auf den Cache 116 aber wieder zugegriffen wird, wenn sich die Operation am obersten Element des entsprechenden Lade- oder Speicherpuffers (z. B. Puffer 216 bzw. 218) befindet, um explizit die Lade- oder Speicheradresse als zu dem Lese- oder Schreibsatz der Transaktion zugehörig zu identifizieren. Dies ermöglicht ein spekulatives Ausgeben von Lade- und Speichervorgängen ohne fälschliches Aktualisieren der Lese/Schreibsätze und ermöglicht somit eine präzise Identifikation von Lade- und Speichervorgängen und kann des Weiteren automatisch Branch-Fehlvorhersagen behandeln. Die Speicheroperationen können die Lese/Schreibsätze aktualisieren, wenn die Speicherinstruktionen den ältesten Abschnitt des Speicherpuffers 218 belassen (der in einer Ausführungsform als eine Seniorspeicherschlange bezeichnet werden kann). Darüber hinaus können implizite Einfügungen die Unterstützung von Altbibliotheken in den hier besprochenen Ausführungsformen ermöglichen.
  • 5 stellt eine Ausführungsform eines Zustands- und Kontrollregisters einer Transaktion (TXSR) 500 dar. In einer Ausführungsform kann das TXSR-Register 500 verwendet werden, um verschiedene z. B. mit Bezug auf 23 hier besprochene Ausführungsformen zu implementieren. Die Register 240 aus 2 können z. B. das TXSR-Register 500 in einer Ausführungsform umfassen. Darüber hinaus können die mit Bezug auf 24 besprochenen Operationen durch Zugreifen auf das Register 500 durchgeführt werden.
  • Wie in 5 gezeigt, kann das TXSR-Register 500 einen oder mehrere Einträge 502 (oder in einer Ausführungsform Bits) umfassen. Jeder Eintrag 502 kann den dargestellten Namen (504) in Übereinstimmung mit mindestens einer Instruktionssatzarchitektur umfassen. Ebenfalls kann jeder Eintrag 502 eine entsprechende Klasse 506 umfassen, z. B. um Bits, die ähnlich sind, in einer Kategorie zu gruppieren. 5 zeigt zusätzlich eine jedem Eintrag 502 entsprechende Beschreibung 508. In einer Ausführungsform sind die Klasseninformationen 506 und Beschreibung 508 für Informationszwecke angegeben und können nicht im aktuellen Register 500 gespeichert werden. Falls einer der Einträge 502 den durch die Beschreibung 508 identifizierten Zustand anzeigt, können in einer Ausführungsform eine oder mehrere Aktionen 510 ergriffen werden. In 5 können TXDR und TXFR Register (z. B. enthalten in den Registern 240 aus 2) für Debug-Zwecke sein. TXDR kann z. B. die Adresse einer Speicherinstruktion oder die Snoop-Adresse eines Datenkonflikts speichern, bevor eine Transaktion die Operation abbricht. Darüber hinaus kann TXFR den Instruktionszeiger (IP) einer Fehlinstruktion speichern, die einen Abbruch in einer Transaktion verursacht hat. In einer Ausführungsform können die in TXDR und TXFR gespeicherten Werte durch eine Transaktions-Handler-Routine (z. B. ein Handler, der durch die TXMBEG identifiziert wird) nach dem Abbruch gelesen werden, um einer Software zu ermöglichen, die Adressen, die den Abbruch verursachten, zu bestimmen.
  • Bezug nehmend auf 15 kann in einer Ausführungsform die mit Bezug auf 3 beschriebene TXMBEG-Instruktion eine oder mehrere der folgenden zwei Aktionen abhängig vom Zustand des TXSR-Registers 500 durchführen:
    • 1. Die TXMBEG-Instruktion kann die Ausführung in einen atomaren Ausführungsmodus überleiten, in dem der Instruktion folgende Operationen in einem wiederherstellbaren Modus ausgeführt werden. Alle Aktualisierungen, die von Instruktionen, während sich der Kern 106 in diesem Modus befindet, durchgeführten werden, können zu jedem Zeitpunkt verworfen werden. Um die Wiederherstellbarkeit des Registerzustands zu ermöglichen, können einige der Register 240 durch die Logik 234 gecheckpointet werden. Operationen, die, falls sie ausgeführt werden, nicht annulliert werden können, können in einem Fehler, verworfenen Transaktionsaktualisierungen und/oder einer Übertragung der Kontrolle auf den durch die TXMBEG-Instruktion identifizierten Handler resultieren.
    • 2. Die TXMBEG-Instruktion kann ebenfalls abhängig vom Zustand des TXSR-Registers 500 eine bedingte Kontrollübertragung durchführen. Falls die entsprechenden TXSR-Bits gesetzt sind, kann die TXMBEG-Instruktion die Programmkontrolle zu einem unterschiedlichen Punkt in dem der Transaktionalspeicherzugriffsanfrage entsprechenden Instruktionsstrom übertragen, ohne Rücksprunginformationen aufzuzeichnen. Der Zielpunkt (Ziel)-Operand kann die Adresse, zu der die Instruktion springt, angeben. Falls eine TXMBEG eine bedingte Kontrollübertragung durchführt, kann der Kern 106 nicht in einen wiederherstellbaren Ausführungsmodus übergehen und die Ausführung kann zu der einer JMP(oder Sprung)-Instruktion in Übereinstimmung mit mindestens einer Instruktionssatzarchitektur ähnlich sein.
  • In einer Ausführungsform kann der folgende Pseudocode die mit Bezug auf einige Einträge 502 des in 5 gezeigten Registers 500 oben besprochenen Operationen darstellen:
    Figure 00150001
  • In dem obigen Code kann zusätzlich zum SP-Register eine Vielzahl von Registern (z. B. Register 240) gespeichert werden. In einer Ausführungsform kann der zu speichernde Satz von Registern von der Software zu der Hardware kommuniziert werden. Bezug nehmend auf 15 kann in einer Ausführungsform die mit Bezug auf 3 besprochene TXMEND-Instruktion den folgenden Pseudocode abhängig vom Zustand des TXSR- Registers 500 durchführen (in einer Ausführungsform kann das untere tmpmemstatus ein kontinuierlich durchgeschalteter (continuously gated) Zustand sein, um anzuzeigen, ob sich alle Cache-Blöcke im Cache 116 in einem entsprechenden Zustand befinden):
    Figure 00160001
  • Bezug nehmend auf 15 kann in einer Ausführungsform die mit Bezug auf 3 besprochene TXMABT-Instruktion einem Benutzer ermöglichen, explizit die atomare Block ausführung abzubrechen. Der Effekt kann einem Abbrechen der Ausführung ähnlich sein und alle Aktualisierungen werden verworfen (bis auf die Bits des Registers 500). In einer Ausführungsform kann die TXMABT-Instruktion den folgenden Pseudocode abhängig vom Zustand des TXSR-Registers 500 durchführen:
    Figure 00170001
  • In einer Ausführungsform zeigt die untere Tabelle 1 beispielhafte Cache-Zustände (z. B. des Cache 116 aus 2), Bit-Werte für die Bits 226 und 228 aus 2 und eine entsprechende Beschreibung. In Tabelle 1 zeigt "M" einen modifizierten Cache-Zustand an, "E" zeigt einen exklusiven Cache-Zustand and, "S" zeigt einen Gemeinschafts-Cache-Zustand an, "I" zeigt einen invaliden Cache-Zustand an, "X" zeigt einen Do-Not-Care-Zustand an und "N/A" bedeutet nicht anwendbar.
    TXR TXW CACHE-ZUSTAND BESCHREIBUNG
    0 1 MESI N/A (falls TXw gesetzt ist, dann ist TXr ebenfalls gesetzt)
    1 X I N/A (TX-Bits haben keine Bedeutung, wenn der Cache-Zustand invalide ist)
    1 1 ES N/A (ES-Cache-Zeile kann nicht dirty sein)
    0 0 X keine zusätzliche Bedeutung
    1 0 MES Lesen einer Zeile innerhalb der Transaktion (Falls M, dann ist Zeile im Cache dirty, wurde in Transaktion gelesen, aber nicht in Transaktion beschrieben.)
    1 1 M Zeile wurde innerhalb der Transaktion beschrieben. Früherer Wert wurde auf die nächste Stufe zurückgeschrieben.
    Tabelle 1 – Beispielhafte Cache-Zustand und Bit-Beschreibung
  • In einer Ausführungsform zeigt die untere Tabelle 2 Anfragen des Prozessorkerns 106, Cache-Zustände (z. B. des Cache 116 aus 2), Bit-Werte für die Bits 226 und 228 aus
  • 2 und eine entsprechende Aktion. In Tabelle 2 zeigt "M" einen modifizierten Cache-Zustand an, "E" zeigt einen exklusiven Cache-Zustand an, "S" zeigt einen Gemeinschafts-Cache-Zustand an, "I" zeigt einen invaliden Cache-Zustand an, "X" zeigt einen Do-Not-Care-Zustand an, "LD" bedeutet eine Ladeanfrage, "ST" bedeutet eine Speicheranfrage, "LDntx" bedeutet eine explizite nicht-transaktionale Ladeanfrage, "STntx" bedeutet eine explizite nicht-transaktionale Speicheranfrage, "Done" bedeutet keine zusätzlichen Operationen gegenüber dem, was bereits in dem Basissystem passieren würde (die existierende Cache-Hit-Kondition mit keiner anderen Aktion), "[TXr = ? TXw = ?]" zeigt den Endzustand des Cache-Blocks für dieses Ereignis, "NA" bedeutet, dass dieser Eintrag nicht auftreten sollte, "FAULT" bedeutet, dass eine Fehlerkondition markiert sein kann, und "Issue miss", dass eine Miss-Anfrage ausgegeben wird.
    Anfrage des Kerns TXr TXw Cache-Zustand Aktion
    X 0 1 MESI NA
    X 1 X I NA
    X 1 1 ES NA
    LD 0 0 MES [TXr = 1 TXw = 0]
    LD 1 0 MES Done
    LD 1 1 MES Done
    LD 0 0 I Issue miss [TXr = 1 TXw = 0]
    ST 0 0 M WB_first_retain_own() [TXr = 1 TXw = 1]
    ST 0 0 E [TXr = 1 TXw = 1]
    ST 0 0 S Issue miss (ohne Datenübertragung) [TXr = 1 TXw = 1]
    ST 0 0 I Issue miss [TXr = 1 TXw = 1]
    ST 1 0 M WB_first_retain_own() [TXr = 1 TXw = 1]
    ST 1 0 E [TXr = 1 TXw = 1]
    ST 1 0 S Issue miss (ohne Datenübertragung) [TXr = 1 TXw = 1]
    ST 1 1 M Done
    LDntx 0 0 I Issue miss [TXr = 0 TXw = 0]
    LDntx 1 X MES Done
    LDntx 0 0 MES Done
    STntx 0 0 S Issue miss (ohne Datenübertragung) [TXr = 0 TXw = 0]
    STntx 0 0 I Issue miss [TXr = 0 TXw = 0]
    STntx 0 0 ME Done
    STntx 1 0 MES FAULT
    STntx 1 1 M FAULT
    Tabelle 2 – Beispielhafte Anfrage des Kerns, Cache-Zustand, Bit-Wert und Aktion
  • 6 stellt ein Blockdiagramm einer Ausführungsform eines Rechensystems 600 dar. Das Rechensystem 600 kann eine oder mehrere Zentraleinheit(en) (CPUs) oder Prozessoren 602 umfassen, die mit einer Zwischenverbindung (oder Bus) 604 kommunizieren. In einer Ausführungsform können die Prozessoren 602 dieselben wie oder ähnlich zu den Prozessoren 102 aus 1 sein. Ebenfalls kann die Zwischenverbindung 604 dieselbe wie die oder ähnlich zu den mit Bezug auf 12 diskutierten Zwischenverbindungen 104 und/oder 112 sein. Die Prozessoren 602 können jeden Typ eines Prozessors umfassen, wie z. B. ein Allzweckprozessor, ein Netzwerkprozessor (z. B. einen Prozessor, der über ein Computernetzwerk kommunizierte Daten verarbeitet) oder anderer Prozessor, umfassend einen Reduced Instruction Set Computer (RISC)-Prozessor oder einen Complex Instruction Set Computer (CISC)-Prozessor. Darüber hinaus können die Prozessoren 602 ein Single- oder Multiple-Core-Design aufweisen, z. B. einen oder mehrere Prozessorkerne (106) umfassen, wie mit Bezug auf 12 beschrieben. Die Prozessoren 602 mit einem Multiple-Core-Design können unterschiedliche Typen von Prozessorkernen auf demselben integrierten Schaltungs (IC)-Die integrieren. Die Prozessoren 602 mit einem Multiple-Core-Design können ebenfalls als symmetrische oder asymmetrische Multi-Prozessoren implementiert sein.
  • Wie in 6 gezeigt, kann ein Chipsatz 606 mit der Zwischenverbindung 604 kommunizieren. Der Chipsatz 606 kann einen Speicherkontroll-Hub (MCH) 608 umfassen. Der MCH 608 kann einen Speichercontroller 610 umfassen, der mit dem Speicher 114 kommuniziert. Der Speicher 114 kann Daten speichern, z. B. umfassend Sequenzen von Instruktionen, die durch die Prozessoren 602 oder jede andere mit dem Rechensystem 600 kommunizierende Vorrichtung ausgeführt werden. In einer Ausführungsform der Erfindung kann der Speicher 114 einen oder mehrere flüchtige Storage(oder Speicher)-Vorrichtungen umfassen, wie z. B. Direktzugriffsspeicher (RAM), dynamisches RAM (DRAM), synchrones DRAM (SDRAM), statisches RAM (SRAM) oder andere flüchtige Speichervorrichtungen. Nichtflüchtiger Speicher kann ebenfalls verwendet werden, wie z. B. eine Festplatte. Zusätzliche Vorrichtungen können über die Zwischenverbindung 604 kommunizieren, wie z. B. mehrere Prozessoren und/oder mehrere Systemspeicher.
  • Der MCH 608 kann zusätzlich eine mit einem Graphikbeschleuniger 616 kommunizierende Graphikschnittstelle 614 umfassen. Die Graphikschnittstelle 614 kann in einer Ausführungsform mit dem Graphikbeschleuniger 616 über einen Accelerated Graphics Port (AGP) kommunizieren. In einer Ausführungsform der Erfindung kann ein Display (wie z. B. ein Flachbildschirm) mit der Graphikschnittstelle 614 über z. B. einen Signalkonverter kommunizieren, der eine digitale Repräsentation eines in einer Speichervorrichtung, wie z. B. Videospeicher oder Systemspeicher, gespeicherten Bildes in Display-Signale übersetzt, die von dem Display interpretiert und dargestellt werden. In zahlreichen Ausführungsformen kann das von der Display-Vorrichtung erzeugte Display-Signal unterschiedliche Kontrollvorrichtungen durchlaufen, bevor es von dem Display interpretiert und nachfolgend auf dem Display dargestellt wird.
  • Des Weiteren kann eine Hub-Schnittstelle 618 die Kommunikation zwischen dem MCH 608 und einem Eingabe/Ausgabe (I/O)-Kontroll-Hub (ICH) 620 ermöglichen. Der ICH 620 kann eine Schnittstelle zu mit dem Rechensystem 600 kommunizierenden I/O-Vorrichtungen bereitstellen. Der ICH 620 kann mit einem Bus 622 durch eine Peripherie-Bridge (oder Controller) 624 kommunizieren, wie z. B. eine Peripheral Component Interconnect(PCI)-Bridge oder ein Universal Serial Bus(USB)-Controller. Die Bridge 624 kann einen Datenweg zwischen dem Prozessor 602 und Peripherievorrichtungen bereitstellen. Andere Topologietypen können benutzt werden. Mehrere Busse können ebenfalls mit dem ICH 620, z. B. durch mehrere Bridges oder Controller, kommunizieren. Darüber hinaus können andere mit dem ICH 620 kommunizierende Peripheriegeräte in verschiedenen Ausführungsformen der Erfindung Integrated Drive Electronics (IDE) oder Small Computer System Interface(SCSI)-Festplatte(n), USB-Port(s), eine Tastatur, eine Maus, parallele(n) Port(s), serielle(n) Port(s), Diskettenlaufwerk(e) oder digitale Daten unterstützende Schnittstellen (z. B. Digital Video Interface (DVI)) umfassen.
  • Der Bus 622 kann mit einer Audiovorrichtung 626, einem oder mehreren Plattenlaufwerken) 628 und einem Netzwerkadapter 630 kommunizieren. Der Netzwerkadapter 630 kann mit einem Computernetzwerk 631 kommunizieren, um z. B. verschiedenen Komponenten des Systems 600 zu ermöglichen, Daten über das Netzwerk 631 zu senden und/oder zu empfangen. Andere Vorrichtungen können über den Bus 622 kommunizieren. Verschiedene Komponenten (wie z. B. der Netzwerkadapter 630) können ebenfalls mit dem MCH 608 in einigen Ausführungsformen der Erfindung kommunizieren. Zusätzlich können der Prozessor 602 und der MCH 608 kombiniert werden, um einen einzigen Chip zu bilden. Des Weiteren kann der Graphikbeschleuniger 616 innerhalb des MCH 608 in weiteren Ausführungsformen der Erfindung enthalten sein.
  • In einer Ausführungsform kann das Rechensystem 600 flüchtigen und/oder nichtflüchtigen Speicher (oder Storage) umfassen. Nicht-flüchtiger Speicher kann z. B. einen oder mehrere der Folgenden umfassen: Festwertspeicher (ROM), programmierbarer ROM (PROM), löschbarer PROM (EPROM), elektrischer EPROM (EEPROM), ein Plattenlaufwerk (z. B. 628), eine Floppy-Diskette, eine Compact Disk ROM (CD-ROM), eine Digital Versatile Disk (DVD), Flash-Speicher, eine magnetooptische Platte oder andere Typen von nichtflüchtigen maschinenlesbaren Datenträgern zum Speichern elektronischer Daten (z. B. Instruktionen umfassend).
  • 7 stellt ein Rechensystem 700 dar, das gemäß einer Ausführungsform der Erfindung in einer Point-to-Point(PtP)-Konfiguration angeordnet ist. 7 zeigt insbesondere ein System, in dem Prozessoren, Speicher und Eingabe/Ausgabe-Vorrichtungen miteinander durch eine Vielzahl von Point-to-Point-Schnittstellen verbunden sind. Die mit Bezug auf 16 besprochenen Operationen können durch eine oder mehrere Komponenten des Systems 700 durchgeführt werden.
  • Wie in 7 dargestellt, kann das System 700 mehrere Prozessoren umfassen, von denen nur zwei, Prozessoren 702 und 704, um der Klarheit willen gezeigt werden. Die Prozessoren 702 und 704 können jeder einen lokalen Speichercontroller-Hub (MCH) 706 und 708 umfassen, um eine Kommunikation mit Speichern 710 und 712 zu ermöglichen. Die Speicher 710 und/oder 712 können verschiedene Daten, wie z. B. die mit Bezug auf den Speicher 114 aus 1, 2 und 6 diskutierten, speichern.
  • In einer Ausführungsform können die Prozessoren 702 und 704 einer der mit Bezug auf 6 besprochenen Prozessoren 602 sein. Die Prozessoren 702 und 704 können Daten über eine Point-to-Point(PtP)-Schnittstelle 714 mittels PtP-Schnittstellenschaltungen 716 bzw. 718 austauschen. Jeder der Prozessoren 702 und 704 kann ebenfalls Daten mit einem Chipsatz 720 über individuelle PtP-Schnittstellen 722 und 724 mittels Point-to-Point-Schnittstellenschaltungen 726, 728, 730 und 732 austauschen. Der Chipsatz 720 kann des Weiteren Daten mit einer Hochleistungsgraphikschaltung 734 über eine Hochleistungsgraphikschnittstelle 736 z. B. mittels einer PtP-Schnittstellenschaltung 737 austauschen.
  • Mindestens eine Ausführungsform der Erfindung kann innerhalb der Prozessoren 702 und 704 bereitgestellt werden. Einer oder mehrere der Kerne 106 aus 1 oder 2 kann sich z. B. innerhalb der Prozessoren 702 und 704 befinden. Andere Ausführungsformen der Erfindung können jedoch in anderen Schaltungen, logischen Einheiten oder Vorrichtungen innerhalb des Systems 700 aus 7 existieren. Des Weiteren können andere Ausführungsformen der Erfindung über mehrere Schaltungen, logische Einheiten oder Vorrichtungen, die in 7 dargestellt sind, verteilt werden.
  • Der Chipsatz 720 kann mit einem Bus 740 mittels einer PtP-Schnittstellenschaltung 741 kommunizieren. Der Bus 740 kann eine oder mehrere Vorrichtungen umfassen, die mit ihm kommunizieren, wie z. B. eine Bus-Bridge 742 und I/O-Vorrichtungen 743. Die Bus-Bridge 743 kann über einen Bus 744 mit anderen Vorrichtungen, wie z. B. eine Tastatus/Maus 745, Kommunikationsvorrichtungen 746 (wie z. B. Modems, Netzwerkschnittstellenvorrichtungen (z. B. der Netzwerkadapter 630 aus 6) oder andere Kommunikationsvorrichtungen, die mit dem Computernetzwerk 631 kommunizieren können), Audio-I/O-Vorrichtungen und/oder eine Datenspeichervorrichtung 748, kommunizieren. Die Datenspeichervorrichtung 748 kann Code 749 speichern, der durch die Prozessoren 702 und/oder 704 ausgeführt werden kann.
  • In zahlreichen Ausführungsformen der Erfindung können die hier z. B. mit Bezug auf 17 besprochenen Operationen als Hardware (z. B. Schaltung), Software, Firmware oder Kombinationen davon implementiert sein, die als ein Computerprogrammprodukt bereitgestellt werden können, z. B. umfassend einen maschinenlesbaren oder computerlesbaren Datenträger mit darauf gespeicherten Instruktionen (oder Softwareprozeduren), die verwendet werden, um einen Computer zum Durchführen eines hier besprochenen Prozesses zu programmieren. Der Ausdruck "Logik" kann ebenfalls beispielsweise Software, Hardware oder Kombinationen von Software und Hardware umfassen. Der maschinenlesbare Datenträger kann eine Speichervorrichtung, wie z. B. die mit Bezug auf 17 beschriebene, umfassen. Zusätzlich können solche computerlesbaren Datenträger als ein Computerprogrammprodukt heruntergeladen werden, wobei das Programm von einem entfernten Computer (z. B. einem Server) auf einen anfragenden Computer (z. B. einen Client) mittels Datensignalen, die in einer Trägerwelle verkörpert sind, oder anderen Verbreitungsmedien über eine Kommunikationsverbindung (z. B. einen Bus, ein Modem oder eine Netzwerkverbindung) übertragen werden kann. Entsprechend sollte hier eine Trägerwelle als einen maschinenlesbaren Datenträger umfassend betrachtet werden.
  • Bezüge in der Beschreibung auf "eine einzelne Ausführungsform" oder "eine Ausführungsform" bedeuten, dass ein bestimmtes Merkmal, Struktur oder Charakteristik, die in Verbindung mit der Ausführungsform beschrieben wird, in mindestens einer Implementierung enthalten sein kann. Die Vorkommen der Formulierung "in einer Ausführungsform" an unterschiedlichen Stellen der Beschreibung können sich, aber müssen sich nicht, alle auf dieselbe Ausführungsform beziehen.
  • Die Ausdrücke "gekoppelt" und "verbunden" können in der Beschreibung und den Ansprüchen ebenfalls zusammen mit deren Ableitungen verwendet werden. In einigen Ausführungsformen der Erfindung kann "verbunden" verwendet werden, um anzuzeigen, dass zwei oder mehrere Elemente einen direkten physikalischen oder elektrischen Kontakt miteinander aufweisen. "Gekoppelt" kann bedeuten, dass zwei oder mehrere Elemente einen direkten physikalischen oder elektrischen Kontakt aufweisen. "Gekoppelt" kann jedoch ebenfalls bedeuten, dass zwei oder mehrere Elemente nicht im direkten Kontakt miteinander sein müssen, jedoch weiterhin miteinander zusammenarbeiten oder interagieren können.
  • Obwohl Ausführungsformen der Erfindung in einer strukturellen Merkmalen und/oder methodologischen Akten spezifischen Sprache beschrieben wurden, ist somit verständlich, dass der beanspruchte Gegenstand nicht auf die beschriebenen spezifischen Merkmale oder Akte beschränkt werden darf. Vielmehr werden die spezifischen Merkmale und Akte als beispielhafte Formen des Implementierens des beanspruchten Gegenstands offenbart.
  • Zusammenfassung
  • Verfahren und Vorrichtung zum Bereitstellen von Transaktionalspeicherausführung in Out-of-Order-Prozessoren werden beschrieben. In einer Ausführungsform entspricht ein gespeicherter Wert der Anzahl von Transaktionalspeicherzugriffsanfragen, die unerledigt sind. Die gespeicherten Werte können in Übereinstimmung mit einer beschriebenen Ausführungsform verwendet werden, um eine verschachtelte Wiederherstellung im Falle eines Fehlers, Fehlfunktion, usw. bereitzustellen.

Claims (30)

  1. Vorrichtung, aufweisend: eine Speichereinheit zum Speichern eines Werts, der einer Anzahl von Transaktionalspeicherzugriffsanfragen entspricht, die unerledigt (uncommitted) sind; und eine erste Logik, zum Aktualisieren des in der Speichereinheit gespeicherten Werts für ein Auftreten eines Starts einer Transaktionalspeicherzugriffsanfrage und einer Erledigung der Transaktionalspeicherzugriffsanfrage.
  2. Vorrichtung nach Anspruch 1, des Weiteren aufweisend eine zweite Logik zum Speichern von Daten, die einem Zustand einer oder mehrerer Komponenten eines Prozessors entsprechen, zumindest teilweise, als Antwort auf eine der Transaktionalspeicherzugriffsanfragen.
  3. Vorrichtung nach Anspruch 2, des Weiteren aufweisend eine dritte Logik zum Wiederherstellen des Zustands der einen oder mehreren Komponenten des Prozessors nachdem die Erledigung mindestens einer von einer oder mehreren Operationen, die einer der Transaktionalspeicherzugriffsanfragen entsprechen, fehlschlägt.
  4. Vorrichtung nach Anspruch 2, des Weiteren aufweisend eine dritte Logik zum Verursachen einer Ausführung von einer oder mehrerer Operationen, die mindestens einer der Transaktionalspeicherzugriffsanfragen entsprechen, nach einer Indikation, dass die eine oder mehreren Operationen atomar erledigt werden kann.
  5. Vorrichtung nach Anspruch 4, wobei die dritte Logik die Ausführung der einen oder mehreren Operationen verursacht, nachdem der in der Speichereinheit gespeicherte Wert anzeigt, dass eine letzte der Transaktionalspeicherzugriffsanfragen erledigt wurde.
  6. Vorrichtung nach Anspruch 2, wobei die eine oder mehrere Komponenten des Prozessors ein oder mehrere Register umfasst.
  7. Vorrichtung nach Anspruch 1, wobei sich die Transaktionalspeicherzugriffsanfragen auf denselben Thread beziehen.
  8. Vorrichtung nach Anspruch 1, des Weiteren aufweisend einen Cache zum Speichern von Daten, wobei der Cache ein oder mehrere Bits zum Anzeigen eines Zugriffs, der einer der Transaktionalspeicherzugriffsanfragen entspricht, auf einen Abschnitt des Cache aufweist.
  9. Vorrichtung nach Anspruch 8, wobei der Abschnitt des Cache eines oder mehrere aus einer Gruppe, umfassend eine Cache-Zeile und einen Cache-Block, ist.
  10. Vorrichtung nach Anspruch 8, wobei ein oder mehrere Einträge im Cache, die mindestens einer der Transaktionalspeicherzugriffsanfragen entsprechen, nach anderen Einträgen im Cache geräumt werden.
  11. Vorrichtung nach Anspruch 1, des Weiteren aufweisend eine zweite Logik zum spekulativen Ausführen mindestens einiger von einer oder mehreren Operationen, die den Transaktionalspeicherzugriffsanfragen entsprechen.
  12. Vorrichtung nach Anspruch 1, wobei mindestens einige von einer oder mehreren Operationen, die den Transaktionalspeicherzugriffsanfragen entsprechen, als transaktional oder nicht-transaktional identifiziert werden.
  13. Vorrichtung nach Anspruch 1, des Weiteren aufweisend eine zweite Logik zum Abbrechen mindestens einer der Transaktionalspeicherzugriffsanfragen als Antwort auf eines oder mehrere aus einer Gruppe, umfassend: einen Konflikt mit einer anderen Operation; ein implementierungsspezifisches Ereignis, das einen Abbruch erzwingt; und eine Anfrage für einen expliziten Abbruch.
  14. Vorrichtung nach Anspruch 1, des Weiteren aufweisend eine Vielzahl von Prozessorkernen, wobei mindestens einer aus der Vielzahl von Prozessorkernen eines oder mehrere aus einer Gruppe, umfassend die erste Logik und die Speichereinheit, umfasst.
  15. Vorrichtung nach Anspruch 1, des Weiteren aufweisend eine zweite Logik zum spekulativen Ausgeben einer Ladeoperation nachdem eine vorherige der Transaktionalspeicherzugriffsanfragen auf dieselbe Stelle eines Speichers, die der Ladeoperation entspricht, zugegriffen hat.
  16. Vorrichtung nach Anspruch 1, des Weiteren aufweisend eine zweite Logik zum Verursachen einer Ausführung von einem nicht-transaktionalen Speichern, das dem Transaktionalspeicherzugriff entspricht, als Write-Through-Operationen auf einen Speicher.
  17. Verfahren, aufweisend: Aktualisieren eines gespeicherten Werts, der einer Anzahl von Transaktionalspeicherzugriffsanfragen entspricht, die unerledigt sind, als Antwort auf mindestens eine erste Instruktion, die einer Transaktionalspeicheranfrage entspricht; und Durchführen einer oder mehrerer Operationen als Antwort auf eine zweite Instruktion, die der Transaktionalspeicheranfrage entspricht.
  18. Verfahren nach Anspruch 17, des Weiteren aufweisend Aktualisieren des gespeicherten Werts als Antwort auf die zweite Instruktion.
  19. Verfahren nach Anspruch 17, des Weiteren aufweisend ein Checkpointen einer oder mehrer Komponenten eines Prozessors als Antwort auf die erste Instruktion.
  20. Verfahren nach Anspruch 17, des Weiteren aufweisend spekulatives Ausgeben einer Ladeinstruktion, die der Transaktionalspeicheranfrage entspricht, falls ein Bit, das einem Abschnitt eines Cache entspricht, einen vorherigen transaktionalen Zugriff auf denselben Abschnitt des Cache anzeigt.
  21. Verfahren nach Anspruch 17, des Weiteren aufweisend Identifizieren einer oder mehrerer Instruktionen, die der Transaktionalspeicheranfrage entsprechen, als transaktional oder nicht-transaktional.
  22. Verfahren nach Anspruch 21, wobei das Identifzieren der einen oder mehreren Instruktionen implizit oder explizit durchgeführt wird.
  23. System, aufweisend: einen Speicher zum Speichern von Daten; einen Prozessor, aufweisend: eine erste Logik zum Abrufen einer ersten Instruktion aus dem Speicher, die einem Start eines Transaktionalspeicherzugriffs entspricht, und einer zweiten Instruktion aus dem Speicher, die einem Ende des Transaktionalspeicherzugriffs entspricht; und eine zweite Logik zum Aktualisieren eines in einer Speichereinheit gespeicherten Werts als Antwort auf eine oder mehrere der ersten Instruktion und der zweiten Instruktion.
  24. System nach Anspruch 23, des Weiteren aufweisend eine dritte Logik zum Verursachen einer Ausführung von einer oder mehrerer Operationen auf dem Speicher nach einer Indikation, dass die eine oder mehreren Speicheroperationen atomar erledigt werden kann.
  25. System nach Anspruch 23, des Weiteren aufweisend eine dritte Logik zum Wiederherstellen eines Zustands einer oder mehrerer Komponenten des Prozessors nachdem die Erledigung mindestens einer von einer oder mehreren Operationen, die dem Transaktionalspeicherzugriff entsprechen, fehlschlägt.
  26. System nach Anspruch 23, wobei der in der Speichereinheit gespeicherte Wert einer Anzahl von Transaktionalspeicherzugriffsanfragen entspricht, die unerledigt sind.
  27. System nach Anspruch 23, wobei die zweite Logik den in der Speichereinheit gespeicherten Wert als Antwort auf eine Ausführung oder ein Ausgeben der ersten oder zweiten Instruktion aktualisiert.
  28. System nach Anspruch 23, des Weiteren aufweisend einen Cache zum Speichern mindestens einiger der in dem Speicher gespeicherten Daten, wobei der Cache ein oder mehrere Bits zum Anzeigen eines Zugriffs, der dem Transaktionalspeicherzugriff entspricht, auf einen Abschnitt des Cache aufweist.
  29. System nach Anspruch 28, des Weiteren aufweisend eine dritte Logik zum Aktualisieren von in dem Cache gespeicherten Daten als Antwort auf eine oder mehrere Operationen, die dem Transaktionalspeicherzugriff entsprechen.
  30. System nach Anspruch 23, des Weiteren umfassend eine Audiovorrichtung.
DE112007000812.1T 2006-03-30 2007-03-20 Vorrichtung mit einer speichereinheit und drei logiken, verfahren zum durchführen der verfahrensschritte der vorrichtung undsystem mit einem speicher und einem prozessor zur bereitstellung eines effizienten mechanismus‘ für transaktionalspeicherausführungen in out-of-order-prozessoren Active DE112007000812B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/394,687 2006-03-30
US11/394,687 US8180977B2 (en) 2006-03-30 2006-03-30 Transactional memory in out-of-order processors
PCT/US2007/064450 WO2007115003A1 (en) 2006-03-30 2007-03-20 Transactional memory in out-of-order processors

Publications (2)

Publication Number Publication Date
DE112007000812T5 true DE112007000812T5 (de) 2009-01-22
DE112007000812B4 DE112007000812B4 (de) 2023-06-07

Family

ID=38563996

Family Applications (2)

Application Number Title Priority Date Filing Date
DE112007003801.2T Active DE112007003801B3 (de) 2006-03-30 2007-03-20 Vorrichtung mit einer speichereinheit und einer logik zur bereitstellung eines effizienten mechanismus‘ für transaktionalspeicherausführungen in out-of-order-prozessoren
DE112007000812.1T Active DE112007000812B4 (de) 2006-03-30 2007-03-20 Vorrichtung mit einer speichereinheit und drei logiken, verfahren zum durchführen der verfahrensschritte der vorrichtung undsystem mit einem speicher und einem prozessor zur bereitstellung eines effizienten mechanismus‘ für transaktionalspeicherausführungen in out-of-order-prozessoren

Family Applications Before (1)

Application Number Title Priority Date Filing Date
DE112007003801.2T Active DE112007003801B3 (de) 2006-03-30 2007-03-20 Vorrichtung mit einer speichereinheit und einer logik zur bereitstellung eines effizienten mechanismus‘ für transaktionalspeicherausführungen in out-of-order-prozessoren

Country Status (7)

Country Link
US (1) US8180977B2 (de)
JP (1) JP5118652B2 (de)
CN (2) CN101410797B (de)
DE (2) DE112007003801B3 (de)
GB (1) GB2447200B (de)
TW (1) TWI371688B (de)
WO (1) WO2007115003A1 (de)

Families Citing this family (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8813052B2 (en) * 2005-12-07 2014-08-19 Microsoft Corporation Cache metadata for implementing bounded transactional memory
US8683143B2 (en) * 2005-12-30 2014-03-25 Intel Corporation Unbounded transactional memory systems
US8898652B2 (en) 2006-03-23 2014-11-25 Microsoft Corporation Cache metadata for accelerating software transactional memory
US8180967B2 (en) * 2006-03-30 2012-05-15 Intel Corporation Transactional memory virtualization
US8180977B2 (en) 2006-03-30 2012-05-15 Intel Corporation Transactional memory in out-of-order processors
US8165025B2 (en) * 2006-12-08 2012-04-24 Ixia Method and apparatus for generating a unique packet identifier
US7669040B2 (en) * 2006-12-15 2010-02-23 Sun Microsystems, Inc. Method and apparatus for executing a long transaction
US20080158237A1 (en) * 2006-12-28 2008-07-03 Selwan Pierre M Graphics memory module
US7966459B2 (en) * 2007-12-31 2011-06-21 Oracle America, Inc. System and method for supporting phased transactional memory modes
US7904668B2 (en) * 2007-12-31 2011-03-08 Oracle America, Inc. Optimistic semi-static transactional memory implementations
CN102144218A (zh) * 2008-07-28 2011-08-03 超威半导体公司 可虚拟化的先进同步设备
US8627014B2 (en) * 2008-12-30 2014-01-07 Intel Corporation Memory model for hardware attributes within a transactional memory system
US8627017B2 (en) * 2008-12-30 2014-01-07 Intel Corporation Read and write monitoring attributes in transactional memory (TM) systems
US9785462B2 (en) * 2008-12-30 2017-10-10 Intel Corporation Registering a user-handler in hardware for transactional memory event handling
US8250331B2 (en) 2009-06-26 2012-08-21 Microsoft Corporation Operating system virtual memory management for hardware transactional memory
US8489864B2 (en) * 2009-06-26 2013-07-16 Microsoft Corporation Performing escape actions in transactions
US8812796B2 (en) 2009-06-26 2014-08-19 Microsoft Corporation Private memory regions and coherence optimizations
US8370577B2 (en) 2009-06-26 2013-02-05 Microsoft Corporation Metaphysically addressed cache metadata
US8161247B2 (en) 2009-06-26 2012-04-17 Microsoft Corporation Wait loss synchronization
US8356166B2 (en) * 2009-06-26 2013-01-15 Microsoft Corporation Minimizing code duplication in an unbounded transactional memory system by using mode agnostic transactional read and write barriers
US8229907B2 (en) * 2009-06-30 2012-07-24 Microsoft Corporation Hardware accelerated transactional memory system with open nested transactions
US8924975B2 (en) * 2009-07-23 2014-12-30 Empire Technology Development Llc Core selection for applications running on multiprocessor systems based on core and application characteristics
US8832415B2 (en) * 2010-01-08 2014-09-09 International Business Machines Corporation Mapping virtual addresses to different physical addresses for value disambiguation for thread memory access requests
US8751748B2 (en) * 2010-01-15 2014-06-10 International Business Machines Corporation Reader set encoding for directory of shared cache memory in multiprocessor system
US8533440B2 (en) * 2009-12-15 2013-09-10 Microsoft Corporation Accelerating parallel transactions using cache resident transactions
US9092253B2 (en) * 2009-12-15 2015-07-28 Microsoft Technology Licensing, Llc Instrumentation of hardware assisted transactional memory system
US8539465B2 (en) 2009-12-15 2013-09-17 Microsoft Corporation Accelerating unbounded memory transactions using nested cache resident transactions
US8521995B2 (en) * 2009-12-15 2013-08-27 Intel Corporation Handling operating system (OS) transitions in an unbounded transactional memory (UTM) mode
US9477515B2 (en) 2009-12-15 2016-10-25 Intel Corporation Handling operating system (OS) transitions in an unbounded transactional memory (UTM) mode
US8402218B2 (en) 2009-12-15 2013-03-19 Microsoft Corporation Efficient garbage collection and exception handling in a hardware accelerated transactional memory system
US8924692B2 (en) * 2009-12-26 2014-12-30 Intel Corporation Event counter checkpointing and restoring
US8479053B2 (en) 2010-07-28 2013-07-02 Intel Corporation Processor with last branch record register storing transaction indicator
US8484235B2 (en) * 2011-03-28 2013-07-09 International Business Machines Corporation Dynamically switching the serialization method of a data structure
US9582275B2 (en) 2011-05-31 2017-02-28 Intel Corporation Method and apparatus for obtaining a call stack to an event of interest and analyzing the same
WO2013101138A1 (en) * 2011-12-30 2013-07-04 Intel Corporation Identifying and prioritizing critical instructions within processor circuitry
WO2013101144A1 (en) * 2011-12-30 2013-07-04 Intel Corporation Overlapping atomic regions in a processor
WO2013115816A1 (en) * 2012-02-02 2013-08-08 Intel Corporation A method, apparatus, and system for speculative abort control mechanisms
US9558118B2 (en) 2012-03-30 2017-01-31 Intel Corporation Tracing mechanism for recording shared memory interleavings on multi-core processors
US9317460B2 (en) 2012-06-15 2016-04-19 International Business Machines Corporation Program event recording within a transactional environment
US9448796B2 (en) 2012-06-15 2016-09-20 International Business Machines Corporation Restricted instructions in transactional execution
US9772854B2 (en) 2012-06-15 2017-09-26 International Business Machines Corporation Selectively controlling instruction execution in transactional processing
US9015419B2 (en) 2012-06-15 2015-04-21 International Business Machines Corporation Avoiding aborts due to associativity conflicts in a transactional environment
US9262320B2 (en) 2012-06-15 2016-02-16 International Business Machines Corporation Tracking transactional execution footprint
US9384004B2 (en) 2012-06-15 2016-07-05 International Business Machines Corporation Randomized testing within transactional execution
US9348642B2 (en) * 2012-06-15 2016-05-24 International Business Machines Corporation Transaction begin/end instructions
US20130339680A1 (en) * 2012-06-15 2013-12-19 International Business Machines Corporation Nontransactional store instruction
US9223687B2 (en) 2012-06-15 2015-12-29 International Business Machines Corporation Determining the logical address of a transaction abort
US8880959B2 (en) 2012-06-15 2014-11-04 International Business Machines Corporation Transaction diagnostic block
US9336046B2 (en) 2012-06-15 2016-05-10 International Business Machines Corporation Transaction abort processing
US9436477B2 (en) 2012-06-15 2016-09-06 International Business Machines Corporation Transaction abort instruction
US9298631B2 (en) 2012-06-15 2016-03-29 International Business Machines Corporation Managing transactional and non-transactional store observability
US8688661B2 (en) 2012-06-15 2014-04-01 International Business Machines Corporation Transactional processing
US9740549B2 (en) 2012-06-15 2017-08-22 International Business Machines Corporation Facilitating transaction completion subsequent to repeated aborts of the transaction
US10437602B2 (en) 2012-06-15 2019-10-08 International Business Machines Corporation Program interruption filtering in transactional execution
US9298469B2 (en) 2012-06-15 2016-03-29 International Business Machines Corporation Management of multiple nested transactions
US9311101B2 (en) 2012-06-15 2016-04-12 International Business Machines Corporation Intra-instructional transaction abort handling
US8966324B2 (en) 2012-06-15 2015-02-24 International Business Machines Corporation Transactional execution branch indications
US9367323B2 (en) 2012-06-15 2016-06-14 International Business Machines Corporation Processor assist facility
US9442737B2 (en) 2012-06-15 2016-09-13 International Business Machines Corporation Restricting processing within a processor to facilitate transaction completion
US8682877B2 (en) 2012-06-15 2014-03-25 International Business Machines Corporation Constrained transaction execution
US9361115B2 (en) * 2012-06-15 2016-06-07 International Business Machines Corporation Saving/restoring selected registers in transactional processing
US9411739B2 (en) 2012-11-30 2016-08-09 Intel Corporation System, method and apparatus for improving transactional memory (TM) throughput using TM region indicators
US9182986B2 (en) * 2012-12-29 2015-11-10 Intel Corporation Copy-on-write buffer for restoring program code from a speculative region to a non-speculative region
US20140281236A1 (en) * 2013-03-14 2014-09-18 William C. Rash Systems and methods for implementing transactional memory
US9547594B2 (en) * 2013-03-15 2017-01-17 Intel Corporation Instructions to mark beginning and end of non transactional code region requiring write back to persistent storage
US9535744B2 (en) 2013-06-29 2017-01-03 Intel Corporation Method and apparatus for continued retirement during commit of a speculative region of code
CN104572506B (zh) * 2013-10-18 2019-03-26 阿里巴巴集团控股有限公司 一种并发访问内存的方法及装置
US9348523B2 (en) 2013-12-12 2016-05-24 International Business Machines Corporation Code optimization to enable and disable coalescing of memory transactions
US9158573B2 (en) 2013-12-12 2015-10-13 International Business Machines Corporation Dynamic predictor for coalescing memory transactions
US9292337B2 (en) 2013-12-12 2016-03-22 International Business Machines Corporation Software enabled and disabled coalescing of memory transactions
US9348522B2 (en) 2013-12-12 2016-05-24 International Business Machines Corporation Software indications and hints for coalescing memory transactions
US9146774B2 (en) 2013-12-12 2015-09-29 International Business Machines Corporation Coalescing memory transactions
CN105723348B (zh) * 2013-12-17 2019-02-15 英特尔公司 使用事务性存储器检测未授权存储器修改及访问
US10324768B2 (en) * 2014-12-17 2019-06-18 Intel Corporation Lightweight restricted transactional memory for speculative compiler optimization
GB2533416A (en) 2014-12-19 2016-06-22 Advanced Risc Mach Ltd Monitoring utilization of transactional processing resource
GB2533415B (en) * 2014-12-19 2022-01-19 Advanced Risc Mach Ltd Apparatus with at least one resource having thread mode and transaction mode, and method
US10318430B2 (en) * 2015-06-26 2019-06-11 International Business Machines Corporation System operation queue for transaction
CN106527961B (zh) * 2015-09-15 2019-06-21 伊姆西公司 用于保证数据一致性的方法和装置
US9563467B1 (en) 2015-10-29 2017-02-07 International Business Machines Corporation Interprocessor memory status communication
US9760397B2 (en) 2015-10-29 2017-09-12 International Business Machines Corporation Interprocessor memory status communication
US9916179B2 (en) 2015-10-29 2018-03-13 International Business Machines Corporation Interprocessor memory status communication
US10261827B2 (en) 2015-10-29 2019-04-16 International Business Machines Corporation Interprocessor memory status communication
US20170371701A1 (en) * 2016-06-27 2017-12-28 Kshitij A. Doshi Apparatuses, methods, and systems for granular and adaptive hardware transactional synchronization
GB2579246B (en) * 2018-11-28 2021-10-13 Advanced Risc Mach Ltd Apparatus and data processing method for transactional memory

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5428761A (en) 1992-03-12 1995-06-27 Digital Equipment Corporation System for achieving atomic non-sequential multi-word operations in shared memory
US5652859A (en) * 1995-08-17 1997-07-29 Institute For The Development Of Emerging Architectures, L.L.C. Method and apparatus for handling snoops in multiprocessor caches having internal buffer queues
US5765208A (en) * 1995-09-29 1998-06-09 Motorola, Inc. Method of speculatively executing store instructions prior to performing snoop operations
US5835961A (en) 1996-05-03 1998-11-10 Digital Equipment Corporation System for non-current page table structure access
US6038645A (en) 1996-08-28 2000-03-14 Texas Instruments Incorporated Microprocessor circuits, systems, and methods using a combined writeback queue and victim cache
US20020108025A1 (en) 1998-10-21 2002-08-08 Nicholas Shaylor Memory management unit for java environment computers
US6681293B1 (en) 2000-08-25 2004-01-20 Silicon Graphics, Inc. Method and cache-coherence system allowing purging of mid-level cache entries without purging lower-level cache entries
US6895527B1 (en) 2000-09-30 2005-05-17 Intel Corporation Error recovery for speculative memory accesses
US6640285B1 (en) 2000-10-26 2003-10-28 Emc Corporation Method and apparatus for improving the efficiency of cache memories using stored activity measures
US7080119B2 (en) * 2001-07-17 2006-07-18 Bea Systems, Inc. System and method for transaction processing with delegated commit feature
US6877088B2 (en) * 2001-08-08 2005-04-05 Sun Microsystems, Inc. Methods and apparatus for controlling speculative execution of instructions based on a multiaccess memory condition
US7120762B2 (en) 2001-10-19 2006-10-10 Wisconsin Alumni Research Foundation Concurrent execution of critical sections by eliding ownership of locks
US7328316B2 (en) 2002-07-16 2008-02-05 Sun Microsystems, Inc. Software transactional memory for dynamically sizable shared data structures
US7263585B2 (en) 2002-09-19 2007-08-28 Ip-First, Llc Store-induced instruction coherency mechanism
US7269717B2 (en) 2003-02-13 2007-09-11 Sun Microsystems, Inc. Method for reducing lock manipulation overhead during access to critical code sections
US7216202B1 (en) 2003-02-25 2007-05-08 Sun Microsystems, Inc. Method and apparatus for supporting one or more servers on a single semiconductor chip
US7139892B2 (en) 2003-05-02 2006-11-21 Microsoft Corporation Implementation of memory access control using optimizations
US7676603B2 (en) 2004-04-20 2010-03-09 Intel Corporation Write combining protocol between processors and chipsets
GB0415850D0 (en) 2004-07-15 2004-08-18 Imagination Tech Ltd Memory management system
US20060026557A1 (en) * 2004-07-29 2006-02-02 International Business Machines Corporation Manipulating portlets
US7395382B1 (en) 2004-08-10 2008-07-01 Sun Microsystems, Inc. Hybrid software/hardware transactional memory
CN1755365A (zh) * 2004-09-29 2006-04-05 中国人民解放军军事医学科学院基础医学研究所 一种检测丙型肝炎病毒抗体的方法
US7856537B2 (en) 2004-09-30 2010-12-21 Intel Corporation Hybrid hardware and software implementation of transactional memory access
US7984248B2 (en) 2004-12-29 2011-07-19 Intel Corporation Transaction based shared data operations in a multiprocessor environment
US20060184840A1 (en) * 2005-02-11 2006-08-17 International Business Machines Corporation Using timebase register for system checkstop in clock running environment in a distributed nodal environment
US20060259589A1 (en) * 2005-04-20 2006-11-16 Lerman David R Browser enabled video manipulation
US7882339B2 (en) 2005-06-23 2011-02-01 Intel Corporation Primitives to enhance thread-level speculation
US7480771B2 (en) 2005-08-17 2009-01-20 Sun Microsystems, Inc. Conditional synchronization mechanisms allowing multiple store operations to become visible while a flagged memory location is owned and remains unchanged
US7809903B2 (en) 2005-12-15 2010-10-05 Intel Corporation Coordinating access to memory locations for hardware transactional memory transactions and software transactional memory transactions
US7870545B2 (en) 2005-12-16 2011-01-11 Intel Corporation Protecting shared variables in a software transactional memory system
US7730286B2 (en) 2005-12-30 2010-06-01 Intel Corporation Software assisted nested hardware transactions
US8683143B2 (en) 2005-12-30 2014-03-25 Intel Corporation Unbounded transactional memory systems
US20070186056A1 (en) 2006-02-07 2007-08-09 Bratin Saha Hardware acceleration for a software transactional memory system
US9009116B2 (en) * 2006-03-28 2015-04-14 Oracle America, Inc. Systems and methods for synchronizing data in a cache and database
US8180977B2 (en) 2006-03-30 2012-05-15 Intel Corporation Transactional memory in out-of-order processors
US8185698B2 (en) 2007-04-09 2012-05-22 Bratin Saha Hardware acceleration of a write-buffering software transactional memory

Also Published As

Publication number Publication date
JP5118652B2 (ja) 2013-01-16
JP2009527866A (ja) 2009-07-30
GB2447200A (en) 2008-09-03
CN101410797A (zh) 2009-04-15
TW200815976A (en) 2008-04-01
US8180977B2 (en) 2012-05-15
DE112007000812B4 (de) 2023-06-07
US20070260942A1 (en) 2007-11-08
CN103257933A (zh) 2013-08-21
GB0812727D0 (en) 2008-08-20
CN101410797B (zh) 2013-04-24
GB2447200B (en) 2011-06-22
DE112007003801B3 (de) 2023-07-13
CN103257933B (zh) 2017-12-15
WO2007115003A1 (en) 2007-10-11
TWI371688B (en) 2012-09-01

Similar Documents

Publication Publication Date Title
DE112007003801B3 (de) Vorrichtung mit einer speichereinheit und einer logik zur bereitstellung eines effizienten mechanismus‘ für transaktionalspeicherausführungen in out-of-order-prozessoren
DE60222402T2 (de) Verfahren und system zur spekulativen ungültigkeitserklärung von zeilen in einem cachespeicher
DE112004002848B4 (de) Mikroprozessor und Verfahren zum Verifizieren einer Speicherdatei in einem derartigen Mikroprozessor
DE112005002173B4 (de) Prozessor mit Abhängigkeitsmechanismus, um vorherzusagen, ob ein Ladevorgang von einem älteren Schreibvorgang abhängig ist
DE112006003597T5 (de) Unbeschränkte Transaktionsspeichersysteme
DE60005860T2 (de) Ablaufsteuerung zum ausgeben und wiederausgeben von ketten abhängiger befehle
DE112010003492B4 (de) Transaktionsspeichersystem mit wirksamerZwischenspeicherunterstützung
DE112010004322T5 (de) Vorhersagen und Vermeiden von Operand-Speichervorgang-Vergleich-Gefahren in Mikroprozessoren mit abweichender Reihenfolge
DE60009151T2 (de) Vorhersage von datenbeförderung von speicher- zum ladebefehl mit untrainierung
DE112006001698T5 (de) Grundfunktionen zum Verbessern von Thread-Level Spekulation
DE202007019502U1 (de) Globaler Überlauf für virtualisierten Transaktionsspeicher
DE19506734A1 (de) Computersystem und Verfahren zum Aufrechterhalten der Speicherkonsistenz in einer Busanforderungswarteschlange
DE112010004963T5 (de) Synchronisieren von SIMD Vektoren
CN1908890B (zh) 用于使用记录板机制处理加载锁定指令的方法和装置
DE112010003758T5 (de) Instruktionen zum Verwalten einer parallelen Cache-Hierarchie
DE112005003098T5 (de) Verfahren und Vorrichtung zum Zugreifen auf einen physikalischen Speicher von einer CPU oder einem Prozessorelement mit hoher Leistung
DE112004001854T5 (de) System und Verfahren zur Handhabung von Sonderinstruktionen in einem Prozessor auf Grundlage eines Cache-Speichers mit Ablaufverfolgung
DE112005003339T5 (de) Transaktionsgestützter Verarbeitungsbetrieb mit gemeinsam genutzten Daten in einer Multiprozessorumgebung
DE102009012766A1 (de) Ein Zugriffssperrenvorgang, um atomare Aktualisierungen zu einem geteilten Speicher zu ermöglichen
DE112006002237T5 (de) Verfahren zur selbstinitiierenden Synchronisierung in einem Computersystem
DE102010034555A1 (de) Bereitstellen von Zustandsspeicher in einem Prozessor für Systemmanagement-Modus
DE102010035603A1 (de) Bereitstellen von Hardwareunterstützung für gemeinsam benutzten virtuellen Speicher zwischen physischem Lokal- und Fernspeicher
DE102010053088A1 (de) Sammeln und Streuen mehrerer Datenelemente
DE112009005006T5 (de) Optimierungen für ein ungebundenes transaktionales Speichersystem (UTM)
DE112010005821T5 (de) Kontextwechsel

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R016 Response to examination communication
R130 Divisional application to

Ref document number: 112007003801

Country of ref document: DE

R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final