CN1950922A - 将溅射源和偏压功率频率施加到工件上的金属等离子体汽相沉积和再溅射的设备 - Google Patents

将溅射源和偏压功率频率施加到工件上的金属等离子体汽相沉积和再溅射的设备 Download PDF

Info

Publication number
CN1950922A
CN1950922A CNA2005800135811A CN200580013581A CN1950922A CN 1950922 A CN1950922 A CN 1950922A CN A2005800135811 A CNA2005800135811 A CN A2005800135811A CN 200580013581 A CN200580013581 A CN 200580013581A CN 1950922 A CN1950922 A CN 1950922A
Authority
CN
China
Prior art keywords
plasma
sputter
wafer
power
target
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005800135811A
Other languages
English (en)
Other versions
CN1950922B (zh
Inventor
卡尔·M·布朗
约翰·帕皮通
维内特·梅塔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/052,011 external-priority patent/US7399943B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1950922A publication Critical patent/CN1950922A/zh
Application granted granted Critical
Publication of CN1950922B publication Critical patent/CN1950922B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • H01J37/3408Planar magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • C23C14/358Inductive energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners

Abstract

一种等离子体反应器包括真空室,该真空室包括侧壁、室顶及一靠近该室的室底板的晶圆支撑托架,及连接到该室的真空泵。一连接到该室的处理气体入口被以及连接到该处理气体入口的处理气体源。该反应器还包括位于室顶板的金属溅镀靶材、连接到该溅镀靶材上的高压直流电源、连接到该晶圆支撑托架的RF等离子体源功率产生器并具有一适宜激发运动电子的频率,及连接到该晶圆支撑托架的RF等离子体偏压功率产生器并具有一适宜将能量耦接至等离子体离子的频率。

Description

将溅射源和偏压功率频率施加到工件上的金属等离子体汽相沉积和再溅射的 设备
相关申请的交叉引用
本申请要求享有由Karl M.Brown等人在2004年10月5日提交的、题目为APPARATUS AND METHOD FOR METAL PLAMA VAPOR DEPOSITIONAND RE-SPUTTER WITH SOURCE AND BIAS POWER FREQUENCIESAPPLIED THROUGH THE WORKPIECE(将溅射源和偏压功率频率施加到工件上的金属等离子体汽相沉积和再溅射的设备和方法)的美国临时申请号为60/616,418的优先权,该申请已经转让给本受让人。
背景技术
随着最近在集成电路设计技术上的进步,现在覆盖半导体晶体管的多层互连结构中已有多达6至10层互连层迭。绝缘层将连续的导体层隔开。导体的互连层可具有完全不同的导体图案且通过垂直地延伸穿过绝缘层的通孔(vias)彼此连结并在不同的位置处连结至晶体管层。本发明关注的就是如何形成这些通孔。
为了降低集成电路中的阻抗功耗损失,互连层及通孔一般均使用铝,最近也使用铜,来作为主要的导体。绝缘层为二氧化硅,但最近除了二氧化硅之外,也愈来愈常使用具有低介电常数的介电物质。因为铜倾向会随着时间而扩散于整个绝缘层上,造成灾难性的短路,因此可在该集成电路内该铜材质与该介电材质相界接的任何位置处,设置一可阻挡铜扩散的阻挡层。该阻挡层典型地是由底下绝缘层接触的氮化钽或与氮化钛层,覆盖的纯的(或几近纯的)钽(或钛)层,及在该纯的钽(或钛)层上的铜的籽晶层所形成。该阻挡层可防止铜原子移动或扩散到介电物质中。相较于铜,钽及氮化钛(或钛及氮化钛)层是不佳的导体。阻挡层及铜导体是通过物理气相沉积所形成的。也可使用其它沉积处理(譬如化学气相沉积,等离子体强化的化学气相沉积或电镀)来实施金属填入步骤(铜沉积)。
在形成延伸于水平互连层之间的该些垂直延伸通孔时,会发生如下所述的一个问题。每一垂直的通孔开口通过蚀刻贯穿一覆盖的水平绝缘体(二氧化硅)层的孔来曝露出底下水平互连层上该铜导体的一部分。此曝露出来的部分即为透过该通孔所要连接的部分。在铜被形成在该通孔内之前,必需先沉积一阻挡层在该通孔的内表面上,以防止铜发生如上所述的移动。此覆盖了该通孔所有内部表面的阻挡层,可将底下铜导体曝露出来的部分覆盖住。因为该阻挡层为一不良的导体,所以必须将它从底下的铜导体上选择性地(在蚀刻处理中)去除掉,而不能将其余的阻挡层从该通孔的其它内表面上去除掉。此移除步骤需要中断该物理气相沉积处理,用以将晶圆放在一蚀刻室内,从底下的铜表面上选择性地去除阻挡层的步骤可在该蚀刻室内实施。然后将该晶圆送回到一物理气相沉积反应器内来形成该铜导体。
由该选择性的阻挡层去除所代表的中断必需承担较高的制造成本且耗费较多的时间。在最近几年,一种双用途反应器被开发出来,其能够实施阻挡层的物理气相沉积及在阻挡形成步骤之后的阻挡层选择性去除,因而无需将晶圆从反应器内移出。其结果为,可大幅节省制造成本及制造时间。这通过在该物理气相沉积室内提供一靠近该晶圆之分离的线圈来实现。在阻挡层形成之后,该线圈被用来形成一电感耦合的等离子体,其选择性地从水平面(即,底下的铜导体形成的底部(floor))溅射该阻挡层。此选择性的溅射(在下文中被称为”再溅射”)通过施加RF偏压功率到该晶圆以达到主要为垂直方向的离子速率分布,来实现的。虽然此双用途反应器作用的极好,其仍承担着某些额外的花费。例如,因为该阻挡层沉积步骤涉及了溅射一金属靶材,从而沉积金属于该反应室的所有内部表面上,该再溅射线圈必需位于该室的内部,使得不会有金属化的表面遮蔽住该线圈或以其它形式防止RF功率从该再溅射线圈电感地耦合至这些离子体。为了要避免处理污染,该溅射线圈受由纯的钽制成,这会增加成本。该线圈在其寿命期间会遭遇到非常大的温度波动,且必需周期地进行更换。RF功率必需经由真空密封该反应室及经由一周期性完全充满金属蒸气的环境而被耦合到该再溅射线圈。因此,必需使用一RF馈通(feeedthrough),它可容忍该金属沉积,且它的外表面是有纹理的,用以避免被沉积的物质及屑片过度的累积,且可容忍在其使用寿命期间较大的温度偏离。
另一种公知的双用途反应器使用一外部的电感线圈其覆盖在该室顶板(ceiling)的没有被该金属溅射靶材挡到的部分。它的一个问题是,该金属气相沉积处理可将该室顶板涂上一层金属,因而阻挡了来自线圈天线的电感耦合。更严重的问题为由该线圈所产生的该RF等离子体会从该靶材产生高比例的金属离子,使得该晶圆偏压无法被最佳化,用以在不影响到来自该靶材的金属离子/蒸气的流量的情况下对水平表面实施选择性的蚀刻。因此,该金属沉积处理及该再溅射处理必需在不同的时间来实施。
应该注意到虽然此双用途反应器能够实施Ta/TaN阻挡层沉积步骤及再溅射步骤,但典型会使用不同的反应器来实施后续的铜沉积步骤。这是因为要在该晶圆上有一较高的铜离子流量,且必需对该PVD反应器进行特殊构造,用以让被溅射的铜原子能够在一非常致密的等离子体中自行离子化。具体来说,施加一非常高的直流功率级(40-56kW)到该铜靶材上且使用一特殊构造的磁电管在该靶材上来产生一更集中的等离子体。因为靠近该靶材的高密度铜离子的关系,所以它被放在该晶圆上方很高的地方(390mm),以限制铜沉积率在一可接受的阈值(以及提供铜中子某些有利的瞄准)。然而,典型地有一大部分的铜离子被沉积在该室内的挡板上,或是在长距离移动之后损失掉。
除了需要铜PVD室及阻挡PVD室之外,还需使用第三室、蚀刻室来实施一沉积前清洁处理,因为该铜PVD室及该阻挡PVD/再溅射室并不适合清洁/蚀刻处理。
另一个问题为,在阻挡层形成期间被沉积的钽及/或氮化钽倾向以不均匀的厚度沿着通孔的壁沉积,特别是靠近垂直壁的顶部拐角处累积的较快,因而表现出夹断(pinch-off)的倾向。因此必需要限制处理窗口用以改善此问题。待完成该阻挡层沉积处理后,且实施该再溅射处理时,此问题可获得某种程度上的解决,因为该再溅射处理将该通孔的顶部及角落上的钽或氮化钽去除掉的速率要比其它位置处的快,同时将从该通孔的水平表面(底部)上移除掉的钽(或氮化钽)转换至该通孔侧壁的下部上。然而,优选是能够避免一开始的不均匀的钽或氮化钽沉积问题,消除任何的夹断危险,并让该处理窗口有某些自由度。
避免才用内部再溅射线圈,还有利于以一更简单的方式来实现至少某些它所提供的优点。
本发明提供的优点为可在无需使用该内部再溅射线圈下实现至少接近该内部再溅射线圈所提供的功能。本发明进一步提供一种解决或避免阻挡层不均匀沉积的方式,及一种在该阻挡层的形成期间避免阻挡层沉积在形成该通孔的底部的暴露铜导体表面上或将此现象减至最小的方式。
发明内容
一种包括一真空室的等离子体反应器,该真空室包一侧壁、室顶及靠近该室的底板的晶圆支撑托架、及一连接到该室的真空泵。将处理气体入口连接到该室并且将处理气体源连接到该处理气体入口。该反应器更包括位于室顶的一金属溅射靶材,一连接到该溅射靶材的高压直流电源,连接到该晶圆支撑托架的RF等离子体源功率产生器并且其具有适宜激发动态电子的频率,及一耦合到该晶圆支撑托架的RF等离子体偏压功率产生器并且其具有一适宜将能量耦合至等离子体离子的频率。
附图说明
图1为本发明等离子体反应器的截面侧视图;
图2为依据本发明一方面的晶圆支撑托架的放大截面图;
图3为依据本发明另一方面的晶圆支撑托架的放大的截面图;
图4显示在图1的反应器上使用两种不同的等离子体偏压功率频率;
图5为表示图4实施例中不同偏压功率频率的不同离子能量分布的结合的图表;
图6A至图6E为依据本发明工艺,部分集成电路的连续剖面图;
图7为在图6A至图6E的工艺中形成的阻挡层的放大剖面图;
图8A至8C显示在本发明的工艺实施例中的阻挡层形成过程;
图9A至9B显示在本发明工艺实施例中的阻挡层的形成过程;
图10为本发明优选工艺的方块流程图;
图11A、11B及11C为穿过介电层的窄开孔的剖面侧视图,其分别显示在图1的反应器中的三个模式,即保形模式,非保形模式及击穿(punch-through)模式,的沉积结果;
图12所示为依据第一替代实施例的等离子体反应器;
图13所示为依据第二替代实施例的等离子体反应器;
图14所示为依据第三替代实施例的等离子体反应器;
图15所示为依据第四替代实施例的等离子体反应器;
图16所示为依据第五替代实施例的等离子体反应器;
图17所示为图1的反应器在同一晶圆上实施沉积前清洁处理,介电阻挡层沉积处理及金属阻挡层沉积处理的工艺。
具体实施方式
一种等离子体反应器,可用以在集成电路中介于连续的互连层之间的沟槽或贯穿的通孔内,形成导体(如,铜,其阻挡物应为钽/氮化钽)用的阻挡层(如,钽/氮化钽膜或钛/氮化钛)。这些离子体反应室能够实施物理气相沉积及高选择性的再溅射处理,用以从构成该通孔底部的下层导体外露的水平面上去除掉阻挡物质。值得注意的是,该反应器可在不使用一内部线圈的情况下实现所有上述功能,而此内部线圈是传统上实施完整且精准控制的再溅射步骤所必需的。取而代之的是,在靠近该晶圆处形成一等离子体,用以实施该再溅射步骤。为了此目的,一处理气体,诸如氩气,会被引入且施加一等离子体源功率到该晶圆上,这些离子体源具有一RF频率其可有效地将能量电容耦合至运动电子(kinetic electrons)上用以激发邻近该晶圆的氩等离子体离子。在此说明书中所用的“源功率(source power)”一词是指适合通过将功率耦合至等离子体中的运动电子来保持一RF耦合的等离子体的RF功率。这应与在说明直流激发金属溅射靶材时所用到的“来源(source)”一词作一区别,其为在金属沉积处理中的金属原子或离子的“来源”。典型地,因为电子的低荷质比的关系,所以这些离子体源功率频率为一甚高频(VHF)频率。被形成在靠近晶圆处的VHF耦合的等离子体的离子被使用在该再溅射步骤中。用于水平面的该再溅射步骤的选择性是通过施加偏压至该晶圆而建立的,该偏压的频率足以将能量耦合至离子(如,氩离子)且因为电子的高荷质比的关系,所以其典型为高频(HF)或低频(LF)频率。这将在该晶圆表面处的等离子体鞘层上的离子速率分布紧束在该室轴(其典型地为一垂直方向)附近之一小的方向性范围上,让该再溅射对垂直于该室轴的表面而言是高度选择性的。一项重要的特征为,该偏压功率控制了该离子再溅射或蚀刻步骤的选择性且不会影响到从该靶材到该晶圆的金属原子流量。通过得到在该靶材表面处的低功率(2-5kW)直流放电等离子体的帮助,该放电等离子体主要是从该靶材产生不受施加到该晶圆上之偏压功率影响的中性金属粒子或原子。因此,使用两种不同的功率,一种是在该靶材处的直流放电等离子体,另一种为在晶圆处的RF(VHS)等离子体。因此,该靶材溅射可在不影响在晶圆处的再溅射等离子体下被最佳化,同时该偏压电压可在不影响该靶材溅射下被最佳化。这特征在传统的物理气相沉积反应器中是不可能的,因为它在靠近该靶材的地方使用RF耦合的等离子体来产生用于物理气相沉积处理的金属离子。
提供一种本发明所独有的有利的模式,在此有利的模式中,可同时实施该物理气相沉积及再溅射,且可在不影响到来自该靶材的金属离子的流量下调整该再溅射或蚀刻处理的选择性。
该再溅射步骤可以抵销阻挡层物质的不均匀沉积。因此,在本发明的一实施例中,该再溅射步骤与该阻挡层沉积步骤同时被实施。这是因为本发明一优选实施例产生两个独立的等离子体,即一靠近该室顶板或靶材的位于该靶材上方的磁电管所限制的直流放电等离子体,及一靠近该晶圆表面用来实施该再溅射的RF等离子体。因此,靠近室顶板的等离子体可为了溅射该靶材而被最佳化,同时在该晶圆处的等离子体可为了再溅射或选择性蚀刻每一通孔的底部被最佳化。此实施例的一项优点为,降低在被沉积的阻挡层上的显著的不均匀性或根本就不会形成此不均匀性,从而降低在通孔内之夹断(pinch-off)或其它问题。此实施例的另一项优点为,在整个阻挡物沉积/再溅射处理期间,可完全避免阻挡物质累积在构成该通孔底部的下层导体的外露表面上。这可通过相对于该再溅射速率(其主要是通过施加至该晶圆的VHF源功率来控制)调整该阻挡物质的沉积率(主要是通过钽靶材的直流溅射电压来控制)来实现。
本发明的应用,本发明可提供某些优点。例如,对于所有沉积处理(包括铜,钽及钛在内)而言,采用低功率(2-5kW)直流功率级来溅射该金属靶材,因为金属中性粒子是所想要的。因此,在所有这些处理中,在该晶圆上方的靶材高度及磁电管设计都是相同的,使得同一个室可实施所有这些处理。在该晶圆上方的靶材高度可以相当的低,或介于225mm至290mm之间。此外,该VHF源功率施加器及HF偏压功率施加器可在没有用来激发等离子体(如氩气等离子体)的靶材下被使用,用以在每一沉积处理之前实施的处理前清洁处理。该预清洁处理可在包括该阻挡金属(钽)沉积步骤,阻挡介电(氮化钽)阻挡物沉积步骤,铜籽晶层沉积步骤及铜导体沉积步骤在内之每一沉积步骤之前被重复实施。
反应器设备
参照1,本发明的第一实施例的反应器包括真空室,其由圆柱形侧壁10,一圆盘状室顶板12,及一用来支撑要进行处理的半导体晶圆16的晶圆支撑托架14所构成。在该室顶板12上安装一将被沉积至该晶圆16上的金属(钽)的靶材18。一由圆柱形挡板20构成的处理套件包围该晶圆16及靶材18。一传统形式的磁电管22在该室顶板12的外侧上覆盖该靶材18。一高电压直流电源24被耦合至该靶材18。一处理气体注入器26将来自供应器28的处理气体供应至该室的内部。一真空泵30将该真空室的内部保持在一所需的低于大气压的压力。
该晶圆托架14透过一阻抗匹配网络34连接到一VHF源功率产生器36及一HF或LF等离子体偏压功率产生器38。该高电压直流电源保持一上方等离子体40与靠近该靶材18处。该VHF源功率产生器36保持一下方等离子体42于该晶圆16的表面处或靠近该晶圆表面处。等离子体40,42可同时被保持或可在不同的时间被产生。该上方等离子体40为一直流放电等离子体,其让该靶材18的溅射主要是从该靶材18产生沉积在该晶圆上的中性的金属原子。该下方等离子体42为一电容耦合的RF等离子体,其可促进在晶圆16水平表面上的选择性蚀刻。等离子体40、42可被独立地控制,用以分别控制金属沉积处理及再溅射处理。施加到该晶圆的LF偏压功率决定了用于水平表面的再溅射/蚀刻处理的选择性。等离子体均匀性,特别是最靠近晶圆处的等离子体42的均匀性,是由一电磁体线圈43控制的,该电磁体线圈43缠绕在该反应器室的圆柱形侧壁上并被供应电流源控制器45所提供的直流电流。
图2显示该VHF源功率及HF或LF偏压功率耦合至该晶圆。晶圆支撑托架14可以是一静电卡盘(ESC)用以将晶圆16静电固定在预定位置上。在此例子中,该ESC或托架是由一装设在一导电性基座51的绝缘层50及诸如埋设在该绝缘层50内的一导电性网状物的电极所组成。该托架结构可向下延伸于一同轴结构中,该同轴结构是由一连接至该电极52的中心导体54、一绝缘中间层56及一连接至该导电的基座51的外导体58所构成。该导电的基座51可透过导电接头60而被连接到该圆柱形挡板20,用以提供一更为连续的接地参考。该中心导体54被耦合至该RF功率源,而该外导体58则被接地。该阻抗匹配网络34是由一耦合至该VHF源功率产生器36的传统的阻抗匹配电路64及一耦合至该RF(HF或LF)等离子体偏压功率产生器38的的传统的阻抗匹配电路68所构成的。该阻抗匹配电路64的输出经由一高通滤波器65被连接至该晶圆托架中心导体54,而该阻抗匹配电路68的输出则经由一低通滤波器69被连接至该晶圆托架中心导体54。此外,一直流夹头电压源74被连接至该晶圆托架中心导体54且透过一绝缘电容器76与该RF功率隔离。该高通滤波器65具有一够高的切断频率用以防止来自该RF产生器38的HF或LF电流到达该VHF匹配电路64,而该低通滤波器具有一够低的切断频率用以防止来自该RF产生器36的VHF电流到达该HF(或LF)匹配电路68。
图3显示该晶圆支撑托架14的另一实施例,其中该电极52与该晶圆16接触,且没有静电作用在该晶圆上。在此例子中,因为电极52可能被曝露在等离子体中,所以电极52可用将被沉积到该晶圆上的诸如钽的材质来制成。
图4显示一个实施例,其中该偏压功率是由HF及LF频率(例如,分别为13.56MHz及2MHz)构成的。为了此目的,有两种偏压功率RF产生器,即一HF偏压功率产生器38a及一LF偏压功率产生器38b,产生器38a及38b经由各自的匹配电路68a及68b被耦合至该晶圆托架中心导体54。该VHF源功率产生器36经由其阻抗匹配电路64及经由该高通滤波器65被耦合至该晶圆托架中心导体54。此实施例的一项优点为该HF偏压的低离子能量分布及该LF偏压之高离子能量分布(这两者都被示于图5中)可结合用以产生一峰值介于该LF及HF离子能量分布的峰轴之间的离子能量分布。此在能量上的峰值可通过调整LF及HF功率产生器38a、38b的相对功率级来加以上移或下移。
该靶材物质(如,钽)的沉积率主要是由直流电压源输送至该靶材的功率来决定。该蚀刻/再溅射处理(对水平表面)的选择性是由偏压功率来决定,而蚀刻/再溅射处理的速率主要是由源功率层级来决定。因此,有三种参数可被彼此独立地控制,即金属沉积速率、用于水平面的蚀刻选择性及蚀刻速率等三种。因为所有这些参数可被彼此独立地控制,所以在需要的时候,金属沉积及蚀刻/再溅射处理可被同时实施。
PVD/再溅射方法:
图1的反应器对于形成一集成电路中介于连续互连层之间的金属接点特别有用。典型地,一集成电路包括一有源半导体层其具有数以千计的晶体管及许多绝缘的互连层,其被堆栈在该有源半导体层之上并在晶体管之间提供复杂的互连电路。介于互连层之间的连接是透过用金属(如,铜)来填入介于互连层之间的通孔或垂直孔内来形成。为了要防止导因于铜扩散穿过绝缘物质所造成的短路及其所引起的故障,在该铜及绝缘物质之间设置由钽及氮化钽构成的阻挡层。图1的反应器在实施将阻挡层沉积至通孔内的处理上可以提供极大的好处。
图6A为覆盖在有源半导体层上的层叠许多互连层中互连层100(其被部分地制造)的部分的放大剖面图。图6B为6A图的对应俯视图。位在许多导体之间的互连层100,包括一对嵌埋在一绝缘体(二氧化硅)层106内的平行的铜导体102,104。每一铜导体102,104都被一阻挡层108阻隔而与介电层106分开,该阻挡层可防止铜原子扩散至该介电层106中。最好是,该阻挡层包括与该绝缘层106接触且被一钽层覆盖的氮化钽层及一铜籽晶层。在图7的放大图中清楚地示出该结构,该图显示一覆盖该绝缘层106的氮化钽层110,一盖住该氮化钽层110的钽金属层112及一覆盖该钽金属层112的铜籽晶层114。一铜导体,如铜导体102,覆盖该铜籽晶层114。该钽金属层112与该氮化钽层110之间的结合品质相当好,同时该铜籽晶层的一侧与该钽金属层112之间以及该铜籽晶层的另一侧与铜导体102之间的结合品质也相当好。
在该绝缘层106的上表面形成下一个互连层被之前,一垂直的开孔或通孔120典型地通过蚀刻处理被形成穿过该绝缘层106(图6A及6B)。该通孔120是由一只部分延伸穿过该绝缘层106的大开孔122及一对向下延伸至各别铜导体102,104的小开孔124所构成的。典型地,形成这两个小开孔124的蚀刻处理被充分地实施,用以将覆盖在每一铜导体102,104上的外露的阻挡层108部分去除掉。
当稍后被填入铜时,该通孔120即形成一垂直的导体。然而,在铜被沉积到通孔120内之前,一阻挡层130被沉积到通孔120内的所有表面上及沉积到该绝缘层106的上表面上,如图6C所示。该阻挡层130具有与上文参照图7所述的阻挡层相同的结构,其包括一氮化钽层110,一钽金属层112及一铜籽晶层114。在本发明的一实施例中,阻挡层120的每一子层110、112、114都是通过提供用作该金属靶材18的适当的物质而在分开的步骤中被沉积(如,用于子层110,112的钽及用于子层114的铜)。通过引入一处理气体而溅射靶材18,该处理气体被来自该溅射电压源24的大的直流溅射电压而在该靶材的附近被离子化。为了要沉积该氮化钽子层110,氮气被用作为处理气体,及钽原子与氮原子在它们撞击该晶圆时相结合,以形成一氮化钽膜。当沉积该金属钽层112时及稍后在沉积该铜籽晶层114时,该处理气体为一惰性气体或非反应性气体,如氩气。因此,实施三种沉积步骤。第一,通过使用钽溅射靶材及氮气处理气体,沉积氮化钽。第二,通过使用钽靶材及氩气处理气体,沉积金属钽。第三,通过使用铜靶材及氩气处理气体,沉积铜籽晶层。
在本发明的一实施例中,虽然会施加一中等级别的等离子体RF(HF或LF)偏压功率,但没有RF(VHF)源功率被施加到该晶圆支撑托架14上。以此方式,金属从该靶材18被溅射并被沉积到该晶圆16上。其结果为,通过实施用于这三个连续的子层110,112,114的沉积步骤而形成该阻挡层130。该阻挡层130覆盖所有外露的表面,其包括铜导体102、104的被小开孔124所曝露出来的部分,如图6C所示。
在这三个沉积步骤的每一个步骤都被完成之后,一蚀刻/再溅射步骤被实施(图6D),在该步骤中该VHF源功率产生器36及该HF(或LF)等离子体偏压功率产生器38输送功率至该晶圆支撑托架14。这会在靠近晶圆处产生一等离子体,其将被这些离子体偏压导引的离子提供至水平的表面。这些离子溅射这些水平的表面用以将沉积于其上的膜层移除掉,如该阻挡层130在这些小开孔124的底部处的部分。在这些小开孔124内,紧邻垂直壁处(或这些开孔124的小直径)可促进从每一开孔124的底部124a被溅射的原子再沉积到垂直侧壁上。这可如所想要地让铜导体露出来,如图6d所示。在没有垂直壁的其它地方,如该绝缘层106的顶面的广大区域,被溅射的原子再次沉积到水平表面上,因而没有净损失。
在一优选的实施例中,(对应于三层子层110,112,114的)这三个沉积步骤中的每一个步骤与该蚀刻及再溅射步骤同时实施。在此优选的实施例中,该溅度电压源24输送功率至该靶材18,同时该VHF源功率产生器36及该HF(或LF)等离子体偏压功率产生器38输送功率至该晶圆支撑托架14。这会在靠近晶圆处产生一等离子体,其在来自该靶材18的原子被沉积时,将被这些离子体偏压导引的离子提供至水平的表面。如果在水平面上的蚀刻速率可以比得上来自靶材18的原子的沉积速率的话,则可以避免来自该靶材18的原子在诸如铜导体102,104的外露部分的水平面上发生沉积。此一条件可通过适当地调整直流电压源24的电压(用来调整沉积速率)及该VHF源功率产生器36的功率级来实现。该HF(或LF)等离子体偏压功率产生器38的功率级被加以调整用以达到所想要的对于水平面的蚀刻选择性的程度。其结果为,该阻挡层130没有被形成在通孔120的水平底部上,使得处理程序跳过了图6C所示的阶段。
下一个阶段(图6E)为沉积一厚的铜层,用以形成下一个互连层200及延伸穿过介于下互连导体102,104与上互连层200之间的通孔120之垂直导体,如图6E所示。
示于图8A至8C中的程序显示图6D的再溅射步骤的一项优点。图8A所示为在沉积阻挡层130之前的一个小开孔124。图8B所示为在再溅射步骤没有与沉积步骤同时实施的例子中,阻挡层130是如何被形成的。详言之,阻挡层130在该小开孔124的靠近上缘处会累积较厚的厚度,而在开孔124的靠近底部处累积的厚度则较小。该再溅射步骤将过多的物质从该上缘处移除掉且从该小开孔的底部移除掉物质并将其再沉积到垂直的侧壁上,使得沿着垂直侧壁的厚度分布变更加均匀,如图8C所示。问题在于,在该小开孔124的靠近上缘处所累积的较厚的阻挡物质会导致夹断(pinch-off),使得该再溅射步骤具有不利的效果,该组件会发生故障。
在优选的方法中可以避免该风险,在此方法中同步实施该再溅射步骤(图6d)及沉积步骤(图6c)。在此优选的模式中,以图9a所示之一新形成的小开孔124开始且直接转变为图9b中的一均匀的阻挡层。该同步的沉积/再溅射处理可防止该沉积处理形成明显不均匀的阻挡层130。这可消除图8b所示的夹断效应。
图10为一方块图,其显示该优选的处理。在图10的方块图310中,在该靶材18的附近(靠近室顶板)产生沉积直流放电等离子体,用以将来自该靶材的原子沉积到该晶圆上。在方块312中,在靠近该晶圆处产生再溅射电容耦合的RF等离子体,用以产生原子来轰击该晶圆,用以再溅射来自该靶材18的被沉积的原子。在方块314的步骤中,将等离子体RF偏压功率施加到该晶圆上。该偏压功率足以对水平面实施高度选择性的溅射。在方块320中,施加到该晶圆上的源功率及施加至该靶材的直流溅射电压都相对于彼此调整,使得再溅射速率至少大致与溅射沉积速率一样大。
本发明之PVD/再溅射反应器的一个实施例能够通过在三种不同的模式中操作而沉积三种不同的种类的层,详言之,在模式(A)中,一具有均匀的侧壁及水平面覆盖的高保形层,在模式(B)中,一具有很少或没有侧壁覆盖的非保形层,及在模式(C)中,一在大片的场域中具有良好的侧壁覆盖及良好的平面覆盖,但在高深宽比开孔的底面上没有覆盖的“击穿(punch through)层”。图11A中所示的模式(A)的保形层通过施加一相对低级别的直流功率至该靶材(如,5kW),施加高VHF源功率至该晶圆(在60MHz下的1kW)及施加一低级别的HF偏压功率至该晶圆(在13.56MHz下的100W)。除了该HF偏压功率被降至零之外,以相同的条件获得图11B中所示的模式(B)的该非保形层。图11C中所示的模式(C)的“击穿层”通过将该偏压功率提高至一高级别(在13.56MHz下的500W)下获得的。该保形模式对于沉积铜导体层是特别有用的。该非保形层对于用诸如钽或钛的低电阻金属来覆盖一通孔的底部而言是特别有用。该击穿模式是在一通孔内沉积阻挡层(钽及氮化钽)的优选的模式。
在某些例子中,等离子体密度分布在上述的三种模式之间有所不同。为了要保持更均匀的等离子体密度分布,电流源控制器45会造成有不同级别的直流电流在这三种模式中流经该电磁体线圈43。在任何一种例子中由电流控制器45提供的电流量被最佳化用以改善在处理区内之径向等离子体离子密度均匀度。
上文中参照图11A、11B和11C说明的三种模式中的每一种模式都可用图1所示的一处理控制器210来实施,该处理控制器的输出控制该靶材高电压直流供应器24的功率级,该VHF源功率产生器36及该HF(或LF)等离子体偏压功率产生器38的功率。该处理控制器210可由使用者透过使用者界面212来控制,让使用者能够将该控制程序化来让图1的反应器自动地转换于上文所述的保形模式、非保形模式及击穿再溅射模式所界定的各种操作状态之间。因此,该处理控制器(或处理器)210有三种状态可以让使用者来输入设定或程序化。一种状态为该保形状态,在此状态中处理器210将该供应器24的直流功率级别设定在一低级别,将该VHF产生器36的功率级设定在一高功率级及将该HF/LF偏压产生器36的功率级设定在一低级别。另一个状态为该非保形模式,在该模式中处理器210将该供应器24的直流功率级设定在一低级别,将该VHF产生器36的功率级设定在一高级别及将该HF/LF偏压产生器36的功率级设定为零(或接近零的程度)。剩下的状态为该击穿状态,在此状态中处理器210将该供应器24的直流功率级设定在一低级别,将该VHF产生器36的功率级设定在一高级别及将该HF/LF偏压产生器36的功率级设定在一高级别。
处理器210亦支配该电磁电流源45,使得在三个模式(图11A、11B及11C)的每一种模式中,为了实现等离子体离子密度分布的更为均匀的径向分布而优化电流级别。
该金属靶材18可采用不同于图1所示的圆盘形状的形状。例如,如图12所示,也可以使用环状的修改过的靶材18’,暴露该室顶板12的中央部分12a并通过该靶材18’阻挡环状部分12’。该磁电管22’具有一相应的环状外形。非必要地,VHF源功率可从一额外的VHF源功率产生器66’(图12中的虚线)被施加到该室顶板中央部分12a。这可以是一额外的VHF源功率产生器或是用来取代耦合至该晶圆支撑托架14的VHF源功率产生器36。然而,最好是将VHF源功率耦合至该托架14而不是耦合至该室顶板12。
图13显示另一选项,在此选项中可以将一线圈天线400设置在该室顶板中央部分12a之上并经由一阻抗匹配电路415耦合至一RF等离子体源功率产生器410来产生一电感耦合的等离子体。可在金属沉积期间一百叶窗式的挡板420可遮盖该室顶板中央部分12a用以避免金属覆盖了该室顶板中央部分12a,使得该线圈天线400不会被这些离子体所遮蔽。
图14显示怎样从图13所示结构翻转线圈天线400及靶材18’的结构。在图14中,线圈天线400为一环状形状,而圆盘状的靶材18则位于该室顶板中央部分12a处。图14的反应器可进行如下所述的修改:该环状的线圈天线400可被移除且该室顶板12的周边部分12’是导电的且经由该阻抗匹配电路415耦合到该RF等离子体源功率产生器410,如图14的虚线所示。
图15显示另一实施例,在此实施例中该靶材18被建构成一倒放的杯状。如图16所示,该杯状的高度足以沿着其侧边容纳一排磁体450,用以强化离子分布。
图17为一在图1中的PVD/再溅射室内实施的处理的流程图。在方块1710的步骤中,通过不向该金属靶材施加功率,引入如氩气的蚀刻剂先驱物气体,从该VHF产生器36向该晶圆施加足够的VHF源功率及从该HF产生器38向该晶圆施加小量的HF偏压功率,来实施预清洁处理。在接下来的方块1720的步骤中,该阻挡层的介电膜(如,氮化钽)在保持该VHF驱动的氩气等离子体于靠近该晶圆处且施加偏压功率至该晶圆的再溅射处理的同时,通过引入氮气及溅射该金属靶材(如,钽)来沉积的。在下一个步骤(方块1730)中,通过停止供应氮气沉积该阻挡层的金属膜,使得在该VHF驱动的氩气等离子体实施再溅射的同时沉积纯的金属。因此,可在无需将晶圆从反应器中移出的情形下在图1的PVD/再溅度反应器中实施三个连续的处理。
虽然本发明已参照优选实施例加以说明,但应被了解的是,可在不偏离本发明的精神及范围下对本发明进行变化及修改。

Claims (28)

1.一种物理气相沉积及再溅射等离子体反应器,包括:
真空室,其包括侧壁、室顶板及靠近该室底板的晶圆支撑托架,以及与该室连接的真空泵;
与所述真空室连接的处理气体入口,以及与所述处理气体入口连接的处理气体源;
位于该室顶板的金属溅射靶材;
与溅射靶材耦合的高压直流电源,并能在靠近所述靶材处激发出靶材溅射等离子体;
RF等离子体源功率产生器,其耦合至该晶圆支撑托架并具有一频率,该频率适宜在靠近该晶圆支撑托架处激发位于晶圆溅射等离子体中的运动电子;及
RF等离子体偏压功率产生器,其耦合至该晶圆支撑托架并具有一频率,该频率适宜将来自该晶圆溅射等离子体的离子加速越过靠近该晶圆支撑托架的等离子体鞘层。
2.根据权利要求1所述的物理气相沉积及再溅射等离子体反应器,其特征在于,还包括覆盖在所述靶材上的磁电管,以局限该靶材溅射等离子体的强化。
3.根据权利要求1所述的物理气相沉积及再溅射等离子体反应器,其特征在于,所述离子体源功率产生器的频率为甚高频频率且该偏压功率产生器的频率为高频频率或低频频率。
4.根据权利要求3所述的物理气相沉积及再溅射等离子体反应器,其特征在于,所述偏压功率产生器被设定为一功率级,其足以导引所述晶圆溅射等离子体的离子溅射装配在该晶圆支撑托架上的晶圆的水平表面并避免溅射该晶圆的垂直表面。
5.根据权利要求3所述的物理气相沉积及再溅射等离子体反应器,其特征在于,所述靶材包括钽,且该处理气体包括氮气。
6.根据权利要求1所述的物理气相沉积及再溅射等离子体反应器,其特征在于,还包括位于所述离子体源功率产生器与该晶圆支撑托架之间的高通滤波器和位于所述偏压功率产生器与该晶圆支撑托架之间低通滤波器。
7.根据权利要求1所述的物理气相沉积及再溅射等离子体反应器,其特征在于,所述RF等离子体源功率产生器及该RF等离子体偏压功率产生器分别具有可调整的RF功率级,用以独立地控制被沉积层对通孔的保形性,及控制通孔的水平表面及垂直表面上的被沉积层的选择性。
8.根据权利要求7所述的物理气相沉积及再溅射等离子体反应器,其特征在于,还包括处理功率控制器,其耦合至所述直流电源、所述RF等离子体源功率产生器及所述RF等离子体偏压功率产生器的功率级的控制输入上,该处理控制器被建构成下述三种状态中的至少两种:
(a)保形沉积状态,其包括一低功率级的直流电源、一高功率级的RF等离子体源功率产生器及一低功率级的RF偏压功率产生器;
(b)非保形沉积状态,其包括一低功率级的直流电源、一高功率级的该RF等离子体源功率产生器,及一至少几近于零的所述RF偏压功率产生器;及
(c)击穿再溅射状态,其包括一低功率级的所述直流电源、一高功率级的所述RF等离子体源功率产生器,及一高功率级的所述RF偏压功率产生器。
9.根据权利要求1所述的物理气相沉积及再溅射等离子体反应器,其特征在于,还包括环绕所述室的电磁线圈,及与该线圈连接的直流电流源。
10.一种在等离子体反应器的真空室内实施集成电路的物理气相沉积及再溅射的方法,包括:
在靠近所述室的室顶板处提供一金属靶材;
在靠近该室的底板处提供一面向该靶材的晶圆支撑托架;
将处理气体引入该真空室内;
在所述靶材处保持靶材溅射等离子体,用以产生从所述靶材流向所述晶圆支撑托架大部分为中性原子的原子流以进行气相沉积;
透过所述晶圆将所述RF功率电容耦合到所述晶圆溅射等离子体上从而靠近该晶圆支撑托架处保持晶圆溅射等离子体,用以产生一朝向该晶圆支撑托架的溅射离子流,以进行再溅射;及
在与该晶圆支撑托架表面成垂直的方向上,加速该些溅射离子。
11.根据权利要求10所述的方法,其特征在于,保持晶圆溅射等离子体的步骤包括在一高到足以激发等离子体中运动电子的频率下,将RF等离子体源功率耦合至所述晶圆支撑托架。
12.根据权利要求11所述的方法,其特征在于,其中加速溅射离子的步骤包括在一低到足以将离子加速越过一等离子体鞘层的频率下,将RF偏压功率耦合至所述晶圆支撑托架。
13.根据权利要求12所述的方法,其特征在于,保持一靶材溅射等离子体的步骤包括向该靶材施加一高直流电压。
14.根据权利要求13所述的方法,其特征在于,保持一靶材溅射等离子体的步骤还包括在该靶材的附近区域内提供一磁场。
15.根据权利要求13所述的方法,其特征在于,所述RF等离子体源功率具有一甚高频频率,且该RF偏压功率具有一高频或低频频率。
16.根据权利要求12所述的方法,其特征在于,加速溅射离子的步骤可促进在垂直于该晶圆支撑托架的方向上的离子轰击。
17.根据权利要求12所述的方法,其特征在于,加速溅射离子的步骤可强化对于水平表面的蚀刻选择性。
18.根据权利要求10所述的方法,其特征在于,保持一靶材溅射等离子体的步骤及保持一晶圆溅射等离子体的步骤是同时实施的。
19.根据权利要求18所述的方法,其特征在于,还包括相对于所述中性原子流的流量来调整该溅射离子流的流量,使得溅射离子流移除物质的速率与所述中性原子流沉积物质的速率大致相同。
20.根据权利要求10所述的方法,其特征在于,所述靶材包括钽且该处理气体包括氮气,因此所述方法用来沉积阻挡层的氮化钽子层。
21.根据权利要求10所述的方法,其特征在于,所述靶材包括钽且所述处理气体为惰性气体,使得所述方法用来沉积阻挡层的金属钽子层。
22.根据权利要求10所述的方法,其特征在于,在执行该保持一溅射等离子体的步骤之前有一沉积前的清洁步骤,该清洁步骤包括:
向所述晶圆施加甚高频源功率以产生清洁等离子体;及
向所述晶圆施加一高频源功率。
23.根据权利要求1所述的方法,其特征在于,所述反应器是在下面三种模式中的一种模式中操作,这三种模式包括:
(a)保形沉积模式,在此模式中通过向所述靶材施加低级别的直流功率来保持所述靶材溅射等离子体,通过向所述晶圆施加一高级别的甚高频源功率及向所述晶圆施加一低级别的高频或低频偏压功率来保持所述晶圆溅射等离子体;
(b)非保形沉积模式,在此模式中通过向所述靶材施加一低级别的直流功率来保持所述靶材溅射等离子体,通过向所述晶圆施加一高级别的甚高频源功率及向所述晶圆施加一至少是几近于零的级别的高频或低频偏压功率来保持所述晶圆溅射等离子体;
(c)击穿再溅射模式,在此模式中通过向所述靶材施加一低级别的直流功率来保持的所述靶材溅射等离子体,通过向所述晶圆施加一高级别的甚高频源功率及向所述晶圆施加一高级别的高频或低频偏压功率来保持的所述晶圆溅射等离子体。
24.根据权利要求10所述的方法,其特征在于,还包括通过向所述靶材施加一低级别的直流功率,向所述晶圆施加一高级别的甚高频源功率,及向所述晶圆施加一低级别的高频或低频偏压功率来实施一保形沉积模式。
25.根据权利要求10所述的方法,其特征在于,还包括通过向所述靶材施加一低级别的直流功率,向所述晶圆施加一高级别的甚高频源功率,及向所述晶圆施加一至少是几近于零的级别的高频或低频偏压功率来实施一非保形沉积模式。
26.根据权利要求10所述的方法,其特征在于,还包括通过向所述靶材施加一低级别的直流功率,向所述晶圆施加一高级别的甚高频源功率,及向所述晶圆施加一高级别的高频或低频偏压功率来实施一击穿再溅射模式。
27.根据权利要求23所述的方法,其特征在于,所述低级别的直流功率为约5kW,所述高级别的甚高频源功率为约1kW,所述低级别的高频偏压功率为约100W及该高级别的高频偏压功率为约500W。
28.根据权利要求10所述的方法,其特征在于,所述反应器包括环绕该反应器的一外部电磁体,所述方法还包括将流经该电磁体的直流电流量调整至每一模式中各自的级别,用以改善靠近该晶圆处的等离子体离子分布的均匀性。
CN2005800135811A 2005-02-03 2005-10-12 将溅射源和偏压功率频率施加到工件上的金属等离子体汽相沉积和再溅射的设备 Active CN1950922B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/052,011 US7399943B2 (en) 2004-10-05 2005-02-03 Apparatus for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US11/052,011 2005-02-03
PCT/US2005/036794 WO2006083332A1 (en) 2004-10-05 2005-10-12 Apparatus for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece

Publications (2)

Publication Number Publication Date
CN1950922A true CN1950922A (zh) 2007-04-18
CN1950922B CN1950922B (zh) 2010-11-10

Family

ID=36755338

Family Applications (3)

Application Number Title Priority Date Filing Date
CN2005800135811A Active CN1950922B (zh) 2005-02-03 2005-10-12 将溅射源和偏压功率频率施加到工件上的金属等离子体汽相沉积和再溅射的设备
CN201210229792.0A Active CN102758171B (zh) 2005-02-03 2006-01-30 具有可施加至靶材的射频电源的物理气相沉积等离子体反应器
CN2006800001830A Active CN101124350B (zh) 2005-02-03 2006-01-30 具有可施加至靶材的射频电源的物理气相沉积等离子体反应器

Family Applications After (2)

Application Number Title Priority Date Filing Date
CN201210229792.0A Active CN102758171B (zh) 2005-02-03 2006-01-30 具有可施加至靶材的射频电源的物理气相沉积等离子体反应器
CN2006800001830A Active CN101124350B (zh) 2005-02-03 2006-01-30 具有可施加至靶材的射频电源的物理气相沉积等离子体反应器

Country Status (4)

Country Link
US (8) US7820020B2 (zh)
KR (8) KR20070101109A (zh)
CN (3) CN1950922B (zh)
TW (1) TW200702051A (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101851743A (zh) * 2009-01-26 2010-10-06 Spp加工技术系统英国有限公司 一种等离子气相沉积方法
CN101719479B (zh) * 2008-10-09 2012-05-30 金炳埈 移送基板用托盘及具有该托盘的真空处理装置
CN102534524A (zh) * 2010-12-14 2012-07-04 北京北方微电子基地设备工艺研究中心有限责任公司 用于pvd工艺的反应腔室和pvd系统
CN101960561B (zh) * 2008-03-14 2013-03-06 应用材料公司 具有在晶片表面的各向同性离子速度分布的源的物理气相沉积方法
CN103866257A (zh) * 2014-03-31 2014-06-18 苏州大学 一种三频高密度等离子体辅助磁控溅射薄膜的制备方法
CN103915308A (zh) * 2012-12-31 2014-07-09 中微半导体设备(上海)有限公司 一种双射频脉冲等离子体的刻蚀方法及其刻蚀装置
CN103959484A (zh) * 2011-10-21 2014-07-30 应用材料公司 制造硅异质结太阳能电池的方法与设备

Families Citing this family (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7781327B1 (en) 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US7820020B2 (en) * 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US7855147B1 (en) 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
US8791018B2 (en) * 2006-12-19 2014-07-29 Spansion Llc Method of depositing copper using physical vapor deposition
DE102007004860B4 (de) * 2007-01-31 2008-11-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema
US8920613B2 (en) * 2007-01-31 2014-12-30 Applied Materials, Inc. Offset magnet compensation for non-uniform plasma
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
EP1970465B1 (en) * 2007-03-13 2013-08-21 JDS Uniphase Corporation Method and sputter-deposition system for depositing a layer composed of a mixture of materials and having a predetermined refractive index
KR101136477B1 (ko) * 2007-04-06 2012-04-23 고쿠리츠 다이가쿠 호진 도호쿠 다이가쿠 마그네트론 스퍼터링 장치
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
WO2009044473A1 (ja) * 2007-10-04 2009-04-09 Canon Anelva Corporation 高周波スパッタリング装置
US8021487B2 (en) * 2007-12-12 2011-09-20 Veeco Instruments Inc. Wafer carrier with hub
US7727882B1 (en) 2007-12-17 2010-06-01 Novellus Systems, Inc. Compositionally graded titanium nitride film for diffusion barrier applications
JP5297048B2 (ja) * 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
US7846824B2 (en) * 2008-03-18 2010-12-07 Applied Materials, Inc. Methods for forming a titanium nitride layer
US20090242383A1 (en) * 2008-03-31 2009-10-01 Tokyo Electron Limited Apparatus and method for rf grounding of ipvd table
JP5759891B2 (ja) * 2008-04-03 2015-08-05 エリコン アドバンスド テクノロジーズ アーゲー スパッタリング装置および金属化構造体を製造する方法
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
JP5568845B2 (ja) * 2008-07-01 2014-08-13 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5249328B2 (ja) * 2008-07-11 2013-07-31 キヤノンアネルバ株式会社 薄膜の成膜方法
JP5417754B2 (ja) * 2008-07-11 2014-02-19 東京エレクトロン株式会社 成膜方法及び処理システム
US8992741B2 (en) * 2008-08-08 2015-03-31 Applied Materials, Inc. Method for ultra-uniform sputter deposition using simultaneous RF and DC power on target
TWI495402B (zh) * 2008-10-09 2015-08-01 Applied Materials Inc 具有射頻迴流路徑之電漿處理腔室
US8070925B2 (en) * 2008-10-17 2011-12-06 Applied Materials, Inc. Physical vapor deposition reactor with circularly symmetric RF feed and DC feed to the sputter target
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8337675B2 (en) * 2009-01-26 2012-12-25 Spts Technologies Limited Method of plasma vapour deposition
US8557088B2 (en) * 2009-02-19 2013-10-15 Fujifilm Corporation Physical vapor deposition with phase shift
US8540851B2 (en) * 2009-02-19 2013-09-24 Fujifilm Corporation Physical vapor deposition with impedance matching network
KR20100104119A (ko) * 2009-03-16 2010-09-29 삼성전자주식회사 박막 형성 장치 및 그의 박막 형성 방법
WO2010115128A2 (en) * 2009-04-03 2010-10-07 Applied Materials, Inc. High pressure rf-dc sputtering and methods to improve film uniformity and step-coverage of this process
US20100314245A1 (en) * 2009-06-12 2010-12-16 Applied Materials, Inc. Ionized Physical Vapor Deposition for Microstructure Controlled Thin Film Deposition
US20100314244A1 (en) * 2009-06-12 2010-12-16 Applied Materials, Inc. Ionized Physical Vapor Deposition for Microstructure Controlled Thin Film Deposition
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
JP5603219B2 (ja) * 2009-12-28 2014-10-08 キヤノンアネルバ株式会社 薄膜形成装置
WO2011093334A1 (ja) * 2010-01-26 2011-08-04 キヤノンアネルバ株式会社 成膜方法、成膜装置、および該成膜装置の制御装置
US9217197B2 (en) * 2010-02-26 2015-12-22 Applied Materials, Inc. Methods for depositing a layer on a substrate using surface energy modulation
US8795487B2 (en) 2010-03-31 2014-08-05 Applied Materials, Inc. Physical vapor deposition chamber with rotating magnet assembly and centrally fed RF power
US8795488B2 (en) 2010-03-31 2014-08-05 Applied Materials, Inc. Apparatus for physical vapor deposition having centrally fed RF energy
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
CN102939657B (zh) * 2010-06-10 2016-08-10 应用材料公司 具有增强的离子化和rf功率耦合的低电阻率钨pvd
US8471476B2 (en) * 2010-10-08 2013-06-25 Varian Semiconductor Equipment Associates, Inc. Inductively coupled plasma flood gun using an immersed low inductance FR coil and multicusp magnetic arrangement
US8803424B2 (en) * 2010-10-20 2014-08-12 COMET Technologies USA, Inc. RF/VHF impedance matching, 4 quadrant, dual directional coupler with V RMS/IRMS responding detector circuitry
US8779662B2 (en) * 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US9103026B1 (en) * 2010-10-21 2015-08-11 Apollo Precision Beijing Limited Filter circuit for a magnetron deposition source
CN102487572B (zh) * 2010-12-02 2015-06-24 理想能源设备(上海)有限公司 等离子加工装置
US8431033B2 (en) * 2010-12-21 2013-04-30 Novellus Systems, Inc. High density plasma etchback process for advanced metallization applications
DE102011080035A1 (de) * 2011-07-28 2013-01-31 Hüttinger Elektronik Gmbh + Co. Kg Verfahren und Vorrichtung zum Schutz von an einen Hochfrequenzgenerator angeschlossenen passiven Komponenten
JP2013082993A (ja) * 2011-09-30 2013-05-09 Tokyo Electron Ltd マグネトロンスパッタ装置及びマグネトロンスパッタ方法
US8956979B2 (en) 2011-11-17 2015-02-17 Skyworks Solutions, Inc. Systems and methods for improving front-side process uniformity by back-side metallization
CN104066867B (zh) * 2011-12-30 2017-10-17 Hoya株式会社 光学元件、光学薄膜形成装置及光学薄膜形成方法
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US8932429B2 (en) * 2012-02-23 2015-01-13 Lam Research Corporation Electronic knob for tuning radial etch non-uniformity at VHF frequencies
US8647485B2 (en) 2012-03-30 2014-02-11 Applied Materials, Inc. Process kit shield for plasma enhanced processing chamber
US9340866B2 (en) * 2012-03-30 2016-05-17 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
US9404176B2 (en) * 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
CN104603928B (zh) * 2012-09-07 2017-08-25 应用材料公司 便携式静电夹盘
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
CN103849848B (zh) * 2012-11-28 2016-08-31 北京北方微电子基地设备工艺研究中心有限责任公司 物理气相沉积装置
CN103014645B (zh) * 2012-12-26 2015-04-08 哈尔滨工业大学 一种大尺寸磁控溅射镀膜的简易强化方法
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9281167B2 (en) 2013-02-26 2016-03-08 Applied Materials, Inc. Variable radius dual magnetron
US9593410B2 (en) * 2013-03-05 2017-03-14 Applied Materials, Inc. Methods and apparatus for stable substrate processing with multiple RF power supplies
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US9746678B2 (en) 2014-04-11 2017-08-29 Applied Materials Light wave separation lattices and methods of forming light wave separation lattices
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
GB201505578D0 (en) 2015-03-31 2015-05-13 Spts Technologies Ltd Method and apparatus for depositing a material
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
US9890449B2 (en) * 2015-04-29 2018-02-13 Seagate Technology Llc Methods of forming MgO barrier layer
US20160351799A1 (en) * 2015-05-30 2016-12-01 Applied Materials, Inc. Hard mask for patterning magnetic tunnel junctions
CN105112862B (zh) * 2015-10-08 2018-05-08 南京信息工程大学 在纯钆表面形成钆铜合金层的材料及制备方法
CN107306473B (zh) * 2016-04-25 2019-04-30 中微半导体设备(上海)股份有限公司 一种半导体处理装置及处理基片的方法
JP7156954B2 (ja) 2016-06-03 2022-10-19 エヴァテック・アーゲー プラズマエッチングチャンバ及びプラズマエッチング方法
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
CN109923662A (zh) 2016-11-08 2019-06-21 应用材料公司 用于图案化应用的自底向上的柱状体的几何控制
US10242845B2 (en) * 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
WO2018156710A1 (en) * 2017-02-22 2018-08-30 Applied Materials, Inc. Critical dimension control for self-aligned contact patterning
US10395896B2 (en) 2017-03-03 2019-08-27 Applied Materials, Inc. Method and apparatus for ion energy distribution manipulation for plasma processing chambers that allows ion energy boosting through amplitude modulation
US10636659B2 (en) 2017-04-25 2020-04-28 Applied Materials, Inc. Selective deposition for simplified process flow of pillar formation
US10763419B2 (en) * 2017-06-02 2020-09-01 Northrop Grumman Systems Corporation Deposition methodology for superconductor interconnects
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
TW201906035A (zh) 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法
US10020183B1 (en) * 2017-06-29 2018-07-10 Lam Research Corporation Edge roughness reduction
US10573555B2 (en) 2017-08-31 2020-02-25 Micromaterials Llc Methods of producing self-aligned grown via
US10510602B2 (en) 2017-08-31 2019-12-17 Mirocmaterials LLC Methods of producing self-aligned vias
WO2019050735A1 (en) 2017-09-06 2019-03-14 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
US10964590B2 (en) * 2017-11-15 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Contact metallization process
CN107910257B (zh) * 2017-11-15 2021-01-29 上海华力微电子有限公司 Pvd设备的工艺腔的工艺调节结构和方法
EP3718129B8 (en) 2017-11-29 2023-07-19 Comet Technologies USA, Inc Retuning for impedance matching network control
JP2019106538A (ja) 2017-12-07 2019-06-27 マイクロマテリアルズ エルエルシー 制御可能な金属およびバリアライナー凹部のための方法
EP3499557A1 (en) 2017-12-15 2019-06-19 Micromaterials LLC Selectively etched self-aligned via processes
KR20190104902A (ko) 2018-03-02 2019-09-11 마이크로머티어리얼즈 엘엘씨 금속 산화물들을 제거하기 위한 방법들
US10892142B2 (en) 2018-03-16 2021-01-12 Samsung Electronics Co., Ltd. System for fabricating a semiconductor device
US11143618B2 (en) * 2018-04-09 2021-10-12 Roche Sequencing Solutions, Inc. Fabrication of tunneling junctions with nanopores for molecular recognition
TW202002219A (zh) 2018-05-08 2020-01-01 美商微材料有限責任公司 用來產生高的深寬比的完全自對準的通孔的選擇性移除過程
US10867776B2 (en) * 2018-05-09 2020-12-15 Applied Materials, Inc. Physical vapor deposition in-chamber electro-magnet
TW202011547A (zh) 2018-05-16 2020-03-16 美商微材料有限責任公司 用於產生完全自對準的通孔的方法
US10699953B2 (en) 2018-06-08 2020-06-30 Micromaterials Llc Method for creating a fully self-aligned via
US11062887B2 (en) * 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
CN109234699A (zh) * 2018-10-17 2019-01-18 基准精密工业(惠州)有限公司 弧源磁场装置、调节方法及电弧离子镀膜设备
US10985059B2 (en) 2018-11-01 2021-04-20 Northrop Grumman Systems Corporation Preclean and dielectric deposition methodology for superconductor interconnect fabrication
CN113614274A (zh) 2019-03-22 2021-11-05 应用材料公司 用于沉积具有超导膜的多层器件的方法及装置
KR20210130261A (ko) * 2019-03-22 2021-10-29 어플라이드 머티어리얼스, 인코포레이티드 금속 질화물들의 증착을 위한 방법 및 장치
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
US11114279B2 (en) 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
US11107661B2 (en) 2019-07-09 2021-08-31 COMET Technologies USA, Inc. Hybrid matching network topology
US11515147B2 (en) * 2019-12-09 2022-11-29 Micron Technology, Inc. Material deposition systems, and related methods
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
TW202137323A (zh) * 2020-01-29 2021-10-01 日商東京威力科創股份有限公司 基板處理方法及基板處理系統
TWI780579B (zh) 2020-02-03 2022-10-11 美商應用材料股份有限公司 具有整合化氮化鋁晶種或波導層的超導奈米線單光子偵測器
TWI753759B (zh) 2020-02-03 2022-01-21 美商應用材料股份有限公司 具有整合化氮化鋁種晶或波導層的超導奈米線單光子偵測器
WO2021206684A1 (en) * 2020-04-06 2021-10-14 Tokyo Electron Limited Semiconductor processing system with in-situ electrical bias and methods thereof
US11335792B2 (en) 2020-04-06 2022-05-17 Tokyo Electron Limited Semiconductor processing system with in-situ electrical bias and methods thereof
US11894240B2 (en) 2020-04-06 2024-02-06 Tokyo Electron Limited Semiconductor processing systems with in-situ electrical bias
CN111334842B (zh) * 2020-04-09 2021-06-18 厦门科瑞声科技有限公司 一种pvd电镀悬挂工装结构
US11846013B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Methods and apparatus for extended chamber for through silicon via deposition
US11373844B2 (en) 2020-09-28 2022-06-28 COMET Technologies USA, Inc. Systems and methods for repetitive tuning of matching networks
CN112795896A (zh) * 2021-04-15 2021-05-14 苏州迈为科技股份有限公司 真空镀膜装置
KR20230040703A (ko) * 2021-09-16 2023-03-23 한국알박(주) 자기장 발생 장치 및 이를 포함하는 스퍼터링 장치
CN114178067B (zh) * 2022-01-14 2023-04-28 苏州新维度微纳科技有限公司 纳米压印胶体溅射装置及方法
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor

Family Cites Families (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US104751A (en) * 1870-06-28 Improvement in lathes
US188239A (en) * 1877-03-13 Improvement in machines for finishing horseshoe-nails
US644137A (en) * 1897-09-07 1900-02-27 O H Jewell Filter Company Method of purifying water.
US3461054A (en) * 1966-03-24 1969-08-12 Bell Telephone Labor Inc Cathodic sputtering from a cathodically biased target electrode having an rf potential superimposed on the cathodic bias
DE1904102C3 (de) * 1969-01-28 1978-08-31 Basf Ag, 6700 Ludwigshafen Verfahren zur Herstellung von Haftklebern
US3669871A (en) 1969-09-10 1972-06-13 Ibm Sputtering apparatus having a concave source cathode
US3661758A (en) 1970-06-26 1972-05-09 Hewlett Packard Co Rf sputtering system with the anode enclosing the target
US3681227A (en) * 1970-06-29 1972-08-01 Corning Glass Works Microcircuit mask and method
JPS5930130B2 (ja) * 1979-09-20 1984-07-25 富士通株式会社 気相成長方法
JPS60119784A (ja) 1983-12-01 1985-06-27 Kanegafuchi Chem Ind Co Ltd 絶縁金属基板の製法およびそれに用いる装置
US4714536A (en) * 1985-08-26 1987-12-22 Varian Associates, Inc. Planar magnetron sputtering device with combined circumferential and radial movement of magnetic fields
US4714546A (en) 1986-02-10 1987-12-22 Newport Filters, Inc. Potable water filter
JPS62287071A (ja) * 1986-06-06 1987-12-12 Tadahiro Omi 薄膜の形成装置および形成方法
JPH0798521B2 (ja) * 1986-08-20 1995-10-25 澁谷工業株式会社 回転式重量充填装置
JP2602276B2 (ja) * 1987-06-30 1997-04-23 株式会社日立製作所 スパツタリング方法とその装置
JPH06104898B2 (ja) * 1988-01-13 1994-12-21 忠弘 大見 減圧表面処理装置
US4963239A (en) 1988-01-29 1990-10-16 Hitachi, Ltd. Sputtering process and an apparatus for carrying out the same
JPH01309965A (ja) * 1988-06-08 1989-12-14 Matsushita Electric Ind Co Ltd マグネトロンスパッタ装置
JP2501118B2 (ja) * 1988-06-17 1996-05-29 忠弘 大見 半導体装置の製造方法
US4837185A (en) * 1988-10-26 1989-06-06 Intel Corporation Pulsed dual radio frequency CVD process
JPH02182873A (ja) 1989-01-10 1990-07-17 Seiko Epson Corp 薄膜の製造方法
JP2758948B2 (ja) 1989-12-15 1998-05-28 キヤノン株式会社 薄膜形成方法
US5252194A (en) * 1990-01-26 1993-10-12 Varian Associates, Inc. Rotating sputtering apparatus for selected erosion
US5320728A (en) 1990-03-30 1994-06-14 Applied Materials, Inc. Planar magnetron sputtering source producing improved coating thickness uniformity, step coverage and step coverage uniformity
US6545420B1 (en) 1990-07-31 2003-04-08 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US6444137B1 (en) * 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
US20020004309A1 (en) 1990-07-31 2002-01-10 Kenneth S. Collins Processes used in an inductively coupled plasma reactor
US5126023A (en) 1990-09-10 1992-06-30 The Board Of Trustees Of The Leland Stanford Junior University End-column electrical and electrochemical detector for capillary zone electrophoresis
JP3076367B2 (ja) * 1990-11-29 2000-08-14 キヤノン株式会社 プラズマ処理装置
DE4042289A1 (de) 1990-12-31 1992-07-02 Leybold Ag Verfahren und vorrichtung zum reaktiven beschichten eines substrats
JP2543642B2 (ja) * 1991-01-18 1996-10-16 アプライド マテリアルズ インコーポレイテッド 高周波交流電気エネルギ―と相対的に低い周波数の交流電気的エネルギ―を有する、工作物を処理するためのシステムおよび方法
WO1992016671A1 (en) 1991-03-20 1992-10-01 Canon Kabushiki Kaisha Method and device for forming film by sputtering process
US6518195B1 (en) * 1991-06-27 2003-02-11 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US6488807B1 (en) * 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
JPH0529254A (ja) 1991-07-24 1993-02-05 Sony Corp 配線形成方法
US5186492A (en) * 1991-08-08 1993-02-16 Trw Vehicle Safety Systems, Inc. Air bag module
JPH06145975A (ja) * 1992-03-20 1994-05-27 Komag Inc 炭素フィルムをスパタリングする方法及びその製造物
JP2905342B2 (ja) 1992-09-07 1999-06-14 財団法人国際超電導産業技術研究センター YBa2Cu3Ox超電導薄膜の製造方法
US5486492A (en) * 1992-10-30 1996-01-23 Kawasaki Steel Corporation Method of forming multilayered wiring structure in semiconductor device
US5510011A (en) 1992-11-09 1996-04-23 Canon Kabushiki Kaisha Method for forming a functional deposited film by bias sputtering process at a relatively low substrate temperature
US5455197A (en) * 1993-07-16 1995-10-03 Materials Research Corporation Control of the crystal orientation dependent properties of a film deposited on a semiconductor wafer
JP3339597B2 (ja) * 1993-09-03 2002-10-28 アネルバ株式会社 プラズマ処理方法およびプラズマ処理装置
US5556476A (en) 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
US5639691A (en) * 1995-06-05 1997-06-17 Advanced Micro Devices, Inc. Copper pellet for reducing electromigration effects associated with a conductive via in a semiconductor device
US5656123A (en) 1995-06-07 1997-08-12 Varian Associates, Inc. Dual-frequency capacitively-coupled plasma reactor for materials processing
US6221217B1 (en) 1995-07-10 2001-04-24 Cvc, Inc. Physical vapor deposition system having reduced thickness backing plate
US5763851A (en) 1995-11-27 1998-06-09 Applied Materials, Inc. Slotted RF coil shield for plasma deposition system
EP0799903A3 (en) 1996-04-05 1999-11-17 Applied Materials, Inc. Methods of sputtering a metal onto a substrate and semiconductor processing apparatus
US6368469B1 (en) 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
EP0841683A3 (en) 1996-10-08 1999-12-01 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6514390B1 (en) 1996-10-17 2003-02-04 Applied Materials, Inc. Method to eliminate coil sputtering in an ICP source
US5933753A (en) * 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
US6139697A (en) 1997-01-31 2000-10-31 Applied Materials, Inc. Low temperature integrated via and trench fill process and apparatus
JPH10251849A (ja) 1997-03-07 1998-09-22 Tadahiro Omi スパッタリング装置
EP0978138A1 (en) * 1997-04-21 2000-02-09 Tokyo Electron Arizona, Inc. Method and apparatus for ionized sputtering of materials
US6579426B1 (en) 1997-05-16 2003-06-17 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
JPH111770A (ja) * 1997-06-06 1999-01-06 Anelva Corp スパッタリング装置及びスパッタリング方法
US6075375A (en) * 1997-06-11 2000-06-13 Applied Materials, Inc. Apparatus for wafer detection
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
TW396384B (en) 1997-08-07 2000-07-01 Applied Materials Inc Modulated power for ionized metal plasma deposition
US5933973A (en) 1997-09-26 1999-08-10 Fenley, Jr.; Bonnie C. Method and apparatus for assisting in hanging framed pictures and achieving proper alignment
US6168690B1 (en) 1997-09-29 2001-01-02 Lam Research Corporation Methods and apparatus for physical vapor deposition
US5976327A (en) * 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6287435B1 (en) * 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US5986762A (en) * 1998-06-15 1999-11-16 Imation Corp. Optical sensor having optimized surface profile
US6126778A (en) 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6287977B1 (en) 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
US6080285A (en) * 1998-09-14 2000-06-27 Applied Materials, Inc. Multiple step ionized metal plasma deposition process for conformal step coverage
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6221221B1 (en) 1998-11-16 2001-04-24 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
EP1149416A1 (en) 1999-01-08 2001-10-31 Applied Materials, Inc. Method of depositing a copper seed layer which promotes improved feature surface coverage
US6306265B1 (en) 1999-02-12 2001-10-23 Applied Materials, Inc. High-density plasma for ionized metal deposition capable of exciting a plasma wave
US6644137B1 (en) * 1999-06-15 2003-11-11 University Of Puerto Rico Sample probe
US6352620B2 (en) * 1999-06-28 2002-03-05 Applied Materials, Inc. Staged aluminum deposition process for filling vias
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US6283357B1 (en) 1999-08-03 2001-09-04 Praxair S.T. Technology, Inc. Fabrication of clad hollow cathode magnetron sputter targets
US6398929B1 (en) 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
US20030116427A1 (en) 2001-08-30 2003-06-26 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6193855B1 (en) 1999-10-19 2001-02-27 Applied Materials, Inc. Use of modulated inductive power and bias power to reduce overhang and improve bottom coverage
US6228236B1 (en) * 1999-10-22 2001-05-08 Applied Materials, Inc. Sputter magnetron having two rotation diameters
US20010050220A1 (en) 1999-11-16 2001-12-13 Applied Materials, Inc. Method and apparatus for physical vapor deposition using modulated power
US6350353B2 (en) * 1999-11-24 2002-02-26 Applied Materials, Inc. Alternate steps of IMP and sputtering process to improve sidewall coverage
US6462482B1 (en) 1999-12-02 2002-10-08 Anelva Corporation Plasma processing system for sputter deposition applications
US6251242B1 (en) * 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6451177B1 (en) * 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6277249B1 (en) * 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6461483B1 (en) 2000-03-10 2002-10-08 Applied Materials, Inc. Method and apparatus for performing high pressure physical vapor deposition
JP4334723B2 (ja) 2000-03-21 2009-09-30 新明和工業株式会社 イオンプレーティング成膜装置、及びイオンプレーティング成膜方法。
US6554979B2 (en) * 2000-06-05 2003-04-29 Applied Materials, Inc. Method and apparatus for bias deposition in a modulating electric field
US6627050B2 (en) 2000-07-28 2003-09-30 Applied Materials, Inc. Method and apparatus for depositing a tantalum-containing layer on a substrate
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US7139489B2 (en) * 2000-11-16 2006-11-21 Tyco Telecommunications (Us) Inc. System and method of dispersion compensation in optical communication systems
US20030159925A1 (en) 2001-01-29 2003-08-28 Hiroaki Sako Spattering device
US6652718B1 (en) 2001-01-30 2003-11-25 Novellus Systems, Inc. Use of RF biased ESC to influence the film properties of Ti and TiN
US6696360B2 (en) 2001-03-15 2004-02-24 Micron Technology, Inc. Barrier-metal-free copper damascene technology using atomic hydrogen enhanced reflow
US7744735B2 (en) * 2001-05-04 2010-06-29 Tokyo Electron Limited Ionized PVD with sequential deposition and etching
TW552624B (en) * 2001-05-04 2003-09-11 Tokyo Electron Ltd Ionized PVD with sequential deposition and etching
DE10154229B4 (de) 2001-11-07 2004-08-05 Applied Films Gmbh & Co. Kg Einrichtung für die Regelung einer Plasmaimpedanz
KR101312690B1 (ko) * 2001-11-14 2013-09-27 어플라이드 머티어리얼스, 인코포레이티드 스퍼터링 및 재스퍼터링을 위한 자기-이온화 및 유도 결합 플라즈마
US6650353B2 (en) * 2002-04-05 2003-11-18 Agfa Corporation Method and system for focus control in imaging engine with spatial light modulator
US6709553B2 (en) * 2002-05-09 2004-03-23 Applied Materials, Inc. Multiple-step sputter deposition
US20030216035A1 (en) 2002-05-14 2003-11-20 Applied Materials, Inc. Method and apparatus for sputter deposition
US7247221B2 (en) 2002-05-17 2007-07-24 Applied Films Corporation System and apparatus for control of sputter deposition process
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US7037837B2 (en) 2004-07-29 2006-05-02 Texas Instruments Incorporated Method of fabricating robust nucleation/seed layers for subsequent deposition/fill of metallization layers
US7214619B2 (en) * 2004-10-05 2007-05-08 Applied Materials, Inc. Method for forming a barrier layer in an integrated circuit in a plasma with source and bias power frequencies applied through the workpiece
US7268076B2 (en) 2004-10-05 2007-09-11 Applied Materials, Inc. Apparatus and method for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US7399943B2 (en) * 2004-10-05 2008-07-15 Applied Materials, Inc. Apparatus for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US7820020B2 (en) 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9593411B2 (en) 2008-03-14 2017-03-14 Applied Materials, Inc. Physical vapor deposition chamber with capacitive tuning at wafer support
CN101960561B (zh) * 2008-03-14 2013-03-06 应用材料公司 具有在晶片表面的各向同性离子速度分布的源的物理气相沉积方法
US10648074B2 (en) 2008-03-14 2020-05-12 Applied Materials, Inc. Physical vapor deposition with isotropic neutral and non-isotropic ion velocity distribution at the wafer surface
US10400328B2 (en) 2008-03-14 2019-09-03 Applied Materials, Inc. Physical vapor deposition system with a source of isotropic ion velocity distribution at the wafer surface
US9856558B2 (en) 2008-03-14 2018-01-02 Applied Materials, Inc. Physical vapor deposition method with a source of isotropic ion velocity distribution at the wafer surface
CN101719479B (zh) * 2008-10-09 2012-05-30 金炳埈 移送基板用托盘及具有该托盘的真空处理装置
CN101851743A (zh) * 2009-01-26 2010-10-06 Spp加工技术系统英国有限公司 一种等离子气相沉积方法
CN101851743B (zh) * 2009-01-26 2014-08-06 Spp加工技术系统英国有限公司 一种等离子气相沉积方法
CN102534524A (zh) * 2010-12-14 2012-07-04 北京北方微电子基地设备工艺研究中心有限责任公司 用于pvd工艺的反应腔室和pvd系统
CN102534524B (zh) * 2010-12-14 2015-04-15 北京北方微电子基地设备工艺研究中心有限责任公司 用于pvd工艺的反应腔室和pvd系统
CN103959484A (zh) * 2011-10-21 2014-07-30 应用材料公司 制造硅异质结太阳能电池的方法与设备
CN103959484B (zh) * 2011-10-21 2017-07-25 应用材料公司 制造硅异质结太阳能电池的方法与设备
CN103915308B (zh) * 2012-12-31 2016-06-29 中微半导体设备(上海)有限公司 一种双射频脉冲等离子体的刻蚀方法及其刻蚀装置
CN103915308A (zh) * 2012-12-31 2014-07-09 中微半导体设备(上海)有限公司 一种双射频脉冲等离子体的刻蚀方法及其刻蚀装置
CN103866257A (zh) * 2014-03-31 2014-06-18 苏州大学 一种三频高密度等离子体辅助磁控溅射薄膜的制备方法

Also Published As

Publication number Publication date
CN101124350A (zh) 2008-02-13
KR20140108314A (ko) 2014-09-05
KR20120123155A (ko) 2012-11-07
US7804040B2 (en) 2010-09-28
KR101376671B1 (ko) 2014-03-20
KR20130122005A (ko) 2013-11-06
US8562798B2 (en) 2013-10-22
US20060169582A1 (en) 2006-08-03
TW200702051A (en) 2007-01-16
US20060169578A1 (en) 2006-08-03
KR101951741B1 (ko) 2019-02-25
CN102758171A (zh) 2012-10-31
US8062484B2 (en) 2011-11-22
US7244344B2 (en) 2007-07-17
CN101124350B (zh) 2012-07-18
US7820020B2 (en) 2010-10-26
CN102758171B (zh) 2014-08-20
US20060172517A1 (en) 2006-08-03
US20070193982A1 (en) 2007-08-23
KR20120137447A (ko) 2012-12-20
US20060191876A1 (en) 2006-08-31
CN1950922B (zh) 2010-11-10
KR20180115801A (ko) 2018-10-23
KR20130038413A (ko) 2013-04-17
KR20070101109A (ko) 2007-10-16
KR20150088331A (ko) 2015-07-31
US20060172536A1 (en) 2006-08-03
US20060169576A1 (en) 2006-08-03
US8512526B2 (en) 2013-08-20
KR101284799B1 (ko) 2013-07-10
KR101951726B1 (ko) 2019-02-25
US20060169584A1 (en) 2006-08-03

Similar Documents

Publication Publication Date Title
CN1950922B (zh) 将溅射源和偏压功率频率施加到工件上的金属等离子体汽相沉积和再溅射的设备
US7214619B2 (en) Method for forming a barrier layer in an integrated circuit in a plasma with source and bias power frequencies applied through the workpiece
US7399943B2 (en) Apparatus for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
KR101760846B1 (ko) 고 종횡비 피처들에서 금속을 증착하는 방법
US9236266B2 (en) Dry-etch for silicon-and-carbon-containing films
US6869880B2 (en) In situ application of etch back for improved deposition into high-aspect-ratio features
KR20060086988A (ko) 유도결합플라즈마를가지는챔버에서스퍼터링동안측벽커버리지를개선하기위한방법및장치
US7268076B2 (en) Apparatus and method for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
WO2001068934A1 (en) Method and apparatus for performing high pressure physical vapor deposition
KR101239776B1 (ko) 타깃에 인가되는 rf 소스 파워에 의한 물리 기상 증착플라즈마 반응기
US20040261714A1 (en) Plasma processing apparatus
WO2013163081A1 (en) Methods for filling high aspect ratio features on substrates

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: American California

Patentee after: Applied Materials Inc.

Address before: American California

Patentee before: Applied Materials Inc.