CN1904727B - 便于光掩模制造中的工艺集成的组合工具和方法 - Google Patents

便于光掩模制造中的工艺集成的组合工具和方法 Download PDF

Info

Publication number
CN1904727B
CN1904727B CN2006101040463A CN200610104046A CN1904727B CN 1904727 B CN1904727 B CN 1904727B CN 2006101040463 A CN2006101040463 A CN 2006101040463A CN 200610104046 A CN200610104046 A CN 200610104046A CN 1904727 B CN1904727 B CN 1904727B
Authority
CN
China
Prior art keywords
layer
hard mask
substrate
etching
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2006101040463A
Other languages
English (en)
Other versions
CN1904727A (zh
Inventor
阿杰伊·库马尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1904727A publication Critical patent/CN1904727A/zh
Application granted granted Critical
Publication of CN1904727B publication Critical patent/CN1904727B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/32Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Abstract

本发明公开了一种适于光掩模制造中的工艺集成的方法和设备。在一个实施例中,适于光掩模制造中的工艺集成的组合工具包括真空转移室,该真空转移室具有耦合到其上的至少一个硬掩模沉积室和至少一个配置来刻蚀铬的等离子体室。在另一个实施例中,用于光掩模制造中的工艺集成的方法包括:在第一处理室中在衬底上沉积硬掩模;在衬底上沉积光抗蚀剂层;图案化光抗蚀剂层;在第二处理室中通过形成在图案化光抗蚀剂层中的孔隙刻蚀硬掩模;以及在第三处理室中通过形成在硬掩模中的孔隙刻蚀铬层。

Description

便于光掩模制造中的工艺集成的组合工具和方法
技术领域
本发明一般地涉及使用硬掩模的光掩模制造方法、以及用于光掩模制造中的工艺集成的组合工具和方法。
背景技术
在集成电路(IC)或者芯片的制造中,由芯片设计者创建代表芯片的不同层的图案。根据这些图案创建一系列的可重复使用的掩模或光掩模,以便在制造过程中将每一个芯片层的设计转移到半导体衬底上。掩模图案生成系统使用精细的激光或者电子束来将芯片的每一层的设计成像在各个掩模上。然后非常类似于照片底片地使用掩模来将每一层的电路图案转移到半导体衬底上。使用一序列的工艺构建这些层,使其转变成微小的晶体管和包括一个完成的芯片的电路。这样,掩模中的任何缺陷可能被转移到芯片,这可能不利地影响性能。足够严重的缺陷可能导致掩模完全不能使用。一般来说,一组15到30个的掩模被用于构建芯片,并且可以重复使用。
掩模一般是在其一侧上具有铬层的玻璃或石英衬底。掩模还可以包含掺杂有钼(Mo)的氮化硅(SiN)层。铬层覆盖以抗反射涂层和光敏抗蚀剂。在图案化过程中,电路设计通过将抗蚀剂的若干部分暴露到紫外光使得曝光部分可溶于显影溶液,而被写到掩模上。然后去除抗蚀剂的可溶部分,允许暴露出的下方的铬被刻蚀。刻蚀工艺在抗蚀剂被去除的位置处将铬和抗反射层从掩模去除,即暴露的铬被去除。
用于图案化的另一种掩模已知为石英相移掩模。石英相移掩模类似于上述的掩模,不同之处在于,通过图案化的铬层暴露的石英区域的交替的相邻区被刻蚀到约等于将在制造期间用于将电路图案转移到衬底的光的波长的一半。因此,当通过石英相移掩模照射光来曝光布置在衬底上的抗蚀 剂时,通过掩模中的一个开口入射在抗蚀剂上的光相对于通过紧邻的开口的光相位相差180度。因此,通过在相邻开口的边缘处的180度光散射消除了可能在掩模开口的边缘处被散射的光,使得在抗蚀剂的预定区域中光的分布更加精密。更精密的光分布有利于刻画具有更小的临界尺寸的特征。类似地,用于无铬刻蚀光刻术的掩模也利用通过用于顺序地成像抗蚀剂的两个掩模的石英部分的光的相移,由此提高用于显影抗蚀剂图案的光分布。
在制造光掩模过程中,在至少一层的等离子体刻蚀期间使用光抗蚀剂刻蚀掩模。因为在刻蚀过程中光抗蚀剂被轻微地刻蚀,所以被刻蚀的光掩模层的临界尺寸的尺寸控制遇到问题。在临界尺寸超过10μm的结构中,沿着该结构通过其被刻蚀的光抗蚀剂孔隙的边缘的粗糙度不会达到导致显著影响的大小。但是,随着临界尺寸,尤其是光掩模自身的临界尺寸减小到低于约5μm并且减小到纳米范围,光抗蚀剂孔隙的边缘粗糙度达到等于临界尺寸自身的大小,因此,即使轻微的变化,粗糙度也可能导致临界尺寸超出规定范围。而且,因为刻蚀用光抗蚀剂掩模要经受刻蚀偏置(在刻蚀期间抗蚀剂孔隙的增大),所以对于制造者来说,使用光抗蚀剂掩模制造小于约5μm的临界尺寸是具有极端挑战性的,原因是这些问题导致光掩模的刻蚀特征的不均匀性并且相应地减弱了使用掩模制造具有小临界尺寸的特征的能力。因为掩模的临界尺寸在不断缩小,所以刻蚀均匀性的重要性增大了。
因此,在本领域存在对于用于光掩模制造的改善的工艺和设备的需要。为了实现该经改善的光掩模制造工艺,还存在对于用于掩模制造的工艺集成的改善的组合工具和方法需要。
发明内容
为了改善光掩模制造,开发了一种改进的利用掩模技术的刻蚀工艺,并且该刻蚀工艺实现了对形成在光掩模中的特征的更好的尺寸控制。为了实现该改进的刻蚀工艺的好处,与在光掩模制造中所使用的常规工具相比,制造工艺需要沉积和处理附加的材料层。然而,因为附加工具和由该 工具占用的空间大大地增加了拥有者的成本,所以还需要提供一种能够以最少的经济投资执行所有附加的制造步骤的系统。
在一个实施例中,光掩模制造工艺包括:图案化包括铬的膜叠层上的硬掩模;在处理室中通过图案化的光掩模刻蚀铬层;并且在其中刻蚀铬层的处理室中去除硬掩模。
在其它实施例中,提供了用于光掩模制造中的工艺集成的集成衬底处理系统(例如组合工具)和方法。在一个实施例中,适于光掩模制造中的工艺集成的组合工具包括真空转移室,该真空转移室具有耦合到其上的至少一个硬掩模沉积室和至少一个配置来刻蚀铬的等离子体室。
在另一个实施例中,用于光掩模制造中的工艺集成的方法包括:在耦合到组合工具的第一处理室中,在衬底上沉积硬掩模;在衬底上沉积光抗蚀剂层;图案化光抗蚀剂层;在耦合到组合工具的第二室中,通过形成在图案化光抗蚀剂层中的孔隙刻蚀硬掩模;以及在耦合到组合工具的第三室中,通过形成在硬掩模中的孔隙刻蚀铬层。在本发明的其它实施例中,在第二或第三室中的至少之一中,利用由含氧气体形成的等离子体去除硬掩模。
附图说明
通过结合附图考虑下面的详细描述,可以容易地理解本发明的教导,在附图中:
图1描绘了适于实施用于制造光掩模的方法的一个实施例的集成半导体衬底处理系统(例如,组合工具)的一个实施例的示意图;
图2描绘了对于根据本发明的一个实施例的制造光掩模的方法的一个流程图的实施例;
图3A-3G描绘了根据图2的方法被制造成光掩模的膜叠层的一系列示意性局部剖视图;
图3H-3J描绘了根据图4的方法被制造成光掩模的膜叠层的一系列示意性局部剖视图;
图4描绘了对于另一种制造光掩模的方法的一个流程图的实施例;
图5描绘了可以用于图1的系统的化学气相沉积室的一个实施例的示意性剖视图;
图6是图5的化学气相沉积室的衬底支撑和光罩适配器的透视图;
图7描绘了可以用于图1的系统的刻蚀反应器的一个实施例的示意性剖视图;以及
图8描绘了可用于图1的系统的灰化反应器的一个实施例的示意性剖视图。
为了便于理解,当可能时,使用了相同的标号来指示在附图中共有的相同元件。应该想到一个实施例中的元件和特征可以有利地并入到其它实施例中而无需进一步的叙述。
但是,应该注意,附图仅仅说明了本发明的示例性实施例,因此不应认为是对其范围的限制,因为本发明可以允许其它等效实施例。
具体实施方式
本发明的实施例包括用于光掩模制造的改进工艺、和用于光掩模的制造中的工艺集成的改进的组合工具和方法。光掩模制造方法包括在将被处理成光掩模的膜叠层上形成超薄硬掩模。膜叠层一般包括含铬层和石英层。膜叠层可以还包括光衰减层,诸如含钼层。在一个实施例中,硬掩模材料可以选自对于被刻蚀的下方层(诸如石英层和/或含铬层)具有高选择性的材料。在另一个实施例中,硬掩模材料可以选自具有与通过硬掩模刻蚀的下方层相当的刻蚀速率的材料。因为使用在本文中所述的化学剂不会侧向刻蚀本发明的硬掩模,所以在硬掩模中所形成的孔隙的尺寸稳定性可以使得具有数量级小于5μm且达到纳米范围的临界尺寸的光掩模结构能够被精确制造。
图1描绘了适于实施本发明的用于制造光掩模的方法的一个实施例的示例性集成半导体衬底处理系统(例如,组合工具)100的示意性俯视图。系统100示例性地包括真空密封中央转移室104、输入/输出模块(例如,工厂接口)102、和系统控制器140。在一个实施例中,转移室104包括围绕中央转移室104的周围耦合的多个处理室和至少一个装载锁定室 106(在图1中示出了两个)。
装载锁定室106用于在工厂接口102的基本大气环境和转移室104的真空环境之间转移衬底。转移室104具有布置在其中的真空机械手130,其被配置来在装载锁定室106和耦合到转移室104的各个处理室之间转移衬底。
耦合到转移室104的处理室一般包括至少一个配置来刻蚀硬掩模的刻蚀室、至少一个配置来刻蚀铬层的刻蚀室、和至少一个化学气相沉积室。在一个实施例中,所述化学气相沉积室和刻蚀室中的至少之一和/或另一个处理室能够执行灰化工艺(诸如通过暴露于由含氧气体形成的等离子体去除硬掩模)。在图1中所描绘的实施例中,四个处理室被耦合到转移室104,并且包括配置来沉积硬掩模材料的第一室110、配置来刻蚀该硬掩模材料的第二室112、配置来刻蚀铬的第三室114、以及配置来去除硬掩模材料的第四室116。适用于本发明的刻蚀室和灰化室的示例包括可从加利福尼亚Santa Clara的应用材料公司得到的
Figure S061A4046320060808D00005102946QIETU
Figure S061A4046320060808D00005103006QIETU
Figure S061A4046320060808D00005103016QIETU
II、
Figure S061A4046320060808D00005103025QIETU
II HT、以及AXIOMTM处理室。下面将参考图5-7讨论处理室110、112、114、116的各个示例性实施例的示例性描述。
在一个实施例中,工厂接口102包括测量模块126、至少一个用于接纳至少一个前开口一体化舱(FOUP)108和至少一个衬底转移(FI)机械手132。在图1中描绘的实施例中示出了两个FOUP108。测量模块126采用至少一种适于测量形成在衬底上的结构的临界尺寸的无损测量技术。可以适于从本发明受益的一种合适的测量模块光学地测量临界尺寸,并且可从位于加利福尼亚Milpitas的Nanometrics获得。应该想到,也可以使用适于测量临界尺寸的其它测量工具。FI机械手132被配置来在FOUP108、测量模块126和装载锁定室106之间转移处理前和处理后的衬底,可以沿着轨道118定位,以增大机械手的运动范围。
或者,测量模块126可以直接耦合到转移室104,例如来替换处理室110、112、114、116中的一个,或者耦合到转移室104的另一面。该配置有利于收集临界尺寸数据,而不必将衬底转移出真空环境。还可以想到,可以使用两个或更多个测量模块126,并且至少一个测量模块可以布置在 系统100的大气侧和真空侧。
系统控制器140被耦合到并且控制集成处理系统100。系统控制器140使用对于系统100的室或设备的直接控制、或者通过控制与这些室和设备相关联的计算机(或控制器),来控制系统100的操作的所有方面。在操作中,系统控制器140使能数据收集,连同从测量模块126获得的数据的前馈和反馈,以优化系统100的性能并有利于保持光掩模CD的设计公差。
系统控制器140一般包括中央处理单元(CPU)142、存储器144和辅助电路146。CPU142可以是可用于工艺设置的通用计算机处理器的任何形式中的一种。辅助电路146常规地耦合到CPU142,并且可以包括高速缓冲存储器、时钟电路、输入/输出子系统、功率供应装置等。软件程序在被CPU142执行时将该CPU转变成专用计算机(控制器)140。软件程序也可以由位于系统100的远程的第二控制器(没有示出)储存和/或执行。
图2描绘了根据本发明的一个实施例制造如图3A-3G所示的光掩模320的方法200的流程图的一个实施例。一般来说,方法200通常作为软件程序被储存在存储器144中。虽然本发明的方法200在被实现为软件程序的情况下被讨论,但是在此所讨论的方法步骤中的一些或全部可以在硬件中以及通过软件控制器来执行。同样地,本发明可以在当在计算机系统上执行的软件中实现,在硬件中作为专用集成电路或者其它类型的硬件实现方式实现,或者以软件和硬件的组合实现。
方法200开始于步骤202,其中衬底122被从FOUP108中的一个通过装载锁定室106中的一个转移到第一处理室110。衬底122一般包括被制造的光掩模结构的膜叠层300。膜叠层300包括光学透明硅基材料,诸如石英(即,二氧化硅(SiO2))层302,该石英层302具有称为光掩模材料的不透明遮光铬层304,在石英层302的表面上形成图案化掩模。铬层304可以是铬和/或氧氮化铬。衬底122还可以包括衰减层303(以虚线示出),诸如掺杂钼(Mo)的氮化硅(SiN)、或钼硅(MoSi),所述衰减层303被布置在石英层302和铬层304之间,如图3A所示。
在步骤204,利用在第一处理室110中执行的化学气相沉积工艺将硬 掩模层306沉积在衬底122上,如图3B所示。硬掩模层306具有(但不限于)约50埃到约1000埃的厚度。硬掩模层306可以是氧氮化铬、氧氮化硅、富硅氧化物、富硅氮化物、富硅氧氮化物、氮化钛、硅化钼和硅碳化物(包括:SiC;SiCH;SiCOH;SiCNH;SiCONH;类金刚石碳、碳、钨、SiO2、和Si3N4等)。硬掩模层306还可以是高温无机碳材料,称为α-碳。一种用于沉积α-碳材料的工艺可以从应用材料公司得到,商标为Advanced Patterning Film(APFTM)。另一种α-碳材料公开于共同转让的2000年6月8日递交的美国专利申请No.09/590,322。α-碳材料还可以用氮(N2)进行掺杂,以提高α-碳材料相对于在膜叠层300使用的其它材料的刻蚀选择性。
在一个实施例中,通过加热包含一种或多种烃化合物和惰性气体的气体混合物以热分解气体混合物中的该一种或多种烃化合物来形成无定型碳层,来沉积硬掩模层306。合适的烃化合物包括具有通式CxHy的气体,其中x的范围为2到4,y的范围为2到10。气体混合物可以被加热到约100℃到约700℃之间的温度。
在一个实施例中,在沉积期间可以提供从两个功率源供应的不同频率的偏压功率,以设计所沉积的材料的应力,由此改善硬掩模层306与下方的膜的粘附。具体地,随着光掩模的临界尺寸接近45nm的范围,促进刻蚀偏置的硬掩模层306的不良粘附将导致从掩模材料到光掩模的不可接受的CD转移。例如,可以以约2到约27MHz的频率范围提供高达约1000瓦特的总偏压功率。较高频率功率源和较低频率功率源之间的功率比率的范围可以从100%到约0%,和从约0%到约100%。还应该想到,依据膜叠层组成、将沉积的硬掩模材料和在所沉积的硬掩模膜中所期望的应力水平,可以将频率调节到更高和/或更低频率。
在一个实施例中,硬掩模层306可以包括电介质抗反射涂层(DARC)层,该DARC层用于在光刻图案化工艺中控制光的反射。典型地,DARC层包括二氧化硅(SiO2)、氧氮化硅(SiON)、氮化硅(Si3N4)等。在一个示例性实施例中,硬掩模层包括具有组合厚度为约1800埃的α-碳膜和DARC层。
在步骤206,其上布置有硬掩模层306的衬底122被从第一处理室110移出,并且通过装载锁定室106中的一个转移回到FOUP108中的一个。在步骤208,衬底122被从系统100移出,以在硬掩模层306上形成有图案化的光抗蚀剂掩模308,如本领域所公知的。在步骤210,其上布置有图案化的光抗蚀剂掩模308的衬底122被返回到系统100,如图3C所示。
可选地,在步骤212,可以将其上沉积有图案化的光抗蚀剂掩模308的衬底122从FOUP 108转移到测量模块126。在测量模块126,在步骤214测量定义光抗蚀剂掩模308的结构的尺寸。为了执行测量,测量工具可以使用至少无损的光学测量技术,诸如光谱法、干涉测量法、散射测量法、反射测量法、椭圆光度法等。所测量的尺寸可以包括拓扑尺寸、临界尺寸(CD)、厚度、齿形角等。术语“临界尺寸”在此是指,定义硬掩模层306将通过其被刻蚀的孔隙的图案化掩模308的结构的最小宽度。可以对一批衬底中的每一个衬底执行测量,也可以以预定的周期执行测量。在一个实施例中,测量模块126获得每一个衬底122上的光抗蚀剂掩模308的临界尺寸测量结果。可以在衬底的多个区域中执行测量,以获得统计有效的平均CD测量结果。这些测量的结果可以用于集成半导体衬底处理系统来确定修正工艺的方案,可以用作用于改进光抗蚀剂掩模形成工艺的反馈信息,或者可以用作用于改进铬刻蚀工艺、用于监视工艺性能、质量控制的反馈信息,或者用于其它的用途。使用光抗蚀剂图案化掩模的修正前测量来控制被刻蚀的特征的尺寸的方法的示例被公开于共同转让的2003年5月1日递交的美国专利申请No.10/428,145和2003年9月19日递交的美国专利申请No.10/666,317中。应该想到,可以在本文所述的多个刻蚀步骤中的任何一个之后进行CD测量并且向上面所述的那样使用。
在步骤216,将其上布置有图案化的光抗蚀剂掩模308的衬底122从FOUP 108(或测量模块126)通过装载锁定室106中的一个转移到第二处理室112。在步骤218,利用图案化的光抗蚀剂掩模308层作为刻蚀掩模,刻蚀硬掩模层306,如图3D所示。
在一个其中硬掩模层306由SiON形成的实施例中,硬掩模层306可 以通过如下操作来刻蚀:以20-200sccm的流率提供四氟化碳(CF4)、以20-200sccm的流率提供氩(Ar)(即,CF4:Ar流率比的范围从1:10~10:1);将200—1500W的功率施加到电感耦合天线;施加20—150W的阴极偏压功率;以及在2~20mTorr的处理室压强下将晶片温度保持在50~200℃。一个工艺方案提供流率为120sccm的CF4、流率为120sccm的Ar(CF4:Ar流率比为约1:1);向天线施加360W的功率;60W的偏压功率;保持80℃的晶片温度;以及保持4mTorr的压强。
在其中硬掩模层306由碳基材料形成的另一个实施例中,硬掩模层306可以通过如下操作来刻蚀:以20-200sccm的流率提供溴化氢(HBr)、以10-40sccm的流率提供氧气(O2)(即,HBr:O2流率比的范围从1:2~20:1)、以及以20-200sccm的流率提供氩(Ar);将200—1500W的功率施加到电感耦合天线;施加50—200W的阴极偏压功率;以及在2~20mTorr的处理室压强下将晶片温度保持在50~200℃。一个工艺方案提供流率为60sccm的HBr、流率为26sccm的O2(HBr:O2流率比为约2.3:1)、以及流率为60sccm的Ar;向天线施加600W的功率;60W的偏压功率;保持80℃的晶片温度、以及保持4mTorr的压强。
在可选步骤220,光抗蚀剂掩模308被从硬掩模层306去除,如图3E所示。在一个实施例中,在步骤218,光抗蚀剂掩模308可以在刻蚀硬掩模层306的同时被去除。在另一个实施例中,光抗蚀剂掩模308可以在其中执行硬掩模刻蚀步骤218的第二处理室112中被就地去除。例如,光抗蚀剂掩模308可以通过暴露于含氧等离子体在硬掩模刻蚀室中就地去除。在另一个实施例中,光抗蚀剂掩模308可以在配置为提供含氧等离子体的剥离室的第四处理室116中去除,所述含氧等离子体可以在所述处理室中形成,或者由远程等离子体源提供。
或者,光抗蚀剂掩模308可以被保留在膜叠层300上。光抗蚀剂掩模308将在随后的刻蚀工艺过程中被最终消耗掉,或者在如下所述的步骤226与图案化的硬掩模层306一同被去除。
在步骤222,将衬底122从第二处理室112转移到第三处理室114,在第三处理室114中,在步骤224,利用图案化的硬掩模层306作为刻蚀掩模来刻蚀铬层304。图3F描绘了具有已刻蚀的铬层304的膜叠层300。在一个实施例中,刻蚀步骤224利用引入到处理室114的一种或多种含烃处理气体形成的等离子体。示例性处理气体可以包括氟碳气体、Cl2、HBr、HCl、CF4和CHF3等中的一种或多种。处理气体也可以包括O2。处理气体还可以包括惰性气体,诸如He、Ar、Xe、Ne和Kr。
在另一个实施例中,在步骤224,通过以2-50标准立方厘米/分(sccm)的流率提供CF4并以10-50sccm的流率提供CFH3,来刻蚀铬层304。一个具体的工艺方案以9sccm的流率提供CF4并以26sccm的流率提供CFH3。处理室中的压强被控制到小于约40mTorr,并且在一个实施例中为约1.5~15mTorr。
在铬刻蚀步骤224的另一个实施例中,小于约600W的脉冲偏压功率被施加到支撑底座以对衬底122加偏压。在第一示例中,衬底122被小于约150W的脉冲RF功率加偏压,在第二示例中,衬底122被约10W的脉冲RF功率加偏压。偏压功率可以以上述的频率和工作周期(dutycycle)进行脉冲调制,例如,以约1~约10kHz范围的频率和以约10~约95百分比的工作周期。脉冲偏压功率可以是DC和/或RF。在另一个实施例中,偏压源140提供约10~约150W的脉冲RF功率,频率为约2~约5kHz,工作周期为约80~约95百分比。在另一个实施例中,偏压源提供约10W的脉冲RF功率。
在步骤224期间,通过从等离子体功率源向天线施加约250~约600W的RF功率,来维持由处理气体形成的等离子体。应该想到,可以通过任何数量的方法来激发等离子体。
通过图案化的硬掩模层306来刻蚀暴露在衬底122上的铬层304,直到到达终点。终点可以由时间、光学干涉测量、室气体发射光谱或通过其它合适的方法来确定。
在步骤226,硬掩模层306被去除以形成光掩模320。在一个实施例中,在步骤242,可以在刻蚀铬层304的同时去除硬掩模层306。例如,由SiON制造的硬掩模层306将以接近铬层的速率被刻蚀。这样,通过将 SiON硬掩模层沉积到等于或者稍大于铬层厚度的厚度,在刻蚀铬层时,基本上所有SiON硬掩模层将被去除。可能需要一个短的过刻蚀时间段,以清除残留的硬掩模层306。
在另一个实施例中,硬掩模层306可以在其中执行刻蚀步骤224的第三处理室114中就地去除。例如,在铬层刻蚀之后,在第三室114中就地通过暴露于含氧等离子体,可以去除由碳材料制成的硬掩模层306。
在另一个实施例中,硬掩模层306可以在转移步骤228之后在第四处理室116中去除。在此实施例中,第四处理室116可以被配置为提供含氧等离子体的剥离室,所述含氧等离子体可以在处理室中形成,或者由远程等离子体源提供。
在具有α-碳硬掩模层306的实施例中,可以使用含氧等离子体将硬掩模层306从膜叠层300去除(或剥离)。在另一个实施例中,利用由溴化氢和氧气形成的等离子体去除α-碳硬掩模层306。HBr:O2流率比的范围可以为约1:10~10:1。氩也可以存在于等离子体中。在另一个实施例中,等离子体由200~1000W的源功率和0~300W的偏压功率赋能,同时衬底温度被维持在约20~约80℃。或者,由氢气(H2)或者氧气和诸如氩(Ar)的稀释气体的混合物形成的等离子体可以被用于去除α-碳硬掩模层306。
在硬掩模去除步骤226之后,在步骤230,衬底122(现在被制成光掩模320)通过装载锁定室106转移到工厂接口102。在工厂接口102中,在步骤232,衬底122被加载到FOUP108中的一个中,或者在可选的步骤232,被加载到测量模块126中。
在测量模块126中,可以测量光掩模320的临界尺寸CD。CD测量信息可以被用作反馈,以修正对于光掩模处理的输入衬底的光抗蚀剂图案化;用作前馈,以修正对于使用光掩模320的产品衬底的刻蚀和/或光刻处理;和/或用于监视质量和/或工艺控制等。在测量步骤232之后,衬底在步骤232被加载到FOUP108中的一个中。
可选地,可以如图4的流程图中所示进一步衬底122,图4的流程图描绘了根据本发明的另一个实施例制造如图3G-3J所示的相移光掩模340的方法400的一个实施例。方法400可以在上面的方法200中所述的工序的硬掩模剥离步骤226或测量步骤232之后开始。
方法400通过将衬底转移到FOUP108中的一个中来开始步骤402。在步骤404,衬底122被从系统100取出,以在膜叠层300上形成有第二图案化光抗蚀剂掩模320,如图3H所示。在步骤406,其上沉积有图案化光抗蚀剂掩模320的衬底122被返回到系统100。
可选地,在步骤408,其上沉积有图案化光抗蚀剂掩模320的衬底122可以从FOUP108被转移到测量模块126。在测量模块126中,在步骤410测量定义光抗蚀剂掩模320的结构的尺寸。可以如上所述地获取和利用光抗蚀剂掩模320的CD测量结果。
在步骤412,将其上沉积有图案化光抗蚀剂掩模320的衬底122从FOUP108(或测量模块126)通过装载锁定室106中的一个转移到处理室112、114和116中的一个。在步骤414,利用图案化的光抗蚀剂掩模320层作为刻蚀掩模,刻蚀石英层302。
在一个实施例中,刻蚀步骤414使用由一种或多种氟化处理气体形成的等离子体。示例性的处气体可以包括CF4和CHF3等。处理气体还可以包括惰性气体,诸如He、Ar、Xe、Ne和Kr。在石英层302的刻蚀过程中,施加到衬底的偏压功率可以如上所述地被脉冲调制。
选择石英刻蚀步骤414的终点,使得在图3I中示出的被刻蚀石英沟槽322的深度330大致等于对于预期用于石英相移掩模的光的预定波长通过石英层302产生180度相移的长度。典型的波长为193和248nm。因此,深度322一般为约172nm或240nm,但是其它深度可以被用于预期用于不同光刻波长的掩模。在步骤414刻蚀石英沟槽322之后,在步骤416,例如通过灰化去除光抗蚀剂掩模320,使得保留的膜叠层300形成石英相移掩模320,如图3J所示。
在光抗蚀剂掩模去除步骤416之后,在步骤418,衬底122(现在被制成光掩模340)通过装载锁定室106转移到工厂接口102。在工厂接口102中,在步骤424,衬底122被加载到FOUP108中的一个中,或者在可选的步骤230,被加载到测量模块422中。
在测量模块126中,可以测量光掩模340的临界尺寸CD。CD测量信息可以被用作反馈,以修正对于用于光掩模处理的输入衬底的光抗蚀剂图案化;用作前馈,以修正对于使用光掩模340的产品衬底的刻蚀和/或光刻处理;和/或用于监视质量和/或工艺控制等。在测量步骤226之后,在步骤232,衬底被加载到FOUP 108中的一个中。
图5描绘了可以用作图1的系统100中的第一处理室110的化学气相沉积室500。室500耦合到室主体502,室主体502具有布置在处理区域512中的衬底支撑518。
示例性的室主体502一般包括盖506、底部508和侧壁510。排出端口546穿过室主体502,并且将处理区域512耦合到泵吸系统530。一般地,排出端口546穿过室主体502的底部508布置,但是可以位于室主体502的其它部分中。泵吸系统530一般包括节流阀和真空泵(两者都没有示出),用于抽空室主体502的内部区域512,去除沉积副产物,并且控制室主体502内的压强。
喷淋头520被耦合到盖506,以将从气体面板526提供到室主体502的处理区域512的气体均匀分配。在用于沉积含碳上掩模层的一个实施例中,气体面板526将一种或多种烃化合物或其衍生物提供到处理室500。此外,可以使用含氧和/或含氟化合物。烃化合物可以可选地包含氮,或与诸如氨的含氮气体一起沉积。并且,烃化合物可以具有诸如氟和氧的取代基。可以使用的一种烃化合物或其衍生物具有通式CAHBOCFD,其中,A的范围为1~24,B的范围为0~50,C的范围为1~10,D的范围为0~50,并且B和D的和至少为2。
喷淋头520被耦合到RF功率源522和匹配电路524。功率源522一般能够产生频率在约10MHz~约3GHz范围内并且功率在约1~10,000W范围内的RF信号。在一个实施例中,源522能够产生频率为约60MHz的RF信号。由源522供应到喷淋头520的RF能量被用于促进在等离子体中分解和电离气体,这通常有利于降低处理温度,同时增大沉积速率。电介质绝缘体538被布置在室主体502的盖506和喷淋头520之间,以将RF热喷淋头520与室主体502电隔离。等离子体增强处理还提供附加的工艺 灵活性,并且为系统500提供了用于不同类型的沉积工艺的能力。
清洁剂发生器528也可以通过喷淋头被耦合到处理区域512。在一个实施例中,清洁剂发生器528提供诸如原子氟之类的清洁剂,所述清洁剂将不希望的沉积物和其它污染物从室部件上去除。一种这样的发生器可从Azte公司获得。
衬底支撑518布置在室主体502的内部区域512中。衬底支撑装置518包括:在处理期间保持衬底122的光罩适配器582;用于热控制衬底温度的加热元件544;以及用于在处理期间对衬底加偏压的电极590。加热元件544可以是电阻加热器、用于流动热传输流体的流体管道、电阻加热元件或者热电装置,还可以是其它温度控制装置。在一个实施例中,加热元件544是耦合到功率源548的电阻加热器,并能够加热衬底并且将衬底维持在约100~约500℃的温度下,并且在一个实施例中,衬底温度被维持在小于约450℃。
电极590一般通过匹配网络596耦合到一对RF偏压源592、594。偏压源592、594一般能够产生频率从约50kHz~约27MHz且功率从约0~约1000W的RF信号。匹配网络596将源592、594的阻抗与等离子体阻抗匹配。单一馈送598将能量从两个源耦合到布置在衬底支撑518中的电极590。或者,每一个源592、594可以通过独立的馈送被耦合到电极590。
光罩适配器582将衬底122保持在衬底支撑518上。光罩适配器582可以由铝或氧化铝、或其它合适的材料制成。
图6描绘了光罩适配器582的一个实施例的透视图。光罩适配器582一般包括接收环602和覆盖环604。接收环602和覆盖环604一同限定了衬底接收口612。
覆盖环604一般覆盖衬底支撑518的上表面,并且保护衬底支撑518的上表面免受沉积。覆盖环604包括多个孔620,所述多个孔620允许抬升销608选择性地通过其突起,由此将接收环602从覆盖环604抬起。覆盖环604具有凸起的对齐特征610,该对齐特征610起到提供衬底接收口612的一个边界以及提供当接收环处在较低位置时配合接收环602的对齐特征。
接收环602包括具有大致“C”形的弓形底板606,其具有限定出衬底接收口612的一部分的内缘614。一个或者多个衬底支撑(诸如支撑凸缘616、618)被布置在内缘614上。衬底支撑凸缘616、618允许接收环602在被抬升销608抬升时将衬底122从覆盖环604抬起。“C”形底板606的开口端622便于机械手(没有示出)的叶片与抬高的接收环602交换衬底122。
回到图5,衬底支撑518被耦合到抬升机构552,抬升机构552控制衬底支撑518相对于喷淋头520的升高。衬底支撑518可以由抬升机构552降低,以方便通过布置在室主体502的侧壁510中的衬底存取端口(没有示出)转移衬底。反过来,衬底支撑518可以被朝向喷淋头520升高,以设定衬底122和喷淋头520之间的间隙(或间距)。波纹管管550耦合在抬升机构552和室底部508之间,以防止真空泄漏。
在操作中,衬底122被布置在处理室500中衬底支撑518上。处理气体从气体面板526通过喷淋头520被引入到室主体502中。在一个实施例中,RF源向喷淋头520提供13.56MHz的约600W的RF电压,由此激发室主体502内的气体并且形成等离子体558。选择RF偏压源592,以产生约2MHz频率的功率,并且选择RF偏压源594,以产生约13.56MHz频率的功率。RF偏压源592、594以1:0~0:1的偏压源592与偏压源594的预定功率比,提供至多约1000W的总RF功率。这些RF偏压源592、594提供即对衬底加自偏压又调制等离子体鞘层的偏压功率。调节偏压源592、594之间的比控制了等离子体的特性,这使得所沉积的膜的性能可以被设计。例如,可以以减小所沉积的膜的应力的方式来沉积SiON或含碳硬掩模层,由此改善硬掩模和下方层(诸如含铬层)之间的粘附。一种可以适于从双频率偏压的应用受益的含碳硬掩模层沉积工艺在2005年2月24日递交的美国专利申请No.11/065,464中有描述,该申请通过引用被全文包括在本文中。
在一个实施例中,选择电极590上的频率,使得其为足够低的频率,以在通电表面上方的等离子体放电中提供强的自偏压鞘层。第一频率提供宽的离子能量分布(即,较低频率)。第二频率提供尖的、形状清晰的离子能量分布(即,较高频率)。一般来说,选择第一频率,使得其周期时间远远大于鞘层中的离子的转变时间,同时选择第二频率,使得其周期接近或者超过在鞘层中的离子的转变时间。这些频率还被选择成当与由独立驱动的电极(例如,喷淋头520)提供的第三功率源结合使用时,他们不是用于等离子体电离和分解的主要功率贡献者。
两个频率源的组合施加电压被用来控制峰-峰鞘层电压以及用于驱动沉积过程的自偏压DC电势。两种频率的混合被用于关于由此DC电势产生的平均加速度的调节能量分布。因此,利用具有上述的双频率阴极的等离子体增强处理室,可以以使得诸如应力和粘附性质之类的膜性能能够通过调节偏压频率而被设计的方式来控制等离子体中的离子能量分布。
图7描绘了适于用作处理室712、714、716中的一个的刻蚀反应器700的一个实施例的示意图。可以适用于本文所公开的教导的合适反应器包括例如Decoupled Plasma Source(
Figure 2006101040463100002S061A4046320060808D00005103025QIETU
)II反应器,或者Tetra I和Tetra II Photomask刻蚀系统,所有这些都可以从加利福尼亚Santa Clara的应用材料公司获得。在此所示出的刻蚀反应器700的具体实施例用于举例说明的目的,不应被用于限制本发明的范围。
刻蚀反应器700一般包括具有处于导电主体(壁)704内的衬底底座724的处理室702和控制器746。室702具有基本平坦的电介质顶板708。室702的其它修改形式可以具有其它类型的顶板,例如圆顶形顶板。天线710被布置在顶板708上。天线710包括一个或者多个可以被选择性控制的感应线圈元件(两个同轴元件710a和710b)。天线710通过第一匹配网络714耦合到等离子体功率源712。等离子体功率源712一般能够产生范围从约50kHz到约13.56MHz的可调谐频率下的高达约3000瓦(W)的功率。在一个实施例中,等离子体功率源712提供约300~约600W的感应耦合RF功率。
衬底底座(阴极)724通过第二匹配网络742耦合到偏压功率源740。偏压源740产生范围从约1kHz到约10kHz的可调谐脉冲频率下的约0~约600W的功率。偏压源740产生脉冲调制RF功率输出。或者, 偏压源740可以产生脉冲调制DC功率输出。可以想到,源740也可以提供恒定的功率输出。
在一个实施例中,偏压源740被配置来提供小于约700W、频率为约1kHz~约10kHz的RF功率,工作周期为约10~约95百分比。在另一个实施例中,偏压源740被配置来提供约20~约150W、频率为约2kHz~约5kHz的RF功率,工作周期为约80~约95百分比。
在一个如在
Figure 2006101040463100002S061A4046320060808D00005103025QIETU
II反应器中的实施例中,衬底支撑底座724可以包括静电卡盘760。静电卡盘760包括至少一个夹紧电极732,并且由卡盘功率供应装置766控制。在替换实施例中,衬底底座724可以包括衬底保持机构,诸如基座夹紧环和机械卡盘等。
气体面板720被耦合到处理室702,用于向处理室702的内部提供处理气体和/或其它气体。在图7中所描绘的实施例中,气体面板720被耦合到一个或者多个形成在室702的侧壁704中的通道718内的入口716。应该想到,该一个或者多个入口716可以被设置在其它的位置,例如在处理室702的顶板708中。
在一个实施例中,气体面板720适用于通过入口716向处理室702的内部中提供氟化处理气体。在处理过程中,由处理气体形成等离子体,并且通过来自等离子体功率源712的功率的感应耦合来维持等离子体。或者,等离子体可以在远程形成或者由其它方法来激发。在一个实施例中,从气体面板720提供的处理气体至少包括CHF3和/或CF4。其它氟化气体可以包括C2F、C4F6、C3F8和C5F8中的一种或多种。
使用节流阀762和真空泵764控制室702中的压强。真空泵764和节流阀762能够将室压强维持在约1mTorr~约20mTorr的范围内。
可以利用穿过壁704的含液体管道(没有示出)控制壁704的温度。壁温度一般被维持在约65℃。一般来说,室壁704由金属(例如铝、不锈钢等)形成,并且被耦合到电接地706。处理室702还包括用于工艺控制、内部诊断、终点检测等的常规系统。这样的系统被总体地示为辅助系统754。
光罩适配器782用于将衬底(诸如光罩或其它工件)固定到衬底支撑 底座724上。光罩适配器782一般包括下部分784和顶部分786,下部分784被轧边以覆盖底座724的上表面(例如,静电卡盘760),顶部分786具有其尺寸和形状适于保持衬底722的开口788。开口788一般基本处于底座724的中心。适配器782一般由单块的耐刻蚀、耐高温的材料(诸如聚酰亚胺陶瓷或石英)形成。合适的光罩适配器在2001年6月26日授权的美国专利No.6,251,217中有描述,并且通过引用被包括在本文中。边缘环726可以覆盖适配器782,并将适配器782固定到底座724上。
抬升机构738用于将适配器782进而衬底722降低到或抬离衬底支撑底座724。一般来说,抬升机构738包括多个穿透相应的引导孔736的抬升销(示出了一个抬升销730)。
在操作中,通过稳定衬底底座724的温度来控制衬底722的温度。在一个实施例中,衬底支撑底座724包括加热器744和可选的热沉728。加热器744可以是一个或多个配置来流过热传输液体的流体管道。在另一个实施例中,加热器744可以包括至少一个由加热器功率供应装置768调控的加热元件734。可选地,来自气体源756的背面气体(例如,氦(He))通过气体管道758被提供到形成在衬底722下方的底座表面中的通道。背面气体用于促进底座724和衬底722之间的热传输。在处理过程中,底座724可以由嵌入式加热器744加热到稳态温度,嵌入式加热器744与氦背面气体相组合有利于衬底722的均匀加热。
图8描绘了当专用于掩模去除的室是所期望时可以用作第四处理室114的那种示例性灰化反应器800的示意图。合适的灰化反应器可从应用材料公司得到。下面将简要描述示例性反应器800的主要特征。
反应器800包括处理室802、远程等离子体源806、和控制器808。处理室802一般是真空容器,其包括第一部分810和第二部分812。在一个实施例中,第一部分810包括衬底底座804、侧壁816、和真空泵814。第二部分812包括盖818和气体分配板(喷淋头)820,第二部分812限定气体混合空间822和反应空间824。盖818和侧壁816一般由金属(例如,铝(Al)、不锈钢等)形成,并且电耦合到地参考860。
衬底底座804在反应空间824中支撑衬底(晶片)826。在一个实施 例中,衬底底座804可以包括辐射热源(诸如充气灯828)以及嵌入式电阻加热器830和管道832。管道832将气体(例如,氦)从源834通过底座804的晶片支撑表面中的沟槽(没有示出)提供到晶片826的背面。气体促进支撑底座804和晶片826之间的热交换。晶片826的温度可以被控制在约20℃~400℃。
真空泵814配合到形成在处理室802的侧壁816中的排出端口836。真空泵814用于维持处理室802中的所期望的气体压强,以及将处理后气体和其它挥发性化合物从室排空。在一个实施例中,真空泵814包括节流阀838,以控制处理室802中的气体压强。
处理室802还包括用于保持和卸载晶片826、检测工艺终点、中间诊断等的常规系统。这样的系统被总体地描绘为辅助系统840。
远程等离子体源806包括功率源846、气体面板844和远程等离子体室842。在一个实施例中,功率源846包括射频(RF)发生器848、调谐组件850、和施加器852。RF发生器848能够产生频率约200~700kHz下的约200~5000W的功率。施加器852感应耦合到远程等离子体室842,并且在室中将处理气体(或气体混合物)864激发成等离子体862。在此实施例中,远程等离子体室842具有环形几何形状,该环形几何形状约束等离子体并且有利于高效生成游离基物质,以及降低了等离子体的电子温度。在其它实施例中,远程等离子体源806可以是微波等离子体源,但是,使用感应耦合等离子体的剥离速率通常更高。
气体面板844使用管道866来将处理气体864输送到远程等离子体室842。气体面板844(或管道866)包括诸如质量流量控制器和截止阀之类的装置(没有示出),用于控制供应到室842的各个气体的气压和流率。在等离子体862中,处理气体864被电离和分解,以形成反应性物质。
反应性物质通过盖818中的入口端口868被导入混合空间822中。为了使晶片上的器件的充电等离子体损伤最小化,在气体通过喷淋头820中的多个开口870到达反应空间824之前,处理气体864的离子物质在混合空间822中是基本中性的。
虽然上面所述的涉及本发明的实施例,但是可以设计本发明的其它和 更多的实施例,而不偏离本发明的基本范围,本发明的基本范围有所附权利要求确定。

Claims (8)

1.一种制造光掩模的方法,包括:
将处于处理系统中的衬底转移到第一处理室,所述处理系统具有耦合到具有真空环境的转移室的所述第一处理室、第二处理室和第三处理室,所述衬底包括具有石英层、布置在所述石英层上的铬层、布置在所述铬层上的硬掩膜层和形成在所述硬掩膜层上的第一图案化光抗蚀剂层的膜叠层;
在所述第二处理室中,使用所述第一图案化光抗蚀剂层作为刻蚀掩模刻蚀硬掩模层;
在所述第三处理室中,使用所述硬掩模层作为刻蚀掩模刻蚀所述铬层;
在所述第三处理室中就地去除所述硬掩模层;
在所述铬层上形成第二图案化光抗蚀剂层;
利用所述第二图案化光抗蚀剂层作为刻蚀掩模,刻蚀出穿过所述铬层的孔隙以暴露出所述石英层;以及
通过穿过所述铬层形成的所述孔隙刻蚀所述石英层,以形成石英相移光掩模。
2.如权利要求1所述的方法,还包括:
使用位于所述系统内的测量模块测量形成在所述衬底上的特征的临界尺寸。
3.如权利要求2所述的方法,其中,所述测量还包括:
将所述衬底转移到布置在所述系统的工厂接口中的光学测量装置。
4.如权利要求2所述的方法,其中,所述测量还包括:
将所述衬底转移到耦合到所述转移室的光学测量装置。
5.如权利要求2所述的方法,其中,所述特征被定义在所述第一图案化光抗蚀剂层、所述第二图案化光抗蚀剂层、所述硬掩模层、所述铬层或所述石英层中的至少之一中。
6.如权利要求1所述的方法,还包括:
使用位于所述系统内的测量模块测量形成在所述第一图案化光抗蚀剂层上的第一特征的临界尺寸;以及
测量形成在所述第二图案化光抗蚀剂层、所述硬掩模层或所述铬层中的至少一个上的第二特征的临界尺寸。
7.如权利要求1所述的方法,其中,所述在所述第三处理室中就地去除所述硬掩模层包括:
在刻蚀所述铬层的过程中基本消耗掉所述硬掩模层。
8.如权利要求1所述的方法,其中,所述在所述第三处理室中就地去除所述硬掩模层包括:
在刻蚀所述铬层之后,利用含氧等离子体消耗掉所述硬掩模层。
CN2006101040463A 2005-07-29 2006-07-31 便于光掩模制造中的工艺集成的组合工具和方法 Expired - Fee Related CN1904727B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/192,989 2005-07-29
US11/192,989 US7829471B2 (en) 2005-07-29 2005-07-29 Cluster tool and method for process integration in manufacturing of a photomask

Publications (2)

Publication Number Publication Date
CN1904727A CN1904727A (zh) 2007-01-31
CN1904727B true CN1904727B (zh) 2011-06-22

Family

ID=37674019

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006101040463A Expired - Fee Related CN1904727B (zh) 2005-07-29 2006-07-31 便于光掩模制造中的工艺集成的组合工具和方法

Country Status (5)

Country Link
US (2) US7829471B2 (zh)
JP (1) JP2007041599A (zh)
KR (1) KR100808694B1 (zh)
CN (1) CN1904727B (zh)
TW (1) TWI326796B (zh)

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7431795B2 (en) * 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
DE102006004430B4 (de) * 2006-01-31 2010-06-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung in einem Ätzsystem durch Gasflusssteuerung auf der Grundlage von CD-Messungen
KR101150142B1 (ko) * 2006-04-06 2012-06-11 어플라이드 머티어리얼스, 인코포레이티드 대형 기판 상에 아연 산화물 투명 전도성 산화물의 반응성 스퍼터링
US7373215B2 (en) * 2006-08-31 2008-05-13 Advanced Micro Devices, Inc. Transistor gate shape metrology using multiple data sources
AU2007300728B2 (en) * 2006-09-26 2011-07-14 Intercontinental Great Brands Llc Rupturable blister package
US9216850B2 (en) 2006-09-26 2015-12-22 Intercontinental Great Brands Llc Rupturable substrate
US7694688B2 (en) 2007-01-05 2010-04-13 Applied Materials, Inc. Wet clean system design
KR100862315B1 (ko) * 2007-03-23 2008-10-13 주식회사 하이닉스반도체 마스크 리워크 방법
KR101536101B1 (ko) * 2007-08-02 2015-07-13 어플라이드 머티어리얼스, 인코포레이티드 박막 반도체 물질들을 이용하는 박막 트랜지스터들
KR100972860B1 (ko) * 2007-09-18 2010-07-28 주식회사 하이닉스반도체 포토마스크의 제조 방법
KR100924342B1 (ko) * 2007-10-15 2009-10-30 주식회사 하이닉스반도체 포토마스크의 결함 수정 방법
US8980066B2 (en) * 2008-03-14 2015-03-17 Applied Materials, Inc. Thin film metal oxynitride semiconductors
WO2009117438A2 (en) * 2008-03-20 2009-09-24 Applied Materials, Inc. Process to make metal oxide thin film transistor array with etch stopping layer
US20090325387A1 (en) * 2008-06-26 2009-12-31 Applied Materials, Inc. Methods and apparatus for in-situ chamber dry clean during photomask plasma etching
US8258511B2 (en) * 2008-07-02 2012-09-04 Applied Materials, Inc. Thin film transistors using multiple active channel layers
US8232212B2 (en) * 2008-07-11 2012-07-31 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning
JP4989800B2 (ja) * 2008-09-27 2012-08-01 Hoya株式会社 マスクブランク及び転写用マスクの製造方法
JP4853684B2 (ja) * 2009-03-31 2012-01-11 信越化学工業株式会社 フォトマスクブランク及びフォトマスク
WO2011037829A2 (en) * 2009-09-24 2011-03-31 Applied Materials, Inc. Methods of fabricating metal oxide or metal oxynitride tfts using wet process for source-drain metal etch
US8840763B2 (en) * 2009-09-28 2014-09-23 Applied Materials, Inc. Methods for stable process in a reactive sputtering process using zinc or doped zinc target
KR101145032B1 (ko) 2010-06-14 2012-05-11 주식회사 피케이엘 포토마스크 제조 방법
JP5823742B2 (ja) 2010-07-02 2015-11-25 芝浦メカトロニクス株式会社 把持装置、搬送装置、処理装置、および電子デバイスの製造方法
JP5916056B2 (ja) * 2010-08-23 2016-05-11 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
TWI490351B (zh) * 2010-12-29 2015-07-01 Hon Hai Prec Ind Co Ltd 鍍膜件及其製備方法
CN104752152B (zh) * 2013-12-29 2018-07-06 北京北方华创微电子装备有限公司 一种沟槽刻蚀方法及刻蚀装置
KR20160119849A (ko) * 2014-02-11 2016-10-14 어플라이드 머티어리얼스, 인코포레이티드 저 rf 바이어스 주파수 애플리케이션들을 사용하여 비정질 탄소 증착 잔여물들을 세정하기 위한 세정 프로세스
KR102347185B1 (ko) * 2015-02-03 2022-01-04 삼성전자주식회사 반도체 소자 및 그 제조 방법
JP6806704B2 (ja) 2015-05-22 2021-01-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 方位角方向に調整可能なマルチゾーン静電チャック
US9659771B2 (en) * 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
KR102624985B1 (ko) 2016-07-26 2024-01-16 삼성전자주식회사 마스크 블랭크, 위상 시프트 마스크 및 그 제조방법
US10442727B2 (en) * 2017-01-05 2019-10-15 Magic Leap, Inc. Patterning of high refractive index glasses by plasma etching
US10840068B2 (en) * 2017-02-15 2020-11-17 Yield Engineering Systems, Inc. Plasma spreading apparatus and method of spreading plasma in process ovens
US10563304B2 (en) * 2017-04-07 2020-02-18 Applied Materials, Inc. Methods and apparatus for dynamically treating atomic layer deposition films in physical vapor deposition chambers
US10796912B2 (en) * 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
DE102017215995B4 (de) * 2017-09-11 2021-05-12 Carl Zeiss Smt Gmbh Verfahren zur Untersuchung von photolithographischen Masken
CN109062002A (zh) * 2018-09-29 2018-12-21 深圳清溢光电股份有限公司 干法蚀刻制备6代ltps用psm
US10861722B2 (en) * 2018-11-13 2020-12-08 Applied Materials, Inc. Integrated semiconductor processing
US11640909B2 (en) 2018-12-14 2023-05-02 Applied Materials, Inc. Techniques and apparatus for unidirectional hole elongation using angled ion beams
WO2022265874A1 (en) * 2021-06-17 2022-12-22 Tokyo Electron Limited Dry resist system and method of using

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1111292A (zh) * 1993-12-31 1995-11-08 现代电子产业株式会社 制造半色调式相移掩模的方法
CN1337600A (zh) * 2001-09-13 2002-02-27 信息产业部电子第十三研究所 用一次光刻产生t形栅的移相掩模光刻方法

Family Cites Families (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
JPS61138256A (ja) * 1984-12-10 1986-06-25 Toshiba Corp マスクパタ−ンの形成方法
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
JPS63173051A (ja) * 1987-01-13 1988-07-16 Fujitsu Ltd ハ−ドブランクス
US5316616A (en) * 1988-02-09 1994-05-31 Fujitsu Limited Dry etching with hydrogen bromide or bromine
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
JPH05166915A (ja) 1991-12-18 1993-07-02 Nippon Steel Corp 半導体製造装置
US5280154A (en) 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
JP3176118B2 (ja) 1992-03-27 2001-06-11 株式会社東芝 多室型基板処理装置
US5273610A (en) * 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
JP3251087B2 (ja) 1993-02-16 2002-01-28 東京エレクトロン株式会社 プラズマ処理装置
JPH0749558A (ja) 1993-08-05 1995-02-21 Sony Corp 位相シフトマスクの作製方法
JPH0766265A (ja) * 1993-08-30 1995-03-10 Hitachi Ltd 製造装置
US5512130A (en) * 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
US6252354B1 (en) * 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5925494A (en) * 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
JP3472022B2 (ja) * 1996-03-18 2003-12-02 株式会社東芝 フォトマスクの作成方法
JP3319568B2 (ja) * 1996-05-20 2002-09-03 株式会社東芝 プラズマエッチング方法
US5689215A (en) * 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6500314B1 (en) * 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
JP3220383B2 (ja) * 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
KR100205318B1 (ko) * 1996-10-11 1999-07-01 구본준 자유전율의 절연막 제조방법
EP0840350A2 (en) 1996-11-04 1998-05-06 Applied Materials, Inc. Plasma apparatus and process with filtering of plasma sheath-generated harmonics
US5889252A (en) * 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5899252A (en) * 1997-07-18 1999-05-04 Freud Usa, Inc. Router bit and routing method
US5959325A (en) * 1997-08-21 1999-09-28 International Business Machines Corporation Method for forming cornered images on a substrate and photomask formed thereby
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6080132A (en) * 1998-02-27 2000-06-27 Abbott Laboratories Apparatus for altering characteristics of a fluid
US6122566A (en) * 1998-03-03 2000-09-19 Applied Materials Inc. Method and apparatus for sequencing wafers in a multiple chamber, semiconductor wafer processing system
US6060132A (en) * 1998-06-15 2000-05-09 Siemens Aktiengesellschaft High density plasma CVD process for making dielectric anti-reflective coatings
TW434636B (en) * 1998-07-13 2001-05-16 Applied Komatsu Technology Inc RF matching network with distributed outputs
US6171764B1 (en) * 1998-08-22 2001-01-09 Chia-Lin Ku Method for reducing intensity of reflected rays encountered during process of photolithography
US6642149B2 (en) * 1998-09-16 2003-11-04 Tokyo Electron Limited Plasma processing method
US6635583B2 (en) * 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
US6251759B1 (en) * 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system
JP2000138201A (ja) * 1998-10-29 2000-05-16 Ulvac Seimaku Kk ハーフトーン位相シフト膜のドライエッチング方法および装置、ハーフトーン位相シフトフォトマスクおよびその作製方法、ならびに半導体回路およびその製作方法
EP1011135A3 (en) 1998-12-14 2000-07-26 Conexant Systems, Inc. Semiconductor interconnect structure employing a pecvd inorganic dielectric layer and process for making same
US6259334B1 (en) * 1998-12-22 2001-07-10 Lam Research Corporation Methods for controlling an RF matching network
US6251217B1 (en) * 1999-01-27 2001-06-26 Applied Materials, Inc. Reticle adapter for a reactive ion etch system
KR100322545B1 (ko) * 1999-02-10 2002-03-18 윤종용 건식 세정 공정을 전 공정으로 이용하는 반도체 장치의콘택홀 채움 방법
US6228760B1 (en) * 1999-03-08 2001-05-08 Taiwan Semiconductor Manufacturing Company Use of PE-SiON or PE-OXIDE for contact or via photo and for defect reduction with oxide and W chemical-mechanical polish
JP3531666B2 (ja) * 1999-03-19 2004-05-31 シャープ株式会社 位相シフトマスク及びその製造方法
US6682861B2 (en) * 1999-09-30 2004-01-27 Photronics, Inc. Disposable hard mask for phase shift photomask plasma etching
US6472107B1 (en) * 1999-09-30 2002-10-29 Photronics, Inc. Disposable hard mask for photomask plasma etching
US20010013313A1 (en) * 2000-02-10 2001-08-16 Motorola, Inc. Apparatus for fabricating semiconductor structures and method of forming the structures
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6527968B1 (en) * 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
JP3976981B2 (ja) * 2000-03-30 2007-09-19 キヤノン株式会社 露光装置、ガス置換方法、デバイス製造方法
US6507155B1 (en) * 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
JP2001338964A (ja) 2000-05-26 2001-12-07 Hitachi Ltd 試料処理装置および処理方法
US6607984B1 (en) * 2000-06-20 2003-08-19 International Business Machines Corporation Removable inorganic anti-reflection coating process
KR20020009410A (ko) * 2000-07-25 2002-02-01 포만 제프리 엘 3원 리소그래픽 att-PSM 포토마스크 및 그 제조 방법
US6451705B1 (en) * 2000-08-31 2002-09-17 Micron Technology, Inc. Self-aligned PECVD etch mask
US6465366B1 (en) * 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6887339B1 (en) * 2000-09-20 2005-05-03 Applied Science And Technology, Inc. RF power supply with integrated matching network
US6391794B1 (en) * 2000-12-07 2002-05-21 Micron Technology, Inc. Composition and method for cleaning residual debris from semiconductor surfaces
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
DE10100822C2 (de) 2001-01-10 2003-04-10 Infineon Technologies Ag Plasmaätzverfahren für MoSi(ON)-Schichten
US20020172766A1 (en) * 2001-03-17 2002-11-21 Laxman Ravi K. Low dielectric constant thin films and chemical vapor deposition method of making same
US20020197509A1 (en) * 2001-04-19 2002-12-26 Carcia Peter Francis Ion-beam deposition process for manufacturing multi-layered attenuated phase shift photomask blanks
JP2003060008A (ja) 2001-05-21 2003-02-28 Tokyo Electron Ltd 処理装置、移載装置、移載方法
JP2002351046A (ja) 2001-05-24 2002-12-04 Nec Corp 位相シフトマスクおよびその設計方法
JP2003073836A (ja) 2001-08-28 2003-03-12 Canon Inc 真空処理方法及び真空処理装置
EP1444727A4 (en) * 2001-10-22 2007-07-18 Unaxis Usa Inc PROCESS AND DEVICE FOR CORROSING THIN, DAMAGE-SENSITIVE LAYERS USING HIGH FREQUENCY PULSE PLASMA
JP2003133294A (ja) * 2001-10-30 2003-05-09 Mitsubishi Electric Corp エッチング装置およびエッチング方法
US6790770B2 (en) * 2001-11-08 2004-09-14 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing photoresist poisoning
US6887340B2 (en) 2001-11-13 2005-05-03 Lam Research Corporation Etch rate uniformity
DE10307518B4 (de) * 2002-02-22 2011-04-14 Hoya Corp. Halbtonphasenschiebermaskenrohling, Halbtonphasenschiebermaske und Verfahren zu deren Herstellung
US20040072081A1 (en) * 2002-05-14 2004-04-15 Coleman Thomas P. Methods for etching photolithographic reticles
US6500756B1 (en) * 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US20050181604A1 (en) * 2002-07-11 2005-08-18 Hans-Peter Sperlich Method for structuring metal by means of a carbon mask
KR100490702B1 (ko) * 2002-11-21 2005-05-19 주성엔지니어링(주) 다중 클러스터 장치
US6864020B1 (en) * 2002-12-24 2005-03-08 Lsi Logic Corporation Chromeless phase shift mask using non-linear optical materials
KR101135246B1 (ko) * 2003-04-09 2012-06-07 호야 가부시키가이샤 포토 마스크의 제조방법 및 포토 마스크 블랭크
US7077973B2 (en) * 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
US7894348B2 (en) * 2003-07-21 2011-02-22 Qlogic, Corporation Method and system for congestion control in a fibre channel switch
KR100546365B1 (ko) * 2003-08-18 2006-01-26 삼성전자주식회사 블랭크 포토마스크 및 이를 사용한 포토마스크의 제조방법
US7024105B2 (en) * 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
US7128806B2 (en) * 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
US7838430B2 (en) * 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7879185B2 (en) * 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
KR100641952B1 (ko) * 2004-02-06 2006-11-02 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
JP2005286102A (ja) * 2004-03-30 2005-10-13 Hitachi High-Technologies Corp 真空処理装置および真空処理方法
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7534469B2 (en) * 2005-03-31 2009-05-19 Asm Japan K.K. Semiconductor-processing apparatus provided with self-cleaning device
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7829471B2 (en) 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
NL1035728C2 (en) 2008-07-21 2010-01-22 Magneto Special Anodes B V Device and method for improved electrochemical cell.

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1111292A (zh) * 1993-12-31 1995-11-08 现代电子产业株式会社 制造半色调式相移掩模的方法
CN1337600A (zh) * 2001-09-13 2002-02-27 信息产业部电子第十三研究所 用一次光刻产生t形栅的移相掩模光刻方法

Also Published As

Publication number Publication date
KR100808694B1 (ko) 2008-02-29
US7838433B2 (en) 2010-11-23
US7829471B2 (en) 2010-11-09
JP2007041599A (ja) 2007-02-15
US20070023390A1 (en) 2007-02-01
TWI326796B (en) 2010-07-01
KR20070015032A (ko) 2007-02-01
CN1904727A (zh) 2007-01-31
TW200710560A (en) 2007-03-16
US20070026321A1 (en) 2007-02-01

Similar Documents

Publication Publication Date Title
CN1904727B (zh) 便于光掩模制造中的工艺集成的组合工具和方法
CN1912178B (zh) 双频率偏压化学气相沉积室和用其制造光掩模的方法
US7375038B2 (en) Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US7829243B2 (en) Method for plasma etching a chromium layer suitable for photomask fabrication
KR101445153B1 (ko) 포토마스크 플라즈마 에칭시 인시츄 챔버 건식 세정을 위한 방법 및 장치
US8293430B2 (en) Method for etching a molybdenum layer suitable for photomask fabrication
US20140190632A1 (en) Method and apparatus for photomask plasma etching
CN101144974B (zh) 具有自掩模层的光掩模及其刻蚀方法
US20060166106A1 (en) Method for photomask plasma etching using a protected mask
JP2006215552A5 (zh)
CN101046626B (zh) 适于制造光掩模的蚀刻钼层方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110622

Termination date: 20150731

EXPY Termination of patent right or utility model