CN1901161A - 连续电镀制作线路组件的方法及线路组件结构 - Google Patents

连续电镀制作线路组件的方法及线路组件结构 Download PDF

Info

Publication number
CN1901161A
CN1901161A CNA2006100994900A CN200610099490A CN1901161A CN 1901161 A CN1901161 A CN 1901161A CN A2006100994900 A CNA2006100994900 A CN A2006100994900A CN 200610099490 A CN200610099490 A CN 200610099490A CN 1901161 A CN1901161 A CN 1901161A
Authority
CN
China
Prior art keywords
layer
metal
metal level
microns
thickness
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006100994900A
Other languages
English (en)
Other versions
CN1901161B (zh
Inventor
林茂雄
罗心荣
周秋明
周健康
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qualcomm Inc
Original Assignee
Megica Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Megica Corp filed Critical Megica Corp
Publication of CN1901161A publication Critical patent/CN1901161A/zh
Application granted granted Critical
Publication of CN1901161B publication Critical patent/CN1901161B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/0212Auxiliary members for bonding areas, e.g. spacers
    • H01L2224/02122Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body
    • H01L2224/02163Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body on the bonding area
    • H01L2224/02165Reinforcing structures
    • H01L2224/02166Collar structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/0347Manufacturing methods using a lift-off mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04042Bonding areas specifically adapted for wire connectors, e.g. wirebond pads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04073Bonding areas specifically adapted for connectors of different types
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05083Three-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05556Shape in side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05567Disposition the external layer being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05568Disposition the whole external layer protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/1147Manufacturing methods using a lift-off mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13021Disposition the bump connector being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13023Disposition the whole bump connector protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45144Gold (Au) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45147Copper (Cu) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/484Connecting portions
    • H01L2224/48463Connecting portions the connecting portion on the bonding area of the semiconductor or solid-state body being a ball bond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48599Principal constituent of the connecting portion of the wire connector being Gold (Au)
    • H01L2224/486Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/48638Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/48644Gold (Au) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48799Principal constituent of the connecting portion of the wire connector being Copper (Cu)
    • H01L2224/488Principal constituent of the connecting portion of the wire connector being Copper (Cu) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/48838Principal constituent of the connecting portion of the wire connector being Copper (Cu) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/48844Gold (Au) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L24/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00011Not relevant to the scope of the group, the symbol of which is combined with the symbol of this group
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01007Nitrogen [N]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01011Sodium [Na]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01014Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01023Vanadium [V]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01024Chromium [Cr]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01027Cobalt [Co]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01028Nickel [Ni]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01032Germanium [Ge]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01044Ruthenium [Ru]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01045Rhodium [Rh]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01046Palladium [Pd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0105Tin [Sn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01075Rhenium [Re]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/050414th Group
    • H01L2924/05042Si3N4
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/095Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
    • H01L2924/097Glass-ceramics, e.g. devitrified glass
    • H01L2924/09701Low temperature co-fired ceramic [LTCC]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1027IV
    • H01L2924/10271Silicon-germanium [SiGe]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10329Gallium arsenide [GaAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15787Ceramics, e.g. crystalline carbides, nitrides or oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15788Glasses, e.g. amorphous oxides, nitrides or fluorides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19043Component type being a resistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/30107Inductance

Abstract

本发明提供一种形成覆盖有聚醯亚胺(polyimide,PI)的连续电镀结构的方法,其包括(a)提供一半导体基底;(b)在该半导体基底上形成一黏着/阻障层;(c)在该黏着/阻障层上形成复数金属线路层(metal trace);(d)在该些金属线路层中选择一目标区域做为接垫,并在该接垫上形成一金属层;(e)去除未被覆盖的该黏着/阻障层;以及(f)形成一聚醯亚胺在该半导体基底上,并暴露出该金属层。

Description

连续电镀制作线路组件的方法及线路组件结构
技术领域
本发明涉及一种连续电镀制作线路组件的方法及线路组件结构,特别涉及一种覆盖聚醯亚胺(polyimide,PI)的连续电镀成型结构。
背景技术
现今大多的半导体组件是用来处理数字资料,然而也有部分的半导体组件整合有模拟的功能,如此半导体组件便可以同时处理数字资料及模拟资料,或者半导体组件亦可以仅具有模拟的功能。制造模拟电路的主要困难点之一是在于许多用于模拟电路的电子组件甚大,难以与次微米极的电子组件整合,尤其是针对被动组件而言,此乃因为被动组件的尺寸过于庞大。
美国专利公告第5,212,403号(Nakanishi)公开一种形成线路联机的方法,其中内部及外部的线路联机是形成在位于芯片上的线路基底内,并且逻辑线路的设计会取决于线路联机的长度。
美国专利公告第5,501,006号(Gehman,Jr.et al.)公开一种集成电路与线路基底之间具有绝缘层的结构,而藉由分散出去的引脚可以是芯片的接点与基板的接点电性连接。
美国专利公告第5,055,907号(Jacobs)公开一种整合型半导体结构,可以允许制造商将一薄膜多层线路形成在支撑基板上或芯片上,藉以整合位在芯片外的电路。
美国专利公告第5,106,461号(Volfson et al.)公开一种多层联机结构,其是藉由TAB结构并利用聚醯亚胺(polyimide)的介电层及金属层交互叠合于芯片上而成。
美国专利公告第5,635,767号(Wenzel et al.)公开一种在PBGA结构中降低电阻电容迟缓效应的方法,其中多层金属层是分开配置。
美国专利公告第5,686,764号(Fulcher)公开一种覆晶基板,藉由将电源线与输入输出引线分开配置,可以降低电阻电容迟缓效应。
美国专利公告第6,008,102号(Alford et al.)公开一种利用两层金属层所形成的螺旋状电感组件,其中此两层金属层可以利用导通孔连接。
美国专利公告第5,372,967号(Sundaram et al.)公开一种螺旋状电感组件。
美国专利公告第5,576,680号(Ling)及第5,884,990号(Burghartz et al.)公开一种其它形式的螺旋状电感组件。
美国专利公告第6,383,916号公开一种芯片结构具有重配置线路层及金属联机层,是配置在介电层上,其中介电层是位于传统芯片的保护层上。保护层是位于集成电路上,而厚的聚合物层是选择性地配置在保护层上,宽的或厚的金属联机是位在保护层上。
美国专利公告第6,303,423号公开一种形成具有高感应系数的电感组件于芯片的保护层上的结构。此种具有高感应系数的电感组件可以应用在高频电路中,并且可以减少电能的损耗。在此案中,还公开电容组件及电阻组件,可以形成在硅基底的表面上,藉以减少位于硅基底下的电子组件所引发出的寄生效应。
美国专利公告第6,869,870号(Lin)公开一种变压器形成在晶圆的保护层上。
有鉴于此,本发明是针对上述被动组件各种技术,提出一种连续电镀制作线路组件的方法及线路组件结构,用以应用在半导体被动组件领域上。
发明内容
本发明的主要目的是在于提供一种连续电镀制作线路组件的方法及线路组件结构,其是在保护层上具有一线圈线路层的半导体芯片,其中顶层线圈线路层可以承受高电压高电流,且控制顶层线圈线路层的电流变化可以产生一感应电动势以感应其它线圈。
本发明的另一目的是在于提供一种连续电镀制作线路组件的方法及线路组件结构,其是公开数种在线圈上以连续电镀方式形成对外的接点,比如形成接垫(pad)、凸块(bump)等,此接垫及凸块皆可透过打线或异方式导电胶电连接至外界电路上,使半导体组件的应用更具多元化连接方式。
为了本发明上述的目的,提出一种线路组件结构,包括一半导体基底;一细联机结构,位在该半导体基底上;一保护层,位在该细联机结构上;一金线路层,位在该保护层上,该金线路层具有一接垫;一镍层,位在该接垫上;一焊料层,位在该镍层上。
为了本发明上述的目的,提出一种线路组件结构,包括一半导体基底;一细联机结构,位在该半导体基底上;一保护层,位在该细联机结构上;一金属线路层,位在该保护层上,该金属线路层具有一接垫;一第一金属层,位在该接垫上;一第一聚合物层,位在该保护层及该金属线路层上,该第一聚合物层具有至少一开口曝露出该第一金属层。
为了本发明上述的目的,提出一种线路组件结构,包括一半导体基底;一细联机结构,位在该半导体基底上;一保护层,位在该细联机结构上;一金线路层,位在该保护层上,该金线路层具有一第一接垫及一第二接垫;一镍层,位在该第一接垫上;一焊料层,位在该镍层上;一导线,位在第二接垫上。
为了本发明上述的目的,提出一种线路组件结构,包括一半导体基底;一细联机结构,位在该半导体基底上;
一保护层,位在该细联机结构上;一金线路层,位在该保护层上,该金线路层具有一接垫;一铜层,位在该接垫上;一焊料层,位在该铜层上。
为了本发明上述的目的,提出一种线路组件结构,包括:一半导体基底;一细联机结构,位在该半导体基底上;一保护层,位在该细联机结构上;一金线路层,位在该保护层上,该金线路层具有一第一接垫及一第二接垫;一铜层,位在该第一接垫上;一焊料层,位在该铜层上;一导线,位在该第二接垫上。
为了本发明上述的目的,提出一种线路组件结构,包括:一半导体基底;一细联机结构,位在该半导体基底上;一保护层,位在该细联机结构上;一铜线路层,位在该保护层上,该铜线路层具有一接垫;一镍层,位在该接垫上;一焊料层,位在该镍层上。
为了本发明上述的目的,提出一种线路组件结构,包括一半导体基底;一细联机结构,位在该半导体基底上;
一保护层,位在该细联机结构上;一铜线路层,位在该保护层上,该铜线路层具有一第一接垫及一第二接垫;一镍层,位在该第一接垫上;一焊料层,位在该镍层上;一金层,位在该第二接垫上;一导线,位在该金层上。
为了本发明上述的目的,提出一种线路组件结构,包括一半导体基底;一细联机结构,位在该半导体基底上;一保护层,位在该细联机结构上;一铜线路层,位在该保护层上,该铜线路层具有一接垫;一金层,位在该接垫上。
为了本发明上述的目的,提出一种线路组件结构,包括一半导体基底;一细联机结构,位在该半导体基底上;一保护层,位在该细联机结构上;一铜线路层,位在该保护层上,该铜线路层具有一第一接垫及一第二接垫;一第一金层,位在该第一接垫上,该第一金层的厚度是介于10微米至50微米之间;一第二金层,位在该第二接垫上,该第二金层的厚度是介于0.1微米至10微米之间。
为了本发明上述的目的,提出一种线路组件结构,包括:一半导体基底;一细联机结构,位在该半导体基底上;一保护层,位在该细联机结构上;一铜线路层,位在该保护层上,该铜线路层具有一接垫;一镍层,位在该接垫上;一金层,位在该镍层上,该金层的厚度是介于1微米至50微米之间。
为了本发明上述的目的,提出一种线路组件结构,包括一半导体基底;一细联机结构,位在该半导体基底上;
一保护层,位在该细联机结构上;一金线路层,位在该保护层上,该金线路层具有一接垫;一金层,位在该接垫上;一导线,位在该金层上。
为了本发明上述的目的,提出一种线路组件结构,包括一半导体基底;一细联机结构,位在该半导体基底上;一保护层,位在该细联机结构上;一金属线路层,位在该保护层上,该金属线路层具有一接垫;一第一金属层,位在该接垫上;一第一聚合物层,位在该保护层及该金属线路层上,该第一聚合物层具有至少一开口曝露出该第一金属层。
为了本发明上述的目的,提出一种线路组件结构,包括一半导体基底;一细联机结构,位在该半导体基底上;一保护层,位在该第一线圈上;一线圈金属层,位在该保护层上,该线圈金属层具有一第一接垫及一第二接垫;一第一图案化聚合物层,位在该线圈金属层上,该第一图案化聚合物层的开口曝露出该线圈金属层的该第一接垫及该第二接垫;一凸块,位在该线圈金属层的该第一接垫;一导线,位在该线圈金属层的该第二接垫。
为了本发明上述的目的,提出一种线路组件结构,包括一半导体基底;一保护层,位在该半导体基底上;
一第一图案化线路层,位在该保护层上;一第一图案化聚合物层,覆盖在该第一图案化线路层及该保护层上,该第一图案化聚合物层的开口曝露出该第一图案化线路层;一第一金属层,位在该第一图案化聚合物层的开口内;一第一线圈,位在该第一图案化聚合物层上并电连接至该第一金属层。
为了本发明上述的目的,提出一种线路组件结构,包括一半导体基底;一细联机结构,位在该半导体基底上;
一保护层,位在该细联机结构上;一金属线路层,位在该保护层上,该金属线路层具有一接垫;一第一金属层,位在该接垫上;一第一聚合物层,位在该第一金属层的侧缘包覆该第一金属层,其中位在该第一金属层顶部的该第一聚合物层具有至少一开口曝露出该第一金属层。
为了本发明上述的目的,提出一种线路组件结构,包括一半导体基底;一细联机结构,位在该半导体基底上;
一保护层,位在该细联机结构上;一金属线路层,位在该保护层上,该金属线路层具有一接垫;一金层,位在该接垫上;利用卷带自动贴合(Tape AutomatedBonding;TAB)使该金层接合在一软性基板上。
为了本发明上述的目的,提出一种线路组件结构,包括一半导体基底;一细联机结构,位在该半导体基底上;一保护层,位在该细联机结构上;一金属线路层,位在该保护层上,该金属线路层具有一接垫;一金层,位在该接垫上;利用一异方性导电胶(ACF)使该金层接合在一软性基板上。
为了本发明上述的目的,提出一种线路组件结构,包括一半导体基底;一细联机结构,位在该半导体基底上;一保护层,位在该细联机结构上;一金属线路层,位在该保护层上,该金属线路层具有一接垫;一金层,位在该接垫上;利用一异方性导电胶(ACF)使该金层接合在一玻璃基板上。
此外,为了本发明上述的目的,提出一种连续电镀制作线路组件的方法,其特征在于,其步骤包括:
提供一半导体基底、一细联机结构、一第一线圈及一保护层,其中该细联机结构位在该半导体基底上,该保护层位在该细联机结构上,该第一线圈位在该保护层上,该第一线圈具有一接垫位在该保护层上;
形成一第一图案化光阻层在该第一线圈及该保护层上,该第一图案化光阻层具有至少一开口暴露出该接垫;
形成一第一金属层在该第一图案化光阻层的该开口内;以及
去除该第一图案化光阻层。
底下藉由具体实施例配合所附的图式详加说明,当更容易了解本发明的目的、技术内容、特点及其所达成的功效。
附图说明
图1a至图1d为本发明半导体基底的细联机结构及保护层的制作方法剖面示意图;
图2a至图2v为本发明第一实施例的制作方法剖面示意图;
图3a至图3b为本发明第二实施例的制作方法剖面示意图;
图4a至图4h为本发明第三实施例的制作方法剖面示意图;
图5a至图5c为本发明第四实施例的制作方法剖面示意图;
图6a至图6d为本发明第五实施例的制作方法剖面示意图;
图7a至图7k为本发明第六实施例的制作方法剖面示意图;
图8a至图8f为本发明第七实施例的制作方法剖面示意图;
图9a至图9f为本发明第八实施例的制作方法剖面示意图;
图10a至图10l为本发明第九实施例的制作方法剖面示意图;
图11a至图11z为本发明第十实施例的制作方法剖面示意图。
附图标记说明:10半导体基底;12电子组件;14细联机结构;16薄膜绝缘层;18细线路层;20导通孔;22保护层;24接垫;202聚合物层;204聚合物层;206黏着/阻障层;208种子层;210光阻层;212开口;214金属层;216光阻层;218开口;220金属层;222聚合物层;224开口;226半导体组件;228导线;230软性基板;232聚合物;234异方性导电胶;236玻璃基板;238软板;240外界电路板;242聚合物层;244镍金属层;246金层;248聚合物层;250开口;252聚合物层;254开口;256光阻层;258开口;260金属层;262光阻层;264开口;266金属层;268光阻层;270开口;272金属层;274黏着/阻障层;276种子层;278光阻层;280开口;282金属层;284聚合物层;286开口;288聚合物层;290开口;294黏着/阻障层;296种子层;298光阻层;300开口;302金属层;304重配置线路层;306图案化光阻层;308开口;310金属层;312聚合物层;314黏着/阻障层;316种子层;318光阻层;320开口;322金属层;324光阻层;326金属层;328光阻层;330金属层;332聚合物层;334开口。
具体实施方式
本发明为连续电镀制作线路组件的方法及线路组件结构,其中在此发明之中公开数种在金属线路层上以连续电镀方式形成接垫(pad)、凸块(bump)等方法及结构,而所公开的每一种方法及结构皆是建构在一半导体基底上,且在此半导体基底上更设有一细联机结构及一保护层,因此首先解说此半导体基底、细联机结构及保护层的结构及形成方法后,再进行本发明各种实施例的解说。
请参阅图1a所示,此半导体基底10为的形式比如是硅基底、砷化镓基底(GAAS)、硅化锗基底、具有磊晶硅在绝缘层上(silicon-on-insulator,SOI)的基底,半导体基底10在此实施例中为圆形之一半导体晶圆,且此半导体基底10具有一主动表面,在半导体基底10的主动表面透过掺杂五价或三价的离子(例如硼离子或磷离子等)形成多个电子组件12,此电子组件12例如是金属氧化物半导体或晶体管,金氧半导体组件(MOS devices),P信道金氧半导体组件(p-channel MOSdevices),n信道金氧半导体组件(n-channel MOS devices),双载子互补式金氧半导体组件(BiCMOS devices),双载子连接晶体管(Bipolar Junction Transistor,BJT),扩散区(Diffusion area),电阻组件(resistor),电容组件(capacitor)及互补金属氧化半导体(CMOS)等。
请参阅图1b所示,在半导体基底10的主动表面上形成一细联机结构14,此细联机结构14是由复数厚度小于3微米的薄膜绝缘层16及厚度小于3微米的细线路层18所构成,其中细线路层18是选自铜金属材质或铝金属材质,而薄膜绝缘层16又称为介电层,一般是利用化学气相沉积的方式所形成。此薄膜绝缘层16比如为氧化硅、化学气相沉积的四乙氧基硅烷(TEOS)氧化物、SiwCxOyHz、氮硅化合物、氟化玻璃(FSG)、黑钻石薄膜(Black Diamond)、丝印层(SiLK)、多孔性氧化硅(porous silicon oxide)或氮氧硅化合物,或是以旋涂方式形成的玻璃(SOG)、聚芳基酯(polyarylene ether)、聚苯恶唑(polybenzoxazole,PBO),或者薄膜绝缘层16为其它介电常数值小于3的材质。
在形成复数细线路层18在半导体基底10上的过程中,就金属镶嵌制作方法而言,是先溅镀一扩散阻絶层在一薄膜绝缘层16的开口内的底部及侧壁上及薄膜绝缘层16的上表面上,接着再溅镀一层例如是铜材质的种子层在扩散阻絶层上,接着再电镀一铜层在此种子层上,接着再利用化学机械研磨(chemical mechanicalpolishing,CMP)的方式去除位在该薄膜绝缘层16的开口外的铜层、种子层及扩散阻絶层,直到曝露出薄膜绝缘层16的上表面为止。而另一种方式亦可以先溅镀一铝层或铝合金层在一薄膜绝缘层16上,接着再利用微影蚀刻的方式图案化铝层或铝合金层。此细线路层18可透过薄膜绝缘层16内的导通孔20相互连接,或连接至电子组件12上,其中细线路层18一般的厚度是在0.1微米到0.5微米之间,在进行微影制作方法时细线路层18的细金属线路是使用五倍(5X)的曝光机(steppers)或扫描机(scanners)或使用更佳的仪器来制作。
请参阅图1c及图1d所示,接着在半导体基底10的表面利用化学气相沉积(CVD)方式设置一保护层22,此保护层22可开设复数缺口曝露出多数接垫24,此接垫24在本发明后续实施例中的应用,在此并不详加叙述。保护层22可以保护半导体基底10内的电子组件12免于湿气与外来离子污染物(foreign ioncontamination)的破坏,也就是说保护层22可以防止移动离子(mobile ions)(比如是钠离子)、水气(moisture)、过渡金属(transition metal)(比如是金、银、铜)及其它杂质(impurity)穿透,而损坏保护层22下方的晶体管、多晶硅电阻组件或多晶硅-多晶硅电容组件的电子组件12或细金属线路。为了达到保护的目的,保护层22通常是由氧化硅(silicon oxide)、氧硅化合物、磷硅玻璃、氮化硅(silicon nitride)、及氧氮化硅(silicon oxy-nitride)等所组成,而保护层22以目前制作方式约有10种不同方法。
第一种保护层22制作方法是先利用化学气相沉积的步骤形成厚度介于0.2至1.2微米间的一氧化硅层,接着再利用化学气相沉积的步骤形成厚度介于0.2至1.2微米间的一氮化硅层在该氧化硅层上。
第二种保护层22制作方法可以是先利用化学气相沉积的步骤形成厚度介于0.2至1.2微米间的一氧化硅层,接着再利用电浆加强型化学气相沉积的步骤形成厚度介于0.05至0.15微米间的一氮氧化硅层在该氧化硅层上,接着再利用化学气相沉积的步骤形成厚度介于0.2至1.2微米间的一氮化硅层在该氮氧化硅层上。
第三种保护层22制作方法可以是先利用化学气相沉积的步骤形成厚度介于0.05至0.15微米间的一氮氧化硅层,接着再利用化学气相沉积的步骤形成厚度介于0.2至1.2微米间的一氧化硅层在该氮氧化硅层上,接着再利用化学气相沉积的步骤形成厚度介于0.2至1.2微米间的一氮化硅层在该氧化硅层上。
第四种保护层22制作方法可以是先利用化学气相沉积的步骤形成厚度介于0.2至0.5微米间的一第一氧化硅层,接着再利用旋涂法(spin-coating)形成厚度介于0.5至1微米间的一第二氧化硅层在该第一氧化硅层上,接着再利用化学气相沉积的步骤形成厚度介于0.2至0.5微米间的一第三氧化硅层在该第二氧化硅层上,接着再利用化学气相沉积的步骤形成厚度介于0.2至1.2微米间的一氮化硅层在该第三氧化硅层上。
第五种保护层22制作方法可以是先利用高密度电浆化学气相沉积(HDP-CVD)的步骤形成厚度介于0.5至2微米间的一氧化硅层,接着再利用化学气相沉积的步骤形成厚度介于0.2至1.2微米间的一氮化硅层在该氧化硅层上。
第六种保护层22制作方法可以是先形成厚度介于0.2至3微米间的一未掺杂硅玻璃层(undoped silicate glass,USG),接着形成比如是四乙氧基硅烷(TEOS)、硼磷硅玻璃(borophosphosilicate glass,BPSG)或磷硅玻璃(phosphosilicate glass,PSG)等的厚度介于0.5至3微米间的一绝缘层在该未掺杂硅玻璃层上,接着再利用化学气相沉积的步骤形成厚度介于0.2至1.2微米间的一氮化硅层在该绝缘层上。
第七种保护层22制作方法可以是选择性地先利用化学气相沉积的步骤形成厚度介于0.05至0.15微米间的一第一氮氧化硅层,接着再利用化学气相沉积的步骤形成厚度介于0.2至1.2微米间的一氧化硅层在该第一氮氧化硅层上,接着可以选择性地利用化学气相沉积的步骤形成厚度介于0.05至0.15微米间的一第二氮氧化硅层在该氧化硅层上,接着再利用化学气相沉积的步骤形成厚度介于0.2至1.2微米间的一氮化硅层在该第二氮氧化硅层上或在该氧化硅层上,接着可以选择性地利用化学气相沉积的步骤形成厚度介于0.05至0.15微米间的一第三氮氧化硅层在该氮化硅层上,接着再利用化学气相沉积的步骤形成厚度介于0.2至1.2微米间的一氧化硅层在该第三氮氧化硅层上或在该氮化硅层上。
第八种保护层22制作方法可以是先利用化学气相沉积(PECVD)的步骤形成厚度介于0.2至1.2微米间的一第一氧化硅层,接着再利用旋涂法(spin-coating)形成厚度介于0.5至1微米间的一第二氧化硅层在该第一氧化硅层上,接着再利用化学气相沉积的步骤形成厚度介于0.2至1.2微米间的一第三氧化硅层在该第二氧化硅层上,接着再利用化学气相沉积的步骤形成厚度介于0.2至1.2微米间的一氮化硅层在该第三氧化硅层上,接着再利用化学气相沉积的步骤形成厚度介于0.2至1.2微米间的一第四氧化硅层在该氮化硅层上。
第九种保护层22制作方法可以是先利用高密度电浆化学气相沉积(HDP-CVD)的步骤形成厚度介于0.5至2微米间的一第一氧化硅层,接着再利用化学气相沉积的步骤形成厚度介于0.2至1.2微米间的一氮化硅层在该第一氧化硅层上,接着再利用高密度电浆化学气相沉积(HDP-CVD)的步骤形成厚度介于0.5至2微米间的一第二氧化硅层在该氮化硅层上。
第十种保护层22制作方法可以是先利用化学气相沉积的步骤形成厚度介于0.2至1.2微米间的一第一氮化硅层,接着再利用化学气相沉积的步骤形成厚度介于0.2至1.2微米间的一氧化硅层在该第一氮化硅层上,接着再利用化学气相沉积的步骤形成厚度介于0.2至1.2微米间的一第二氮化硅层在该氧化硅层上。
其中在半导体基底10上的保护层22的厚度一般是大于0.35微米,在较佳的情况下,氮化硅层的厚度通常大于0.3微米。
至此半导体基底10、细联机结构14及保护层22解说完成,以下就依序分别解说本发明的各个实施例,其中每一实施例皆是在保护层22上进行。
第一实施例
此第一实施例是以在半导体基底10上形成被动组件为说明标的,其中此被动组件例如是电感(线圈)组件、电阻组件、电容组件等,请参阅图2a所示,利用旋涂(spin-coating)方式形成一聚合物层202在保护层22,此聚合物层202具有絶缘功能,且此聚合物层202的材质是选自材质比如为热塑性塑料、热固性塑料、聚醯亚胺(polyimide,PI)、苯基环丁烯(benzo-cyclo-butene,BCB)、聚氨脂(polyurethane)、环氧树脂、聚对二甲苯类高分子、焊罩材料、弹性材料或多孔性介电材料其中之一。而此聚合物层202除了利用旋涂(spin-coating)方式也可以利用热压合干膜方式、网版印刷方式进行,此聚合物层202的厚度是介于2微米至50微米之间。
请参阅图2b所示,另外为了加强此聚合物层202的絶缘功能,可再利用旋涂方式再形成另一聚合物层204,增加整体絶缘的性能,其中此聚合物层204的厚度是介于2微米至50微米之间。
接着将聚合物层202及聚合物层204以烘烤加热、微波加热、红外线加热其中之一方式进行加热至介于摄氏200度与摄氏320度之间的温度或加热至介于摄氏320度与摄氏450度之间的温度,以硬化(curing)聚合物层202及聚合物层204,硬化后的聚合物层202及聚合物层204在体积上会呈现缩小的情形,且聚合物层202及聚合物层204含水率小于1%,此含水率是将聚合物层202及聚合物层204置放在温度介于摄氏425度至450度下时,其重量变化率小于1%。
请参阅图2c所示,接着溅镀形成厚度介于400埃至7000埃一黏着/阻障层206(Adhesion/Barrier/seed layer)在保护层22及聚合物层204上,此黏着/阻障层206的材质是选自钛金属、氮化钛、钛钨合金、钽金属层、铬、铬铜合金及氮化钽其中之一或所组成的群组的至少其中之一,接着如图2d所示,形成一种子层208在此黏着/阻障层206上,此种子层208有利于后续金属线路的设置,因此种子层208的材质也随后续的金属线路材质有所变化,此外在本文后续实例中所有黏着/阻障层上皆形成有一种子层,在此特以说明。
当种子层上是电镀形成铜材质的金属线路时,种子层的材料是以铜为佳;当要电镀形成银材质的金属线路时,种子层的材料是以银为佳;当要电镀形成钯材质的金属线路时,种子层的材料是以钯为佳;当要电镀形成铂材质的金属线路时,种子层的材料是以铂为佳;当要电镀形成铑材质的金属线路时,种子层的材料是以铑为佳;当要电镀形成钌材质的金属线路时,种子层的材料是以钌为佳;当要电镀形成铼材质的金属线路时,种子层的材料是以铼为佳;当要电镀形成镍材质的金属线路时,种子层的材料是以镍为佳。
请参阅图2e所示,形成一光阻层210在种子层208上,此光阻层210为正光阻型式。如图2f所示,图案化此光阻层210形成多数开口212曝露出种子层208,在形成开口212的过程中是以一倍(1X)的曝光机(steppers)或扫描机(scanners)进行曝光显影,在此实施例的开口212具有一线圈形状。
请参阅图2g所示,电镀形成厚度介于1微米至50微米之间的一金属层214在开口212所曝露出的种子层208上,此金属层214较佳的厚度是介于2微米至30微米之间,此金属层214比如是金、铜、银、钯、铂、铑、钌、铼或镍的单层金属层结构,或是由上述金属材质所组成的复合层。
如图2h所示,接着去除光阻层210,而所留下金属层214即呈现一线圈形状,请参阅图2i所示,此线圈形状的金属层214可作被动组件中的电感,当此线圈形状的金属层214通过电流时,即产生感应电动势,使保护层22下方的细线路层18感应。另外在此说明此线圈形状的金属层214在使用时(通入电流),会产生大量的静电,大约为1500伏特(V),因为聚合物层202及聚合物层204必须有一定程度的厚度,才能防止细线路层18及薄膜绝缘层16损坏。
如图2j所示,接着形成一光阻层216在金属层214及种子层208上;如图2k所示,同样利用一倍(1X)的曝光机(steppers)或扫描机(scanners)进行曝光显影,图案化此光阻层216,在光阻层216内形成多数开口218曝露出金属层214顶面。
如图2l所示,电镀形成厚度介于1微米至150微米之间的一金属层220在开口218内所曝露出的金属层214上,此金属层220较佳的厚度是介于2微米至30微米之间,此金属层220比如是金、铜、银、钯、铂、铑、钌、铼或镍的单层金属层结构,或是由上述金属材质所组成的复合层,由上述金属材质所形成的金属层220较佳厚度可介于2微米至30微米之间。此外,金属层220除了上述所提的金属材质外也可使用焊料材料取代,此焊料材料为锡铅金属层、锡银金属层、锡银铜合金层、无铅焊料层。此金属层220若为焊料材质,则金属层220的较佳厚度是介于3微米至150微米之间。
如图2m所示,去除光阻层216;如图2n所示,利用含有碘的蚀刻液去除未在金属层214下的种子层208,例如碘化钾等蚀刻液,并再利用双氧水蚀刻去除未在金属层214下的黏着/阻障层206,其中去除黏着/阻障层206的方式分为干式蚀刻及湿式蚀刻,其中干式蚀刻使用高压氩气进行溅击蚀刻,而进行湿式蚀刻时若种子层208为金的种子层时,则可使用碘化钾溶液进行去除,若黏着/阻障层206为钛钨合金时,则可使用双氧水进行去除。
如图2o所示,形成一聚合物层222在金属层220及金属层214上,如图2p所示,利用蚀刻方式图案化此聚合物层222形成多数开口224曝露出金属层220,其中值得注意的是,当聚合物层222为感光材质时,则比如可以利用微影制作方法(photolithography process),将聚合物层222图案化;当聚合物层222为非感光材质时,则比如可以利用微影蚀刻制作方法(photolithography process and etchingprocess),将聚合物层222图案化并加热硬化。接着如图2q所示,切割此半导体基底10形成多数半导体组件226。
此外,另一值得注意的地方在于第一实施例中金属层214及金属层220材质及厚度上的变化,使得第一实施例后续的应用及结构型态有所不同。如图2r所示,当金属层220为一金层时,则可利用一打线制作方法形成一导线228在半导体组件226所曝露出的金属层220上,藉由此导线226使半导体组件226电连接至一外界电路,此外界电路为软版、半导体芯片、印刷电路板陶瓷基板或玻璃基板等。
请参阅图2s所示,例如当金属层220的厚度是介于10微米至30微米之间时,也就是金属层220是应用在凸块(bump)时,将图2n所示的结构藉由卷带自动贴合(Tape Automated Bonding;TAB)制作方法,使半导体组件226上的金属层220接合在一软性基板230上。在此实施例中金属层220及金属层214较佳材质分别为铜金属材质及金金属材质,当半导体组件226接合在软性基板230后,可再包覆一聚合物232以保护金属层220与软性基板230的接合处。
请参阅图2t所示,例如当金属层220是应用在凸块(bump)时,也可利用一异方性导电胶(ACF)234将半导体组件226上的凸块(金属层220)电性接合在一玻璃基板236上,此方式也就是玻璃覆晶封装(Chip-on-glass),此COG技术是将IC芯片直接黏结在主动矩阵液晶显示器(LCD)边缘的制造方法,以提供更小的封装面积、更高的品质,并可改良坚固度,在此金属层220及金属层214较佳材质分别为铜金属材质及金金属材质。
请参阅图2u所示,例如当金属层220是应用在凸块(bump)时,同样也可利用异方性导电胶(ACF)234将半导体组件226上的凸块(金属层220)电性接合在一软板(film)238上,此方式也就是COF(chip on film)技术,主要应用以手机为主,或未来应用于PDP(电浆显示器)的驱动IC,及其它面积不大的LCD产品上。在此金属层220及金属层214较佳材质分别为铜金属材质及金金属材质。
请参阅图2v所示,例如当金属层220是应用在凸块(bump)时,且金属层220的材质为焊料时,比如锡铅金属层、锡银金属层、锡银铜合金层、无铅焊料等等,在进行切割半导体基底10的步骤前,则先进行再加热制作方法,使金属层220到达熔点而内聚成球形,之后再进行切割半导体基底10的步骤形成多数半导体组件226,接着以覆晶(Flip Chip,FC)方式接合在一外界电路板240上,接着可形成一聚合物层242在外界电路板240与半导体组件226之间以提供保护。
第二实施例
此实施例与第一实施例相似,不同点在于当金属层214的面积太小时,使覆盖在金属层220及金属层214上的聚合物层222进行微影蚀刻时,无法蚀刻出如此微细的开口,因此会形成如图3a所示的结构,使得金属层220及金属层214完全曝露在外,再进行加热使聚合物层222硬化,接着如图3b所示,切割此半导体基底10形成多数半导体组件226。接着使金属层220接合在外界电路板240上,接着同样形成聚合物层242在外界电路板240与半导体组件226之间以提供保护。
第三实施例
此实施例与第一实施例相似,不同点在于电镀形成一金属层220在开口218内的步骤及金属材质不同,如图4a所示,将第一实施例中在光阻层216的开口218内是先电镀形成厚度介于2微米至30微米之间的一镍金属层244,接着如图4b所示,再电镀形成厚度介于2微米至30微米之间的一金层246在镍金属层244上,接着如图4c所示,去除光阻层216;如图4d所示,利用含有碘的蚀刻液去除未在金属层214下的种子层208,例如碘化钾等蚀刻液,并再利用双氧水蚀刻去除未在金属层214下的黏着/阻障层206。
如图4e所示,形成一聚合物层248在金层246及金属层214上,如图4f所示,利用蚀刻方式图案化此聚合物层248形成多数开口250曝露出金层246,再进行加热使聚合物层248硬化,接着如图4g所示,切割此半导体基底10形成多数半导体组件226。其中图4e至图4g的详细制作方法与第一实施例相同,在此就不加以重复叙述。
如图4h所示,利用一打线制作方法形成一导线228在半导体组件226所曝露出的金层246上,藉由此导线228使半导体组件226电连接至一外界电路,此外界电路为软版、半导体芯片、印刷电路板陶瓷基板或玻璃基板等。其中金属层214较佳的材质为铜金属,与镍金属层244及金层246构成铜/镍/金层结构。此外另一值得注意的地方在于镍金属层244上除了可电镀形成金层246外,也可电镀一铂层(Pt)、钯层(Pd)、银层(Ag)等金属层取代。
第四实施例
此实施例与第一实施例相似,不同点在于图案化聚合物层222的步骤,请参阅图5a及图5b所示,在第一实施例中图案化聚合物层222仅是曝露出金属层220,而在此实施例中则是以蚀刻方式图案化此聚合物层222形成多数开口224同时曝露出金属层220及金属层214,如图5c所示,在进行完切割半导体基底10后产生多数半导体组件226,每一半导体组件226上的聚合物层222开口同时曝露金属层220及金属层214,当金属层214为金(Au)金属材质时,在聚合物层222开口所曝露的金属层214则可利用打线制作方法形成导线228电连接至外界电路,而曝露于外界的金属层220则可利用TAB、COG、COF及FC等技术接合在一外界基板上。
第五实施例
请参阅图6a所示,此实施例是由第一实施例图2h所发展而来,在第一实施例去除光阻层210后,形成厚度介于2微米至30微米之间的一聚合物层252在金属层214上,如图6b所示,利用蚀刻方式图案化此聚合物层252形成多数开口254曝露出未在金属层214下的种子层208及部分的金属层214,其中部分的聚合物层252仍包覆着金属层214,仅特定部分金属层214顶面曝露出,接着将此聚合物层252加热硬化,接着如图6c所示,去除种子层208及黏着/阻障层206,如第6d图所示,进行切割半导体基底10形成多数半导体组件226,并且藉由打线制作方法形成导线228在所曝露出的金属层214顶面上,使半导体组件226电连接至外界电路。
第六实施例
请参阅图7a所示,此实施例是由第五实施例的图6b发展而来,此实施例将包覆在金属层214的聚合物层252硬化,接着形成一光阻层256在金属层214及种子层208上,接着如图7b所示,利用微影蚀刻方式在光阻层256上形成多数开口258曝露出金属层214表面,如图7c所示,电镀形成一金属层260在开口258内,此金属层260的厚度是介于1微米至150微米之间,当金属层260的材质为金、铜、银、钯、铂、铑、钌、铼或镍的单层金属层结构,或是由上述金属材质所组成的复合层时,则金属层260较佳的厚度是介于2微米至30微米之间。若金属层260的材质为焊料时,比如是锡铅金属层、锡银金属层、锡银铜合金层、无铅焊料其中之一,则金属层260的厚度较佳是介于3微米至150微米之间。
如图7d所示,去除光阻层256,并去除种子层208及黏着/阻障层206,接着如图7e所示,切割此半导体基底10形成多数半导体组件226。
请参阅图7f所示,利用打线制作方法形成导线228在金属层260上,使半导体组件226电连接至外界电路上。如图7g所示,也可藉由卷带自动贴合(TapeAutomated Bonding;TAB)制作方法,使半导体组件226上的金属层260接合在一软性基板230上,如图7h所示,也可藉由玻璃覆晶封装(Chip-on-glass)技术,利用异方性导电胶(ACF)234将半导体组件226上的金属层260电性接合在一玻璃基板236上,如图7i所示,同样也可藉由COF(chip on film)技术,利用异方性导电胶(ACF)234将半导体组件226上的金属层260电性接合在软板238上,如图7j所示,当金属层260材质为焊料时,则在进行切割半导体基底10的步骤前,则先进行再加热制作方法,使金属层260到达熔点而内聚成球形,之后再进行切割半导体基底10的步骤形成多数半导体组件226,接着如图7k所示,以覆晶(Flip Chip,FC)方式接合在外界电路板240上,接着可形成聚合物层242在外界电路板240与半导体组件226之间以提供保护。
第七实施例
请参阅图8a所示,此实施例与第五实施例相似,同样是因金属层214的面积太小时,使覆盖在金属层214及种子层208上的聚合物层252进行微影蚀刻时,无法蚀刻出如此微细的开口,因此在微影蚀刻时会形成如图8a所示的结构,接着将此聚合物层252进行加热硬化,接着如图8b所示,形成一光阻层262在聚合物层252及种子层208上,如图8c所示,利用微影蚀刻方式使光阻层262形成多数开口264曝露出金属层214,如图8d所示,电镀形成厚度介于1微米至150微米之间的一金属层266在开口264内,此金属层266的材质为金、铜、银、钯、铂、铑、钌、铼或镍的单层金属层结构,或是由上述金属材质所组成的复合层时,则金属层266较佳的厚度是介于2微米至30微米之间。若金属层266的材质为焊料时,比如是锡铅金属层、锡银金属层、锡银铜合金层、无铅焊料其中之一,则金属层266的厚度较佳是介于3微米至150微米之间。
如图8e及图8f所示,去除光阻层262,并去除种子层208及黏着/阻障层206,接着切割此半导体基底10形成多数半导体组件226,而每一半导体组件226皆可使用打线制作方法、卷带自动贴合(Tape Automated Bonding;TAB)制作方法、玻璃覆晶封装(Chip-on-glass)技术、COF(chip on film)技术及覆晶(Flip Chip,FC)技术连接至外界电路上,其中接合的过程己在第一实施例中解说,在此就不重复说明。
第八实施例
此实施例是由第五实施例的图6a发展而来,请参阅图9a所示,其中在图6b蚀刻方式图案化此聚合物层252时,形成多数开口254曝露出未在金属层214下的种子层208及部分的金属层214,接着将此聚合物层252进行加热硬化,接着如图9b所示,形成一光阻层268在聚合物层252、金属层214及种子层208上,如图9c所示,利用微影蚀刻方式使光阻层268形成多数开口270曝露出部分的金属层214,其中值得注意的地方在于光阻层268同时将部分曝露的金属层214予以覆盖,与第七实施例不同,如图9d所示,电镀形成厚度介于1微米至150微米之间的一金属层272在开口270内,此金属层272的材质及厚度如上述第七实施例中的金属层266一样,在此就不加以重复解说。
如图9e及图9f所示,去除光阻层262,并去除种子层208及黏着/阻障层206,并且进行半导体基底10的切割步骤,形成多数半导体组件226,而半导体组件226上的金属层272可藉由则可利用TAB、COG、COF及FC等技术接合在一外界基板上,而当金属层214为金(Au)金属材质时,在聚合物层252开口所曝露的金属层214则可利用打线制作方法形成导线228电连接至外界电路。
第九实施例
此实施例是由第一实施例中的图2o所发展而来,请参阅图10a所示,其中在形成一聚合物层222在金属层220及金属层214上后,进行加热使聚合物层222硬化,如图10b所示,利用化学机械研磨(CMP)进行一研磨的步骤,使聚合物层222厚度减少直到金属层220露出,接着溅镀厚度介于400埃至7000埃一黏着/阻障层274在聚合物层222及金属层220上,此黏着/阻障层274的材质是选自钛金属、氮化钛、钛钨合金、钽金属层、铬、铬铜合金及氮化钽其中之一或所组成的群组的至少其中之一,接着如图10c所示,再溅镀形成一种子层276在黏着/阻障层274上,如图10d所示,形成一光阻层278在种子层276上,如图10e所示,图案化此光阻层278形成多数开口280曝露出种子层276,在形成开口280的过程中是以一倍(1X)的曝光机(steppers)或扫描机(scanners)进行曝光显影,在此实施例的开口280具有一线圈形状。
接着如图10f所示,电镀形成厚度介于1微米至50微米之间的一金属层282在开口280所曝露出的种子层276上,此金属层282较佳的厚度是介于2微米至30微米之间,此金属层282比如是金、铜、银、钯、铂、铑、钌、铼或镍的单层金属层结构,或是由上述金属材质所组成的复合层。如图10g所示,接着去除光阻层278,而所留下金属层282即呈现一线圈形状。
如图10h所示,接着去除未在金属层282下方的种子层276及黏着/阻障层274,如图10i所示,形成一聚合物层284在金属层282及聚合物层222上;如图10j所示,利用蚀刻方式蚀刻此聚合物层284,使聚合物层284形成多数开口286曝露出金属层282,如图10k所示,进行切割半导体基底10,形成多数半导体组件226,接着如图10l所示,利用打线制作方法形成导线228在曝露出金属层282上,藉由导线228使半导体组件226电连接至一外界电路上。
第十实施例
此实施例的结构与上述九种实施例不同,但皆有应用到连续电镀形成金属层的概念,其中上述九种实施例皆是以被动组件中的电感(线圈)型式为例,此实施例则是以重配置线路(RDL)为实施例。
请参阅图11a所示,在完成此保护层22后,接着形成厚度介于3微米至50微米之间的一聚合物层288在此保护层22上,此聚合物层288具有絶缘功能,且此聚合物层288的材质是选自材质比如为热塑性塑料、热固性塑料、聚醯亚胺(polyimide,PI)、苯基环丁烯(benzo-cyclo-butene,BCB)、聚氨脂(polyurethane)、环氧树脂、聚对二甲苯类高分子、焊罩材料、弹性材料或多孔性介电材料。而此聚合物层288主要是利用旋涂方式设置,另外也可利用热压合干膜方式、网版印刷方式进行,接着如图11b所示,利用蚀刻方式对此聚合物层288进行图案化,而形多数开口290曝露出半导体基底30上的接垫24。其中值得注意的是,当聚合物层288为感光材质时,则比如可以利用微影制作方法(photolithography process),将聚合物层288图案化;当聚合物层288为非感光材质时,则比如可以利用微影蚀刻制作方法(photolithography process and etching process),将聚合物层288图案化。
并且将图案化的聚合物层288后,可利用烘烤加热、微波加热、红外线加热其中之一方式进行加热至介于摄氏200度与摄氏320度之间的温度或加热至介于摄氏320度与摄氏450度之间的温度,以硬化(curing)聚合物层288,硬化后的聚合物层288在体积上会呈现缩小的情形,且聚合物层288含水率小于1%,此含水率是将聚合物层288置放在温度介于摄氏425度至450度下时,其重量变化率小于1%。
如图11c所示,以溅镀方式形成厚度介于400埃至7000埃之一黏着/阻障层294及一种子层296(Adhesion/Barrier/seed layer)在聚合物层288及接垫24上,其中此黏着/阻障层294的材质是选自钛金属、氮化钛、钛钨合金、钽金属层、铬、铬铜合金及氮化钽其中之一或所组成的群组的至少其中之一。
接着如图11d所示,形成一图案化光阻层298在位于此黏着/阻障层294上的种子层296上,此图案化光阻层298具有多数开口300曝露出部分的位在黏着/阻障层294上的种子层296,在形成开口300的过程中比如是一倍(1X)的曝光机(steppers)或扫描机(scanners),且此图案化光阻层298为正光阻型式。
如图11e所示,接着电镀形成厚度介于1微米至50微米之间的一金属层302在开口300所曝露出的种子层296上,此金属层302较佳的厚度是介于2微米至30微米之间,使金属层302电连接至细联机结构14,此金属层302比如是金、铜、银、钯、铂、铑、钌、铼或镍的单层金属层结构,或是由上述金属材质所组成的复合层。
接着如图11f所示,去除此图案化光阻层298,即形成一重配置线路层304,值得注意的特点在于此重配置线路层304主要是将金属层302形成在开口290上及延伸至部分的聚合物层288上,并不是单纯形在开口290上,而所延伸的金属层302上则有利于后续的线路的设置。
如图11g所示,接着形成一图案化光阻层306在此重配置线路层304上及位在黏着/阻障层294上的种子层296上,此图案化光阻层306的多数开口308曝露出此重配置线路层304。
接着如图11h所示,电镀形成厚度介于1微米至20微米之间的一金属层310在此开口308内,且此金属层310的最大横向寛度是介于3微米至50微米,此金属层310的材质的材质选自金、铜、银、钯、铂、铑、钌、铼或镍其中之一或所组成的群组的至少其中之一,此金属层310较佳的厚度是介于2微米至10微米之间。
其中值得注意的是金属层310的材质若是铜金属时,则重配置线路层304较佳的顶层金属材质为铜金属;金属层310的材质若是银金属时,则重配置线路层304较佳的顶层金属材质为银金属;金属层310的材质若是钯金属时,则重配置线路层304较佳的顶层金属材质为钯金属;金属层310的材质若是铂金属时,则重配置线路层304较佳的顶层金属材质为铂金属;金属层310的材质若是铑金属时,则重配置线路层304较佳的顶层金属材质为铑金属;金属层310的材质若是钌金属时,则重配置线路层304较佳的顶层金属材质为钌金属;金属层310的材质若是铼金属时,则重配置线路层304较佳的顶层金属材质为铼金属;金属层310的材质若是镍金属时,则重配置线路层304较佳的顶层金属材质为镍金属。
如图11i所示,接着去除图案化光阻层306,并利用双氧水蚀刻去除未在重配置线路层304下的黏着/阻障层294,其中除了利用双氧水去除黏着/阻障层294外,并利用含有碘的蚀刻液去除种子层296,例如碘化钾等蚀刻液。
如图11j所示,在去除金属层302下的黏着/阻障层294及种子层296后,以旋涂方式形成一聚合物层312在此半导体基底10上将金属层310覆盖,此聚合物层312的材质是选自材质比如为热塑性塑料、热固性塑料、聚醯亚胺(polyimide,PI)、苯基环丁烯(benzo-cyclo-butene,BCB)、聚氨脂(polyurethane)、环氧树脂、聚对二甲苯类高分子、焊罩材料、弹性材料或多孔性介电材料。
请参阅图11k所示,利用烘烤加热、微波加热、红外线加热其中之一方式进行加热使聚合物层312硬化,并利用化学机械研磨(CMP)方式使金属层310曝露出。
如图11l所示,接着溅镀厚度介于400埃至7000埃一黏着/阻障层314在聚合物层312及金属层310上,此黏着/阻障层314的材质是选自钛金属、氮化钛、钛钨合金、钽金属层、铬、铬铜合金及氮化钽其中之一或所组成的群组的至少其中之一,接着如图11m所示,再溅镀形成一种子层316在黏着/阻障层314上,如图11n所示,形成一光阻层318在种子层316上,如图11o所示,图案化此光阻层318形成多数开口320曝露出种子层316,在形成开口320的过程中是以一倍(1X)的曝光机(steppers)或扫描机(scanners)进行曝光显影。
接着如图11p所示,电镀形成厚度介于1微米至50微米之间的一金属层322在开口320所曝露出的种子层316上,此金属层322较佳的厚度是介于2微米至30微米之间,此金属层322比如是金、铜、银、钯、铂、铑、钌、铼或镍的单层金属层结构,或是由上述金属材质所组成的复合层。如图11q所示,接着去除光阻层318,而所留下金属层322。
如图11r所示,再形成一图案化光阻层324在金属层322上,图案化光阻层324的开口曝露出金属层322,如图11s所示,电镀形成一金属层326在图案化光阻层324的开口内,如图11t所示,去除图案化光阻层324,并形成另一图案化光阻层328,此图案化光阻层328的厚度比原有的图案化光阻层324高至少3微米以上,此图案化光阻层328的多数开口曝露出金属层322,如图11u所示,形成一金属层330在图案化光阻层328的开口内,如图11v所示,去除此图案化光阻层328,并去除未在金属层322下的黏着/阻障层314及种子层316。
如图11w所示,以旋涂方式形成一聚合物层332覆盖在金属层330及聚合物层312上,如图11x所示,利用微影蚀刻方式图案化聚合物层332,形成多数开口334曝露出金属层326及金属层330,如图11y所示,进行加热使此聚合物层332硬化,接着进行切割半导体基底10步骤,形成多数半导体组件226,接着如图11z所示,利用打线制作方法形成导线228在金属层326上,而金属层330则可利用TAB、COG、COF及FC等技术接合在一外界基板上。
本发明在半导体基底(晶圆)上藉由连续电镀的方式,产生了许多不同型态的连接结构,形成各种不同对外的接点结构,比如形成接垫(pad)、凸块(bump)等,此接垫及凸块皆可透过打线或异方式导电胶电连接至外界电路上,使半导体组件的应用更具多元化连接方式。
以上所述是藉由实施例说明本发明的特点,其目的在使熟悉该技术普通一般技术人员能暸解本发明的内容并据以实施,而非限定本发明专利的权利要求保护范围,故,凡其它未脱离本发明所揭示的精神所完成的等效修饰或修改,仍应包含在以下所述的权利要求范围中。

Claims (26)

1.一种连续电镀制作线路组件的方法,其特征在于,其步骤包括:
提供一半导体基底、一细联机结构、一第一线圈及一保护层,其中该细联机结构位在该半导体基底上,该保护层位在该细联机结构上,该第一线圈位在该保护层上,该第一线圈具有一接垫位在该保护层上;
形成一第一图案化光阻层在该第一线圈及该保护层上,该第一图案化光阻层具有至少一开口暴露出该接垫;
形成一第一金属层在该第一图案化光阻层的该开口内;以及
去除该第一图案化光阻层。
2.如权利要求1所述的连续电镀制作线路组件的方法,其特征在于,该形成该保护层的步骤包括沉积厚度介于1000埃至15000埃之间的氮硅化合物层、磷硅玻璃层、氧硅化合物层及氮氧硅化合物层其中之一或组成。
3.如权利要求1所述的连续电镀制作线路组件的方法,其特征在于,该形成该第一线圈步骤前更形成厚度介于2微米至50微米之间的聚醯亚胺化合物层、苯基环丁烯化合物层及环氧树脂层其中之一在该保护层上。
4.如权利要求1所述的连续电镀制作线路组件的方法,其特征在于,该提供该第一线圈的步骤包括:
形成一第二金属层在该保护层上;
形成一第二图案化光阻层在该第二金属层上,该第二图案化光阻层的多数开口暴露出该第二金属层;
形成一第三金属层在该第一图案化光阻层的该开口内,该第一图案化光阻层的该开口包括一线圈形状;以及
去除该第二图案化光阻层。
5.如权利要求4所述的连续电镀制作线路组件的方法,其特征在于,该形成该第二金属层步骤包括溅镀厚度介于400埃至7000埃的钛钨合金层、钛金属层、氮化钛层、钽金属层、氮化钽层、铬金属层、铬铜合金层其中之一或组成在该保护层上。
6.如权利要求4所述的连续电镀制作线路组件的方法,其特征在于,该形成该第三金属层的步骤包括形成厚度介于1微米至50微米之间的金金属层、铜金属层、银金属层、镍金属层其中之一。
7.如权利要求1所述的连续电镀制作线路组件的方法,其特征在于,该去除该第一图案化光阻层的步骤后更包括形成一第二图案化聚合物层在该半导体基底及该第一线圈上,该第二图案化聚合物层的开口暴露出该第一金属层。
8.如权利要求7所述的连续电镀制作线路组件的方法,其特征在于,该形成该第二图案化聚合物层的步骤包括形成厚度介于2微米至50微米之间的聚醯亚胺化合物层、环氧树脂层或苯基环丁烯化合物层。
9.如权利要求1所述的连续电镀制作线路组件的方法,其特征在于,该形成该第一金属层的步骤包括形成厚度介于1微米至50微米之间的金金属层、铜金属层、银金属层、镍金属层及含锡的焊料层其中之一。
10.一种线路组件结构,其特征在于,包括:
一半导体基底;
一保护层,位在该半导体基底上;
一第一图案化线路层,位在该保护层上;
一第一图案化聚合物层,覆盖在该第一图案化线路层及该保护层上,该第一图案化聚合物层的开口暴露出该第一图案化线路层;
一第一金属层,位在该第一图案化聚合物层的开口内;以及
一第一线圈,位在该第一图案化聚合物层上并电连接至该第一金属层。
11.如权利要求8所述的线路组件结构,其特征在于,该保护层的材质是选自氮硅化合物、磷硅玻璃、氧硅化合物、氮氧硅化合物其中之一或及其组成。
12.如权利要求10所述的线路组件结构,其特征在于,更包括一第二聚合物层位在该保护层与该第一图案化线路层之间,该第二聚合物层的材质是选自厚度介于2微米至50微米的聚醯亚胺化合物、苯基环丁烯化合物、聚对二甲苯类高分子、环氧树脂、焊罩材料或多孔性介电材料其中之一或及其组成。
13.如权利要求10所述的线路组件结构,其特征在于,更包括一第二金属层位在该第一图案化线路层与该第二聚合物层之间,该第二金属层是选自厚度介于400埃至7000埃的钛钨合金层、钛金属层、氮化钛层、钽金属层、氮化钽层、铬金属层、铬铜合金层其中之一或及其组成。
14.如权利要求10所述的线路组件结构,其特征在于,该第一图案化线路层是选自厚度介于1微米至50微米的金层、铜层、银层、镍层其中之一或及其组成。
15.如权利要求10所述的线路组件结构,其特征在于,该第一金属层是选自厚度介于1微米至50微米的金层、铜层、银层、镍层、钯层、铂层其中之一或及其组成。
16.如权利要求10所述的线路组件结构,其特征在于,该第一图案化聚合物层是选自厚度介于2微米至50微米之间的聚醯亚胺化合物、苯基环丁烯化合物、聚对二甲苯类高分子、环氧树脂、焊罩材料或多孔性介电材料其中之一或及其组成。
17.如权利要求10所述的线路组件结构,其特征在于,该第一图案化线路层是选自为金属线圈、连接线路层、重配置线路层其中之一。
18.如权利要求10所述的线路组件结构,其特征在于,该第一线圈是选自厚度介于1微米至50微米的金层、铜层、银层、镍层其中之一或及其组成。
19.一种线路组件结构,其特征在于,包括:
一半导体基底;
一细联机结构,位在该半导体基底上;
一保护层,位在该细联机结构上;
一金属线路层,位在该保护层上,该金属线路层具有一接垫;
一金层,位在该接垫上;以及
利用卷带自动贴合或玻璃覆晶封装或薄膜覆晶封装的技术使该金层接合在一基板上。
20.如权利要求19所述的线路组件结构,其特征在于,该保护层的材质是选自氮硅化合物、磷硅玻璃、氧硅化合物、氮氧硅化合物其中之一或及其组成。
21.如权利要求19所述的线路组件结构,其特征在于,更包括一第二聚合物层位在该保护层与该金属线路层之间,该第二聚合物层的材质是选自厚度介于2微米至50微米的聚醯亚胺化合物、苯基环丁烯化合物、聚对二甲苯类高分子、环氧树脂、焊罩材料或多孔性介电材料其中之一或及其组成。。
22.如权利要求19所述的线路组件结构,其特征在于,更包括一第二金属层位在该金属线路层与该第二聚合物层之间,该第二金属层是选自厚度介于400埃至7000埃的钛钨合金层、钛金属层、氮化钛层、钽金属层、氮化钽层、铬金属层、铬铜合金层其中之一或及其组成。
23.如权利要求19所述的线路组件结构,其特征在于,该金属线路层是选自厚度介于1微米至50微米的金层、铜层、银层、镍层其中之一或及其组成。
24.如权利要求19所述的线路组件结构,其特征在于,该金层为厚度介于0.1微米至50微米之间。
25.如权利要求19所述的线路组件结构,其特征在于,更包括一第一聚合物层位在该软性基板与该金属线路层之间,用以包覆该金层与该基板的接合处,该第一聚合物层的材质是选自聚醯亚胺化合物、苯基环丁烯化合物、聚对二甲苯类高分子、环氧树脂、焊罩材料或多孔性介电材料其中之一或及其组成。
26.如权利要求19所述的线路组件结构,其特征在于,该金属线路层是选自为金属线圈、连接线路层、重配置线路层其中之一或及其组成。
CN2006100994900A 2005-07-22 2006-07-24 连续电镀制作线路组件的方法及线路组件结构 Active CN1901161B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US70184905P 2005-07-22 2005-07-22
US60/701,849 2005-07-22

Publications (2)

Publication Number Publication Date
CN1901161A true CN1901161A (zh) 2007-01-24
CN1901161B CN1901161B (zh) 2010-10-27

Family

ID=37656984

Family Applications (4)

Application Number Title Priority Date Filing Date
CN2011100422230A Active CN102157494B (zh) 2005-07-22 2006-07-24 线路组件
CN200610099492XA Active CN1901163B (zh) 2005-07-22 2006-07-24 连续电镀制作线路组件的方法及线路组件结构
CN2006100994915A Active CN1901162B (zh) 2005-07-22 2006-07-24 连续电镀制作线路组件的方法及线路组件结构
CN2006100994900A Active CN1901161B (zh) 2005-07-22 2006-07-24 连续电镀制作线路组件的方法及线路组件结构

Family Applications Before (3)

Application Number Title Priority Date Filing Date
CN2011100422230A Active CN102157494B (zh) 2005-07-22 2006-07-24 线路组件
CN200610099492XA Active CN1901163B (zh) 2005-07-22 2006-07-24 连续电镀制作线路组件的方法及线路组件结构
CN2006100994915A Active CN1901162B (zh) 2005-07-22 2006-07-24 连续电镀制作线路组件的方法及线路组件结构

Country Status (3)

Country Link
US (2) US7960269B2 (zh)
CN (4) CN102157494B (zh)
TW (2) TWI305951B (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101312170B (zh) * 2007-05-21 2010-07-21 米辑电子股份有限公司 线路组件
CN102121944A (zh) * 2010-01-08 2011-07-13 技鼎股份有限公司 一种微探针结构及其制造方法
CN102621804A (zh) * 2009-08-21 2012-08-01 技鼎股份有限公司 金属微结构形成方法
CN103871912A (zh) * 2012-12-10 2014-06-18 颀邦科技股份有限公司 半导体工艺及其结构
CN103887422A (zh) * 2012-12-20 2014-06-25 中芯国际集成电路制造(上海)有限公司 磁阻存储器及其形成方法
CN105390440A (zh) * 2015-10-29 2016-03-09 中国电子科技集团公司第四十一研究所 一种用于软介质电路的刻蚀方法
CN106550540A (zh) * 2015-09-23 2017-03-29 景硕科技股份有限公司 翅膀线圈及其制作方法
CN107731786A (zh) * 2016-08-12 2018-02-23 台湾积体电路制造股份有限公司 重配置线路结构的制造方法
WO2020103874A1 (en) * 2018-11-20 2020-05-28 Changxin Memory Technologies, Inc. Semiconductor structure, redistribution layer (rdl) structure, and manufacturing method thereof

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070048447A1 (en) * 2005-08-31 2007-03-01 Alan Lee System and method for forming patterned copper lines through electroless copper plating
US7470927B2 (en) * 2005-05-18 2008-12-30 Megica Corporation Semiconductor chip with coil element over passivation layer
US7582556B2 (en) * 2005-06-24 2009-09-01 Megica Corporation Circuitry component and method for forming the same
US8420520B2 (en) * 2006-05-18 2013-04-16 Megica Corporation Non-cyanide gold electroplating for fine-line gold traces and gold pads
US7960825B2 (en) * 2006-09-06 2011-06-14 Megica Corporation Chip package and method for fabricating the same
KR100924865B1 (ko) * 2007-12-27 2009-11-02 주식회사 동부하이텍 반도체 소자의 금속배선 형성방법
US9024431B2 (en) 2009-10-29 2015-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die contact structure and method
US8536044B2 (en) * 2010-07-08 2013-09-17 Intersil Americas Inc. Protecting bond pad for subsequent processing
US8598465B2 (en) * 2011-01-27 2013-12-03 Northrop Grumman Systems Corporation Hermetic circuit ring for BCB WSA circuits
US20130146345A1 (en) * 2011-12-12 2013-06-13 Kazuki KAJIHARA Printed wiring board and method for manufacturing the same
US8779592B2 (en) * 2012-05-01 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Via-free interconnect structure with self-aligned metal line interconnections
US9440135B2 (en) * 2012-05-29 2016-09-13 Zhuhai Advanced Chip Carriers & Electronic Substrate Solutions Technologies Co. Ltd. Multilayer electronic structures with integral vias extending in in-plane direction
US8816218B2 (en) * 2012-05-29 2014-08-26 Zhuhai Advanced Chip Carriers & Electronic Substrate Solutions Technologies Co. Ltd. Multilayer electronic structures with vias having different dimensions
US9190348B2 (en) 2012-05-30 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Scheme for connector site spacing and resulting structures
US9472521B2 (en) 2012-05-30 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Scheme for connector site spacing and resulting structures
US9161461B2 (en) * 2012-06-14 2015-10-13 Zhuhai Advanced Chip Carriers & Electronic Substrate Solutions Technologies Co. Ltd. Multilayer electronic structure with stepped holes
US8618607B1 (en) * 2012-07-02 2013-12-31 Globalfoundries Inc. Semiconductor devices formed on a continuous active region with an isolating conductive structure positioned between such semiconductor devices, and methods of making same
KR101983137B1 (ko) * 2013-03-04 2019-05-28 삼성전기주식회사 파워 인덕터 및 그 제조방법
KR102373063B1 (ko) 2013-10-09 2022-03-11 가부시키가이샤 닛폰 쇼쿠바이 흡수성 수지를 주성분으로 하는 입자상 흡수제 및 그의 제조 방법
US10269752B2 (en) 2014-09-15 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Package with UBM and methods of forming
US10147692B2 (en) 2014-09-15 2018-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Package with UBM and methods of forming
DE102016103585B4 (de) * 2016-02-29 2022-01-13 Infineon Technologies Ag Verfahren zum Herstellen eines Package mit lötbarem elektrischen Kontakt
KR20170112522A (ko) 2016-03-31 2017-10-12 주식회사 모다이노칩 코일 패턴 및 그 형성 방법, 이를 구비하는 칩 소자
CN114246026B (zh) * 2021-11-25 2022-08-16 北京胜泰东方科技有限公司 低水分种子的镀膜贮藏方法及其设备

Family Cites Families (348)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3668484A (en) 1970-10-28 1972-06-06 Rca Corp Semiconductor device with multi-level metalization and method of making the same
GB1487945A (en) 1974-11-20 1977-10-05 Ibm Semiconductor integrated circuit devices
JPS51147253A (en) 1975-06-13 1976-12-17 Nec Corp Structure of electrode terminal
US4646998A (en) * 1981-11-20 1987-03-03 Clairson International Corporation Wall-mounted shelf support clip
JPS5954249A (ja) 1982-09-22 1984-03-29 Fujitsu Ltd 半導体装置
US4685998A (en) 1984-03-22 1987-08-11 Thomson Components - Mostek Corp. Process of forming integrated circuits with contact pads in a standard array
US5310699A (en) 1984-08-28 1994-05-10 Sharp Kabushiki Kaisha Method of manufacturing a bump electrode
US4606998A (en) 1985-04-30 1986-08-19 International Business Machines Corporation Barrierless high-temperature lift-off process
US5008102A (en) 1986-02-26 1991-04-16 York Kenneth K Biocompatible intraocular light-screening compositions and methods of intraocular light screening
JPH0789568B2 (ja) 1986-06-19 1995-09-27 日本電気株式会社 集積回路装置
KR910006967B1 (ko) 1987-11-18 1991-09-14 가시오 게이상기 가부시기가이샤 반도체 장치의 범프 전극 구조 및 그 형성 방법
US5055907A (en) 1989-01-25 1991-10-08 Mosaic, Inc. Extended integration semiconductor structure with wiring layers
US4885841A (en) 1989-02-21 1989-12-12 Micron Technology, Inc. Vibrational method of aligning the leads of surface-mount electronic components with the mounting pads of printed circuit boards during the molten solder mounting process
US5106461A (en) * 1989-04-04 1992-04-21 Massachusetts Institute Of Technology High-density, multi-level interconnects, flex circuits, and tape for tab
JPH0377360A (ja) 1989-08-18 1991-04-02 Mitsubishi Electric Corp 半導体装置
EP0453785A1 (de) 1990-04-24 1991-10-30 Oerlikon Contraves AG Verfahren zur Herstellung von mehrlagigen Dünnschichtschaltungen mit integrierten Dünnschichtwiderständen
US5083187A (en) 1990-05-16 1992-01-21 Texas Instruments Incorporated Integrated circuit device having bumped power supply buses over active surface areas and method of manufacture thereof
US5226232A (en) 1990-05-18 1993-07-13 Hewlett-Packard Company Method for forming a conductive pattern on an integrated circuit
US5049979A (en) 1990-06-18 1991-09-17 Microelectronics And Computer Technology Corporation Combined flat capacitor and tab integrated circuit chip and method
JPH0460984A (ja) 1990-06-25 1992-02-26 Matsushita Electron Corp 半導体記憶装置
JP3116360B2 (ja) 1990-06-28 2000-12-11 日本電気株式会社 自己整合型コンタクトホールの形成方法及び半導体装置
JP3002512B2 (ja) 1990-09-10 2000-01-24 株式会社日立製作所 集積回路装置
US5095402A (en) 1990-10-02 1992-03-10 Rogers Corporation Internally decoupled integrated circuit package
US5534442A (en) * 1991-05-10 1996-07-09 Northern Telecom Limited Process of providing uniform photoresist thickness on an opto-electronic device
US6979840B1 (en) 1991-09-25 2005-12-27 Semiconductor Energy Laboratory Co., Ltd. Thin film transistors having anodized metal film between the gate wiring and drain wiring
US5336921A (en) 1992-01-27 1994-08-09 Motorola, Inc. Vertical trench inductor
DE4306655C2 (de) 1992-03-04 1997-04-30 Toshiba Kawasaki Kk Verfahren zum Herstellen eines planaren Induktionselements
JPH0677407A (ja) 1992-04-06 1994-03-18 Nippon Precision Circuits Kk 半導体装置
JP3063422B2 (ja) 1992-10-05 2000-07-12 富士電機株式会社 磁気誘導素子用コイル
US6274391B1 (en) 1992-10-26 2001-08-14 Texas Instruments Incorporated HDI land grid array packaged device having electrical and optical interconnects
JP2884962B2 (ja) 1992-10-30 1999-04-19 日本電気株式会社 半導体メモリ
WO1994017558A1 (en) 1993-01-29 1994-08-04 The Regents Of The University Of California Monolithic passive component
US5328553A (en) 1993-02-02 1994-07-12 Motorola Inc. Method for fabricating a semiconductor device having a planar surface
US5557180A (en) 1993-06-30 1996-09-17 Sgs-Thomson Microelectronics, Inc. Circuit and method for operating a 3-phase motor with a uni-coil phase commutation scheme
US5485038A (en) 1993-07-15 1996-01-16 Hughes Aircraft Company Microelectronic circuit substrate structure including photoimageable epoxy dielectric layers
US5370766A (en) 1993-08-16 1994-12-06 California Micro Devices Methods for fabrication of thin film inductors, inductor networks and integration with other passive and active devices
US5416356A (en) 1993-09-03 1995-05-16 Motorola, Inc. Integrated circuit having passive circuit elements
US5501006A (en) 1993-09-22 1996-03-26 Motorola, Inc. Method for connection of signals to an integrated circuit
US5767564A (en) 1993-10-19 1998-06-16 Kyocera Corporation Semiconductor device with a decoupling capacitor mounted thereon having a thermal expansion coefficient matched to the device
US5527998A (en) 1993-10-22 1996-06-18 Sheldahl, Inc. Flexible multilayer printed circuit boards and methods of manufacture
US5455064A (en) 1993-11-12 1995-10-03 Fujitsu Limited Process for fabricating a substrate with thin film capacitor and insulating plug
US5508561A (en) 1993-11-15 1996-04-16 Nec Corporation Apparatus for forming a double-bump structure used for flip-chip mounting
US5465879A (en) 1994-01-27 1995-11-14 Asymptotic Technologies, Inc. Disposable nozzle assembly for high speed viscous material droplet dispenser
US5576680A (en) 1994-03-01 1996-11-19 Amer-Soi Structure and fabrication process of inductors on semiconductor chip
JP3361881B2 (ja) 1994-04-28 2003-01-07 株式会社東芝 半導体装置とその製造方法
US5478773A (en) 1994-04-28 1995-12-26 Motorola, Inc. Method of making an electronic device having an integrated inductor
US5446311A (en) 1994-09-16 1995-08-29 International Business Machines Corporation High-Q inductors in silicon technology without expensive metalization
US5532512A (en) 1994-10-03 1996-07-02 General Electric Company Direct stacked and flip chip power semiconductor device structures
US5468984A (en) 1994-11-02 1995-11-21 Texas Instruments Incorporated ESD protection structure using LDMOS diodes with thick copper interconnect
US5563762A (en) 1994-11-28 1996-10-08 Northern Telecom Limited Capacitor for an integrated circuit and method of formation thereof, and a method of adding on-chip capacitors to an integrated circuit
US5629240A (en) 1994-12-09 1997-05-13 Sun Microsystems, Inc. Method for direct attachment of an on-chip bypass capacitor in an integrated circuit
FR2728104A1 (fr) 1994-12-09 1996-06-14 Sgs Thomson Microelectronics Procede de marquage de circuits integres avec un laser, et appareil de marquage s'y rapportant
US5534465A (en) 1995-01-10 1996-07-09 At&T Corp. Method for making multichip circuits using active semiconductor substrates
US5608262A (en) 1995-02-24 1997-03-04 Lucent Technologies Inc. Packaging multi-chip modules without wire-bond interconnection
DE69635397T2 (de) 1995-03-24 2006-05-24 Shinko Electric Industries Co., Ltd. Halbleitervorrichtung mit Chipabmessungen und Herstellungsverfahren
US5742100A (en) 1995-03-27 1998-04-21 Motorola, Inc. Structure having flip-chip connected substrates
US5842626A (en) 1995-03-31 1998-12-01 Intel Corporation Method for coupling surface mounted capacitors to semiconductor packages
US5635767A (en) 1995-06-02 1997-06-03 Motorola, Inc. Semiconductor device having built-in high frequency bypass capacitor
US5659201A (en) 1995-06-05 1997-08-19 Advanced Micro Devices, Inc. High conductivity interconnection line
KR100327442B1 (ko) 1995-07-14 2002-06-29 구본준, 론 위라하디락사 반도체소자의범프구조및형성방법
US5691248A (en) 1995-07-26 1997-11-25 International Business Machines Corporation Methods for precise definition of integrated circuit chip edges
US5656849A (en) 1995-09-22 1997-08-12 International Business Machines Corporation Two-level spiral inductor structure having a high inductance to area ratio
JP2739853B2 (ja) 1995-11-28 1998-04-15 日本電気株式会社 半導体装置の製造方法及びエッチング方法
JP2953404B2 (ja) 1995-12-08 1999-09-27 ソニー株式会社 半導体装置及びその製造方法
JP2814972B2 (ja) 1995-12-18 1998-10-27 日本電気株式会社 半導体装置の製造方法
KR0182073B1 (ko) 1995-12-22 1999-03-20 황인길 반도체 칩 스케일 반도체 패키지 및 그 제조방법
JP2904086B2 (ja) 1995-12-27 1999-06-14 日本電気株式会社 半導体装置およびその製造方法
KR970053198A (ko) 1995-12-30 1997-07-29 구자홍 반도체소자의 본딩장치 및 그 제조방법
US5904546A (en) 1996-02-12 1999-05-18 Micron Technology, Inc. Method and apparatus for dicing semiconductor wafers
US6022792A (en) 1996-03-13 2000-02-08 Seiko Instruments, Inc. Semiconductor dicing and assembling method
US5686764A (en) 1996-03-20 1997-11-11 Lsi Logic Corporation Flip chip package with reduced number of package layers
US5792594A (en) 1996-04-01 1998-08-11 Motorola, Inc. Metallization and termination process for an integrated circuit chip
KR0176202B1 (ko) 1996-04-09 1999-04-15 김광호 에스.오.아이형 트랜지스터 및 그 제조방법
KR100367069B1 (ko) 1996-04-24 2003-03-29 이케다 타케시 반도체장치
US5726502A (en) 1996-04-26 1998-03-10 Motorola, Inc. Bumped semiconductor device with alignment features and method for making the same
US5953626A (en) 1996-06-05 1999-09-14 Advanced Micro Devices, Inc. Dissolvable dielectric method
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US5883422A (en) 1996-06-28 1999-03-16 The Whitaker Corporation Reduced parasitic capacitance semiconductor devices
US5949654A (en) 1996-07-03 1999-09-07 Kabushiki Kaisha Toshiba Multi-chip module, an electronic device, and production method thereof
EP0912996B1 (en) 1996-07-18 2002-01-02 Advanced Micro Devices, Inc. Integrated circuit which uses an etch stop for producing staggered interconnect lines
US5883435A (en) 1996-07-25 1999-03-16 International Business Machines Corporation Personalization structure for semiconductor devices
US5793272A (en) 1996-08-23 1998-08-11 International Business Machines Corporation Integrated circuit toroidal inductor
EP1014443A4 (en) 1996-09-20 2001-02-07 Tdk Corp PASSIVE ELECTRONIC COMPONENTS, INTEGRATED CIRCUIT ELEMENTS, AND DISC
US5861647A (en) 1996-10-02 1999-01-19 National Semiconductor Corporation VLSI capacitors and high Q VLSI inductors using metal-filled via plugs
US5874770A (en) 1996-10-10 1999-02-23 General Electric Company Flexible interconnect film including resistor and capacitor layers
US5888630A (en) 1996-11-08 1999-03-30 W. L. Gore & Associates, Inc. Apparatus and method for unit area composition control to minimize warp in an integrated circuit chip package assembly
US5902686A (en) 1996-11-21 1999-05-11 Mcnc Methods for forming an intermetallic region between a solder bump and an under bump metallurgy layer and related structures
US5818110A (en) 1996-11-22 1998-10-06 International Business Machines Corporation Integrated circuit chip wiring structure with crossover capability and method of manufacturing the same
US5795818A (en) 1996-12-06 1998-08-18 Amkor Technology, Inc. Integrated circuit chip to substrate interconnection and method
US5891805A (en) 1996-12-13 1999-04-06 Intel Corporation Method of forming contacts
GB9626754D0 (en) 1996-12-23 1997-02-12 Northern Telecom Ltd A pseudo duplex scheme
US5763108A (en) 1997-03-05 1998-06-09 Headway Technologies, Inc. High saturtion magnetization material and magnetic head fabricated therefrom
TW514583B (en) 1997-03-06 2002-12-21 Teijin Ltd Polyethylene-2, 6-naphthalene dicarboxylate resin and preform and bottle molded thereof
KR100214561B1 (ko) 1997-03-14 1999-08-02 구본준 버틈 리드 패키지
US5969424A (en) 1997-03-19 1999-10-19 Fujitsu Limited Semiconductor device with pad structure
US5856217A (en) 1997-04-10 1999-01-05 Hughes Electronics Corporation Modulation-doped field-effect transistors and fabrication processes
US6051489A (en) 1997-05-13 2000-04-18 Chipscale, Inc. Electronic component package with posts on the active side of the substrate
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6040226A (en) 1997-05-27 2000-03-21 General Electric Company Method for fabricating a thin film inductor
US6144100A (en) 1997-06-05 2000-11-07 Texas Instruments Incorporated Integrated circuit with bonding layer over active circuitry
US6440750B1 (en) 1997-06-10 2002-08-27 Agere Systems Guardian Corporation Method of making integrated circuit having a micromagnetic device
US6118351A (en) 1997-06-10 2000-09-12 Lucent Technologies Inc. Micromagnetic device for power processing applications and method of manufacture therefor
US6013571A (en) 1997-06-16 2000-01-11 Motorola, Inc. Microelectronic assembly including columnar interconnections and method for forming same
US6184121B1 (en) * 1997-07-10 2001-02-06 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
US6245594B1 (en) 1997-08-05 2001-06-12 Micron Technology, Inc. Methods for forming conductive micro-bumps and recessed contacts for flip-chip technology and method of flip-chip assembly
JP3660799B2 (ja) 1997-09-08 2005-06-15 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US5972734A (en) 1997-09-17 1999-10-26 Lsi Logic Corporation Interposer for ball grid array (BGA) package
JP3152180B2 (ja) 1997-10-03 2001-04-03 日本電気株式会社 半導体装置及びその製造方法
US6030877A (en) 1997-10-06 2000-02-29 Industrial Technology Research Institute Electroless gold plating method for forming inductor structures
US6147857A (en) 1997-10-07 2000-11-14 E. R. W. Optional on chip power supply bypass capacitor
US6441487B2 (en) 1997-10-20 2002-08-27 Flip Chip Technologies, L.L.C. Chip scale package using large ductile solder balls
US5930637A (en) 1997-10-31 1999-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a microwave inductor
US6236101B1 (en) 1997-11-05 2001-05-22 Texas Instruments Incorporated Metallization outside protective overcoat for improved capacitors and inductors
JP3250503B2 (ja) 1997-11-11 2002-01-28 株式会社村田製作所 可変インダクタ素子
FR2771843B1 (fr) 1997-11-28 2000-02-11 Sgs Thomson Microelectronics Transformateur en circuit integre
KR100279753B1 (ko) * 1997-12-03 2001-03-02 정선종 반도체 집적회로 제조공정을 이용한 인덕터 제조방법
US6570247B1 (en) 1997-12-30 2003-05-27 Intel Corporation Integrated circuit device having an embedded heat slug
US6875681B1 (en) 1997-12-31 2005-04-05 Intel Corporation Wafer passivation structure and method of fabrication
US6046101A (en) 1997-12-31 2000-04-04 Intel Corporation Passivation technology combining improved adhesion in passivation and a scribe street without passivation
US6169030B1 (en) 1998-01-14 2001-01-02 Applied Materials, Inc. Metallization process and method
KR100551607B1 (ko) 1998-01-19 2006-02-13 시티즌 도케이 가부시키가이샤 반도체 패키지
US6169320B1 (en) 1998-01-22 2001-01-02 Raytheon Company Spiral-shaped inductor structure for monolithic microwave integrated circuits having air gaps in underlying pedestal
US6107180A (en) 1998-01-30 2000-08-22 Motorola, Inc. Method for forming interconnect bumps on a semiconductor die
US6023407A (en) 1998-02-26 2000-02-08 International Business Machines Corporation Structure for a thin film multilayer capacitor
JP4197195B2 (ja) 1998-02-27 2008-12-17 ヒューレット・パッカード・カンパニー 音声情報の提供方法
US6479341B1 (en) 1998-03-02 2002-11-12 Vanguard International Semiconductor Corporation Capacitor over metal DRAM structure
US6642136B1 (en) 2001-09-17 2003-11-04 Megic Corporation Method of making a low fabrication cost, high performance, high reliability chip scale package
US6008102A (en) 1998-04-09 1999-12-28 Motorola, Inc. Method of forming a three-dimensional integrated inductor
US6025261A (en) 1998-04-29 2000-02-15 Micron Technology, Inc. Method for making high-Q inductive elements
JP4355039B2 (ja) 1998-05-07 2009-10-28 東京エレクトロン株式会社 半導体装置及び半導体装置の製造方法
US6448650B1 (en) 1998-05-18 2002-09-10 Texas Instruments Incorporated Fine pitch system and method for reinforcing bond pads in semiconductor devices
US5929508A (en) 1998-05-21 1999-07-27 Harris Corp Defect gettering by induced stress
US6184159B1 (en) 1998-06-12 2001-02-06 Taiwan Semiconductor Manufacturing Corporation Interlayer dielectric planarization process
DE19827086A1 (de) * 1998-06-18 1999-12-23 Basf Ag Verfahren zur Aufarbeitung von Destillationsrückständen aus der Synthese von Toluylendiisocyanat
JP2000022085A (ja) 1998-06-29 2000-01-21 Toshiba Corp 半導体装置及びその製造方法
BR9911980A (pt) 1998-07-09 2001-03-27 Infineon Technologies Ag Componente de semicondutor com passivação
TW396594B (en) 1998-07-13 2000-07-01 Winbond Electronics Corp High quality inductor device and its manufacturing method
JP3486346B2 (ja) 1998-07-16 2004-01-13 ソニーケミカル株式会社 ベアチップ実装構造
JP2000036568A (ja) 1998-07-17 2000-02-02 Toshiba Corp 半導体記憶装置及びその製造方法
KR20000011585A (ko) 1998-07-28 2000-02-25 윤덕용 반도체소자및그제조방법
US6077726A (en) 1998-07-30 2000-06-20 Motorola, Inc. Method and apparatus for stress relief in solder bump formation on a semiconductor device
TW386279B (en) 1998-08-07 2000-04-01 Winbond Electronics Corp Inductor structure with air gap and method of manufacturing thereof
US6478773B1 (en) 1998-12-21 2002-11-12 Micrus Corporation Apparatus for deployment of micro-coil using a catheter
JP3420076B2 (ja) 1998-08-31 2003-06-23 新光電気工業株式会社 フリップチップ実装基板の製造方法及びフリップチップ実装基板及びフリップチップ実装構造
US6424034B1 (en) 1998-08-31 2002-07-23 Micron Technology, Inc. High performance packaging for microprocessors and DRAM chips which minimizes timing skews
US6101371A (en) 1998-09-12 2000-08-08 Lucent Technologies, Inc. Article comprising an inductor
US6174803B1 (en) 1998-09-16 2001-01-16 Vsli Technology Integrated circuit device interconnection techniques
US6261994B1 (en) 1998-09-17 2001-07-17 Eastman Kodak Company Reflective imaging display material with biaxially oriented polyolefin sheet
US6187680B1 (en) 1998-10-07 2001-02-13 International Business Machines Corporation Method/structure for creating aluminum wirebound pad on copper BEOL
EP0999579B1 (en) 1998-11-04 2007-05-30 Lucent Technologies Inc. An inductor or low loss interconnect in an integrated circuit
US6272736B1 (en) 1998-11-13 2001-08-14 United Microelectronics Corp. Method for forming a thin-film resistor
US6261944B1 (en) 1998-11-24 2001-07-17 Vantis Corporation Method for forming a semiconductor device having high reliability passivation overlying a multi-level interconnect
US6475904B2 (en) 1998-12-03 2002-11-05 Advanced Micro Devices, Inc. Interconnect structure with silicon containing alicyclic polymers and low-k dielectric materials and method of making same with single and dual damascene techniques
US6287931B1 (en) 1998-12-04 2001-09-11 Winbond Electronics Corp. Method of fabricating on-chip inductor
JP3389517B2 (ja) 1998-12-10 2003-03-24 三洋電機株式会社 チップサイズパッケージ及びその製造方法
JP3577419B2 (ja) 1998-12-17 2004-10-13 新光電気工業株式会社 半導体装置およびその製造方法
JP3477692B2 (ja) 1998-12-18 2003-12-10 株式会社村田製作所 電子部品
US8421158B2 (en) 1998-12-21 2013-04-16 Megica Corporation Chip structure with a passive device and method for forming the same
US7381642B2 (en) 2004-09-23 2008-06-03 Megica Corporation Top layers of metal for integrated circuits
US7416971B2 (en) 2004-09-23 2008-08-26 Megica Corporation Top layers of metal for integrated circuits
SG93278A1 (en) 1998-12-21 2002-12-17 Mou Shiung Lin Top layers of metal for high performance ics
US6495442B1 (en) 2000-10-18 2002-12-17 Magic Corporation Post passivation interconnection schemes on top of the IC chips
US6869870B2 (en) 1998-12-21 2005-03-22 Megic Corporation High performance system-on-chip discrete components using post passivation process
US8021976B2 (en) 2002-10-15 2011-09-20 Megica Corporation Method of wire bonding over active area of a semiconductor circuit
US6303423B1 (en) 1998-12-21 2001-10-16 Megic Corporation Method for forming high performance system-on-chip using post passivation process
TW519707B (en) 2001-12-13 2003-02-01 Megic Corp Chip structure with passivation layer having outer layer connection and its manufacturing process
TW511243B (en) 2001-12-14 2002-11-21 Megic Corp Chip structure and process for making the same
US6762115B2 (en) 1998-12-21 2004-07-13 Megic Corporation Chip structure and process for forming the same
US6756295B2 (en) 1998-12-21 2004-06-29 Megic Corporation Chip structure and process for forming the same
US6383916B1 (en) 1998-12-21 2002-05-07 M. S. Lin Top layers of metal for high performance IC's
US6965165B2 (en) 1998-12-21 2005-11-15 Mou-Shiung Lin Top layers of metal for high performance IC's
US7531417B2 (en) 1998-12-21 2009-05-12 Megica Corporation High performance system-on-chip passive device using post passivation process
US6936531B2 (en) 1998-12-21 2005-08-30 Megic Corporation Process of fabricating a chip structure
US8178435B2 (en) 1998-12-21 2012-05-15 Megica Corporation High performance system-on-chip inductor using post passivation process
US7592205B2 (en) 1998-12-21 2009-09-22 Megica Corporation Over-passivation process of forming polymer layer over IC chip
US7405149B1 (en) 1998-12-21 2008-07-29 Megica Corporation Post passivation method for semiconductor chip or wafer
US20050032351A1 (en) 1998-12-21 2005-02-10 Mou-Shiung Lin Chip structure and process for forming the same
US6479900B1 (en) 1998-12-22 2002-11-12 Sanyo Electric Co., Ltd. Semiconductor device and method of manufacturing the same
KR100301052B1 (ko) 1998-12-28 2001-11-02 윤종용 소프트에러를감소하기위한반도체소자의제조방법
US6359328B1 (en) 1998-12-31 2002-03-19 Intel Corporation Methods for making interconnects and diffusion barriers in integrated circuits
JP3530761B2 (ja) 1999-01-18 2004-05-24 新光電気工業株式会社 半導体装置
JP2000216264A (ja) 1999-01-22 2000-08-04 Mitsubishi Electric Corp Cmos論理回路素子、半導体装置とその製造方法およびその製造方法において用いる半導体回路設計方法
TW444288B (en) 1999-01-27 2001-07-01 Shinko Electric Ind Co Semiconductor wafer and semiconductor device provided with columnar electrodes and methods of producing the wafer and device
US6191468B1 (en) 1999-02-03 2001-02-20 Micron Technology, Inc. Inductor with magnetic material layers
JP2000228423A (ja) 1999-02-05 2000-08-15 Sanyo Electric Co Ltd 半導体装置及びその製造方法
JP4131595B2 (ja) 1999-02-05 2008-08-13 三洋電機株式会社 半導体装置の製造方法
US6043109A (en) 1999-02-09 2000-03-28 United Microelectronics Corp. Method of fabricating wafer-level package
JP3465617B2 (ja) 1999-02-15 2003-11-10 カシオ計算機株式会社 半導体装置
US6441715B1 (en) 1999-02-17 2002-08-27 Texas Instruments Incorporated Method of fabricating a miniaturized integrated circuit inductor and transformer fabrication
US6707159B1 (en) * 1999-02-18 2004-03-16 Rohm Co., Ltd. Semiconductor chip and production process therefor
US6566731B2 (en) 1999-02-26 2003-05-20 Micron Technology, Inc. Open pattern inductor
FR2790328B1 (fr) 1999-02-26 2001-04-20 Memscap Composant inductif, transformateur integre, notamment destines a etre incorpores dans un circuit radiofrequence,et circuit integre associe avec un tel composant inductif ou transformateur integre
DE60037395T2 (de) 1999-03-09 2008-11-27 Tokyo Electron Ltd. Herstellung eines halbleiter-bauelementes
FR2791470B1 (fr) 1999-03-23 2001-06-01 Memscap Circuit integre monolithique incorporant un composant inductif et procede de fabrication d'un tel circuit integre
US6251501B1 (en) 1999-03-29 2001-06-26 Delphi Technologies, Inc. Surface mount circuit device and solder bumping method therefor
JP3792445B2 (ja) 1999-03-30 2006-07-05 日本特殊陶業株式会社 コンデンサ付属配線基板
US6236103B1 (en) 1999-03-31 2001-05-22 International Business Machines Corp. Integrated high-performance decoupling capacitor and heat sink
US20020000665A1 (en) 1999-04-05 2002-01-03 Alexander L. Barr Semiconductor device conductive bump and interconnect barrier
FR2793943B1 (fr) 1999-05-18 2001-07-13 Memscap Micro-composants du type micro-inductance ou micro- transformateur, et procede de fabrication de tels micro- composants
US6445271B1 (en) 1999-05-28 2002-09-03 Honeywell International Inc. Three-dimensional micro-coils in planar substrates
US6181569B1 (en) 1999-06-07 2001-01-30 Kishore K. Chakravorty Low cost chip size package and method of fabricating the same
US6544880B1 (en) 1999-06-14 2003-04-08 Micron Technology, Inc. Method of improving copper interconnects of semiconductor devices for bonding
US6255714B1 (en) 1999-06-22 2001-07-03 Agere Systems Guardian Corporation Integrated circuit having a micromagnetic device including a ferromagnetic core and method of manufacture therefor
JP4005762B2 (ja) 1999-06-30 2007-11-14 株式会社東芝 集積回路装置及びその製造方法
US6270659B1 (en) 1999-07-02 2001-08-07 Fleetguard, Inc. Fuel filtering system for an engine
US6133079A (en) 1999-07-22 2000-10-17 Chartered Semiconductor Manufacturing Ltd. Method for reducing substrate capacitive coupling of a thin film inductor by reverse P/N junctions
US6300250B1 (en) 1999-08-09 2001-10-09 Taiwan Semiconductor Manufacturing Company Method of forming bumps for flip chip applications
US6168965B1 (en) 1999-08-12 2001-01-02 Tower Semiconductor Ltd. Method for making backside illuminated image sensor
GB2353139B (en) 1999-08-12 2001-08-29 United Microelectronics Corp Inductor and method of manufacturing the same
US6221727B1 (en) 1999-08-30 2001-04-24 Chartered Semiconductor Manufacturing Ltd. Method to trap air at the silicon substrate for improving the quality factor of RF inductors in CMOS technology
US6140197A (en) 1999-08-30 2000-10-31 Chartered Semiconductor Manufacturing Ltd. Method of making spiral-type RF inductors having a high quality factor (Q)
US6570251B1 (en) 1999-09-02 2003-05-27 Micron Technology, Inc. Under bump metalization pad and solder bump connections
US6277669B1 (en) 1999-09-15 2001-08-21 Industrial Technology Research Institute Wafer level packaging method and packages formed
KR100313706B1 (ko) * 1999-09-29 2001-11-26 윤종용 재배치 웨이퍼 레벨 칩 사이즈 패키지 및 그 제조방법
TW419765B (en) 1999-09-30 2001-01-21 Taiwan Semiconductor Mfg Manufacturing method of flip chip solder bumps
US6410435B1 (en) 1999-10-01 2002-06-25 Agere Systems Guardian Corp. Process for fabricating copper interconnect for ULSI integrated circuits
US6365498B1 (en) 1999-10-15 2002-04-02 Industrial Technology Research Institute Integrated process for I/O redistribution and passive components fabrication and devices formed
US6465879B1 (en) 1999-10-19 2002-10-15 Citizen Watch Co., Ltd. Structure for mounting semiconductor device, method of mounting same, semiconductor device, and method of fabricating same
US6291872B1 (en) * 1999-11-04 2001-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional type inductor for mixed mode radio frequency device
US6803302B2 (en) 1999-11-22 2004-10-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a mechanically robust pad interface
JP3287346B2 (ja) 1999-11-29 2002-06-04 カシオ計算機株式会社 半導体装置
US6331227B1 (en) 1999-12-14 2001-12-18 Epion Corporation Enhanced etching/smoothing of dielectric surfaces
KR100788011B1 (ko) 1999-12-21 2007-12-21 어드밴스드 마이크로 디바이시즈, 인코포레이티드 플립 칩 접속부를 신뢰성 있게 하기 위해 솔더를 사용한유기 패키지
KR100319813B1 (ko) 2000-01-03 2002-01-09 윤종용 유비엠 언더컷을 개선한 솔더 범프의 형성 방법
KR100386081B1 (ko) 2000-01-05 2003-06-09 주식회사 하이닉스반도체 반도체 패키지 및 그 제조 방법
US6278264B1 (en) 2000-02-04 2001-08-21 Volterra Semiconductor Corporation Flip-chip switching regulator
US6404615B1 (en) 2000-02-16 2002-06-11 Intarsia Corporation Thin film capacitors
JP3996315B2 (ja) 2000-02-21 2007-10-24 松下電器産業株式会社 半導体装置およびその製造方法
US6806578B2 (en) * 2000-03-16 2004-10-19 International Business Machines Corporation Copper pad structure
JP3548082B2 (ja) 2000-03-30 2004-07-28 三洋電機株式会社 半導体装置及びその製造方法
US6586309B1 (en) 2000-04-24 2003-07-01 Chartered Semiconductor Manufacturing Ltd. High performance RF inductors and transformers using bonding technique
US6180445B1 (en) 2000-04-24 2001-01-30 Taiwan Semiconductor Manufacturing Company Method to fabricate high Q inductor by redistribution layer when flip-chip package is employed
US6673690B2 (en) 2000-04-27 2004-01-06 Siliconware Precision Industries Co., Ltd. Method of mounting a passive component over an integrated circuit package substrate
JP3968554B2 (ja) 2000-05-01 2007-08-29 セイコーエプソン株式会社 バンプの形成方法及び半導体装置の製造方法
US6362087B1 (en) 2000-05-05 2002-03-26 Aptos Corporation Method for fabricating a microelectronic fabrication having formed therein a redistribution structure
US6429504B1 (en) * 2000-05-16 2002-08-06 Tyco Electronics Corporation Multilayer spiral inductor and integrated circuits incorporating the same
US6455915B1 (en) 2000-05-30 2002-09-24 Programmable Silicon Solutions Integrated inductive circuits
US6416356B1 (en) 2000-06-02 2002-07-09 Astec International Limited AC interface for electrical equipment racks
US6356453B1 (en) 2000-06-29 2002-03-12 Amkor Technology, Inc. Electronic package having flip chip integrated circuit and passive chip component
US6521996B1 (en) 2000-06-30 2003-02-18 Intel Corporation Ball limiting metallurgy for input/outputs and methods of fabrication
US6376353B1 (en) * 2000-07-03 2002-04-23 Chartered Semiconductor Manufacturing Ltd. Aluminum and copper bimetallic bond pad scheme for copper damascene interconnects
US6683380B2 (en) 2000-07-07 2004-01-27 Texas Instruments Incorporated Integrated circuit with bonding layer over active circuitry
JP3440070B2 (ja) 2000-07-13 2003-08-25 沖電気工業株式会社 ウェハー及びウェハーの製造方法
JP2002043520A (ja) 2000-07-19 2002-02-08 Sony Corp 半導体装置及びその製造方法
US6399997B1 (en) 2000-08-01 2002-06-04 Megic Corporation High performance system-on-chip using post passivation process and glass substrates
US6847066B2 (en) 2000-08-11 2005-01-25 Oki Electric Industry Co., Ltd. Semiconductor device
US6500724B1 (en) 2000-08-21 2002-12-31 Motorola, Inc. Method of making semiconductor device having passive elements including forming capacitor electrode and resistor from same layer of material
TW464927B (en) 2000-08-29 2001-11-21 Unipac Optoelectronics Corp Metal bump with an insulating sidewall and method of fabricating thereof
US6420773B1 (en) 2000-10-04 2002-07-16 Winbond Electronics Corp. Multi-level spiral inductor structure having high inductance (L) and high quality factor (Q)
US6486530B1 (en) 2000-10-16 2002-11-26 Intarsia Corporation Integration of anodized metal capacitors and high temperature deposition capacitors
JP2002198374A (ja) 2000-10-16 2002-07-12 Sharp Corp 半導体装置およびその製造方法
JP2002134545A (ja) 2000-10-26 2002-05-10 Oki Electric Ind Co Ltd 半導体集積回路チップ及び基板、並びにその製造方法
US6375062B1 (en) 2000-11-06 2002-04-23 Delphi Technologies, Inc. Surface bumping method and structure formed thereby
US6365480B1 (en) 2000-11-27 2002-04-02 Analog Devices, Inc. IC resistor and capacitor fabrication method
JP3526548B2 (ja) 2000-11-29 2004-05-17 松下電器産業株式会社 半導体装置及びその製造方法
TW517334B (en) 2000-12-08 2003-01-11 Nec Corp Method of forming barrier layers for solder bumps
TW577152B (en) 2000-12-18 2004-02-21 Hitachi Ltd Semiconductor integrated circuit device
TW490803B (en) 2001-01-04 2002-06-11 Megic Corp Chip structure having outer layer connection on the protection layer
US6426281B1 (en) 2001-01-16 2002-07-30 Taiwan Semiconductor Manufacturing Company Method to form bump in bumping technology
JP2002222823A (ja) 2001-01-29 2002-08-09 Sharp Corp 半導体集積回路およびその製造方法
US6867123B2 (en) 2001-02-08 2005-03-15 Renesas Technology Corp. Semiconductor integrated circuit device and its manufacturing method
JP3888854B2 (ja) 2001-02-16 2007-03-07 シャープ株式会社 半導体集積回路の製造方法
TW483045B (en) 2001-02-23 2002-04-11 Megic Corp Flip chip and the process thereof
TW506025B (en) 2001-03-05 2002-10-11 Megic Corp Flip-chip IC and the manufacturing process
US6399975B1 (en) 2001-03-07 2002-06-04 Megic Corporation Wide bit memory using post passivation interconnection scheme
US6653563B2 (en) 2001-03-30 2003-11-25 Intel Corporation Alternate bump metallurgy bars for power and ground routing
JP3939504B2 (ja) 2001-04-17 2007-07-04 カシオ計算機株式会社 半導体装置並びにその製造方法および実装構造
JP4350337B2 (ja) 2001-04-27 2009-10-21 富士通マイクロエレクトロニクス株式会社 半導体装置
US6894399B2 (en) 2001-04-30 2005-05-17 Intel Corporation Microelectronic device having signal distribution functionality on an interfacial layer thereof
US6742248B2 (en) * 2001-05-14 2004-06-01 The Boeing Company Method of forming a soldered electrical connection
US6903459B2 (en) 2001-05-17 2005-06-07 Matsushita Electric Industrial Co., Ltd. High frequency semiconductor device
JP4092890B2 (ja) 2001-05-31 2008-05-28 株式会社日立製作所 マルチチップモジュール
TW498510B (en) 2001-06-05 2002-08-11 Chipbond Technology Corp Metallized surface wafer level package structure
TW531873B (en) 2001-06-12 2003-05-11 Advanced Interconnect Tech Ltd Barrier cap for under bump metal
KR100456064B1 (ko) 2001-07-06 2004-11-08 한국과학기술원 극미세 피치 cog 기술용 이방성 전도성 필름
US20030006062A1 (en) 2001-07-06 2003-01-09 Stone William M. Interconnect system and method of fabrication
JP4259774B2 (ja) 2001-07-16 2009-04-30 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US20030020163A1 (en) 2001-07-25 2003-01-30 Cheng-Yu Hung Bonding pad structure for copper/low-k dielectric material BEOL process
US6734568B2 (en) 2001-08-29 2004-05-11 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6759275B1 (en) 2001-09-04 2004-07-06 Megic Corporation Method for making high-performance RF integrated circuits
US6636139B2 (en) 2001-09-10 2003-10-21 Taiwan Semiconductor Manufacturing Company Structure to reduce the degradation of the Q value of an inductor caused by via resistance
TW515016B (en) 2001-09-10 2002-12-21 Megic Corp Flip chip and its manufacturing process
TW498529B (en) 2001-09-19 2002-08-11 Megic Corp Flip chip packaging and the processing thereof
US6853076B2 (en) 2001-09-21 2005-02-08 Intel Corporation Copper-containing C4 ball-limiting metallurgy stack for enhanced reliability of packaged structures and method of making same
US6762122B2 (en) 2001-09-27 2004-07-13 Unitivie International Limited Methods of forming metallurgy structures for wire and solder bonding
US6515369B1 (en) 2001-10-03 2003-02-04 Megic Corporation High performance system-on-chip using post passivation process
JP2003179148A (ja) 2001-10-04 2003-06-27 Denso Corp 半導体基板およびその製造方法
JP3540302B2 (ja) * 2001-10-19 2004-07-07 Necエレクトロニクス株式会社 半導体装置およびその製造方法
EP1306898A1 (en) 2001-10-29 2003-05-02 Dialog Semiconductor GmbH Sub-milliohm on-chip interconnection
US6646347B2 (en) 2001-11-30 2003-11-11 Motorola, Inc. Semiconductor power device and method of formation
JP4068838B2 (ja) 2001-12-07 2008-03-26 株式会社日立製作所 半導体装置の製造方法
US6644536B2 (en) 2001-12-28 2003-11-11 Intel Corporation Solder reflow with microwave energy
TW503496B (en) 2001-12-31 2002-09-21 Megic Corp Chip packaging structure and manufacturing process of the same
US6724079B2 (en) * 2002-01-04 2004-04-20 Motorola, Inc. Wire bond-less electronic component for use with an external circuit and method of manufacture
TW518700B (en) 2002-01-07 2003-01-21 Advanced Semiconductor Eng Chip structure with bumps and the manufacturing method thereof
TWI245402B (en) 2002-01-07 2005-12-11 Megic Corp Rod soldering structure and manufacturing process thereof
JP2003229451A (ja) 2002-02-01 2003-08-15 Hitachi Ltd フリップチップ実装構造
US6620635B2 (en) 2002-02-20 2003-09-16 International Business Machines Corporation Damascene resistor and method for measuring the width of same
JP3759909B2 (ja) 2002-02-22 2006-03-29 松下電器産業株式会社 半導体装置及びその製造方法
JP2003249503A (ja) 2002-02-26 2003-09-05 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP3603890B2 (ja) 2002-03-06 2004-12-22 セイコーエプソン株式会社 電子デバイス及びその製造方法並びに電子機器
US6614091B1 (en) 2002-03-13 2003-09-02 Motorola, Inc. Semiconductor device having a wire bond pad and method therefor
US20030183332A1 (en) 2002-03-26 2003-10-02 Simila Charles E. Screen printed thermal expansion standoff
KR100460062B1 (ko) 2002-04-23 2004-12-04 주식회사 하이닉스반도체 멀티 칩 패키지 및 그 제조 방법
US6740577B2 (en) 2002-05-21 2004-05-25 St Assembly Test Services Pte Ltd Method of forming a small pitch torch bump for mounting high-performance flip-flop devices
US20030218246A1 (en) 2002-05-22 2003-11-27 Hirofumi Abe Semiconductor device passing large electric current
JP3871609B2 (ja) 2002-05-27 2007-01-24 松下電器産業株式会社 半導体装置及びその製造方法
US6803323B2 (en) 2002-05-30 2004-10-12 Freescale Semiconductor, Inc. Method of forming a component overlying a semiconductor substrate
US7024936B2 (en) * 2002-06-18 2006-04-11 Corporation For National Research Initiatives Micro-mechanical capacitive inductive sensor for wireless detection of relative or absolute pressure
US7078822B2 (en) 2002-06-25 2006-07-18 Intel Corporation Microelectronic device interconnects
KR100452820B1 (ko) * 2002-07-12 2004-10-15 삼성전기주식회사 회로소자의 전극형성 방법, 그를 이용한 칩 패키지 및 다층기판
US20040007779A1 (en) 2002-07-15 2004-01-15 Diane Arbuthnot Wafer-level method for fine-pitch, high aspect ratio chip interconnect
US6638844B1 (en) 2002-07-29 2003-10-28 Chartered Semiconductor Manufacturing Ltd. Method of reducing substrate coupling/noise for radio frequency CMOS (RFCMOS) components in semiconductor technology by backside trench and fill
JP3580803B2 (ja) 2002-08-09 2004-10-27 沖電気工業株式会社 半導体装置
US20040040855A1 (en) 2002-08-28 2004-03-04 Victor Batinovich Method for low-cost redistribution and under-bump metallization for flip-chip and wafer-level BGA silicon device packages
JP2004140037A (ja) 2002-10-15 2004-05-13 Oki Electric Ind Co Ltd 半導体装置、及びその製造方法
US7285867B2 (en) 2002-11-08 2007-10-23 Casio Computer Co., Ltd. Wiring structure on semiconductor substrate and method of fabricating the same
TW578292B (en) 2002-11-22 2004-03-01 Via Tech Inc Chip to eliminate noise and manufacturing method thereof
JP3808030B2 (ja) 2002-11-28 2006-08-09 沖電気工業株式会社 半導体装置及びその製造方法
US6800534B2 (en) 2002-12-09 2004-10-05 Taiwan Semiconductor Manufacturing Company Method of forming embedded MIM capacitor and zigzag inductor scheme
JP4059072B2 (ja) 2002-12-11 2008-03-12 セイコーエプソン株式会社 バンプ構造、半導体チップ、半導体チップの実装方法、電子デバイスおよび電子機器
US6878633B2 (en) 2002-12-23 2005-04-12 Freescale Semiconductor, Inc. Flip-chip structure and method for high quality inductors and transformers
EP1434264A3 (en) 2002-12-27 2017-01-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method using the transfer technique
US6959856B2 (en) 2003-01-10 2005-11-01 Samsung Electronics Co., Ltd. Solder bump structure and method for forming a solder bump
JP3908671B2 (ja) 2003-01-29 2007-04-25 松下電器産業株式会社 半導体装置およびそれを用いたディスプレイ装置
US7008867B2 (en) 2003-02-21 2006-03-07 Aptos Corporation Method for forming copper bump antioxidation surface
US8368150B2 (en) 2003-03-17 2013-02-05 Megica Corporation High performance IC chip having discrete decoupling capacitors attached to its IC surface
US6716693B1 (en) 2003-03-27 2004-04-06 Chartered Semiconductor Manufacturing Ltd. Method of forming a surface coating layer within an opening within a body by atomic layer deposition
TWI236763B (en) 2003-05-27 2005-07-21 Megic Corp High performance system-on-chip inductor using post passivation process
US6913946B2 (en) 2003-06-13 2005-07-05 Aptos Corporation Method of making an ultimate low dielectric device
US7087927B1 (en) 2003-07-22 2006-08-08 National Semiconductor Corporation Semiconductor die with an editing structure
KR100546346B1 (ko) 2003-07-23 2006-01-26 삼성전자주식회사 재배선 범프 형성방법 및 이를 이용한 반도체 칩과 실장구조
US6903644B2 (en) * 2003-07-28 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Inductor device having improved quality factor
US6977435B2 (en) 2003-09-09 2005-12-20 Intel Corporation Thick metal layer integrated process flow to improve power delivery and mechanical buffering
EP1536469A1 (en) 2003-11-28 2005-06-01 EM Microelectronic-Marin SA Semiconductor device with connecting bumps
US20050277281A1 (en) 2004-06-10 2005-12-15 Dubin Valery M Compliant interconnect and method of formation
US7465654B2 (en) 2004-07-09 2008-12-16 Megica Corporation Structure of gold bumps and gold conductors on one IC die and methods of manufacturing the structures
US8022544B2 (en) 2004-07-09 2011-09-20 Megica Corporation Chip structure
US7452803B2 (en) 2004-08-12 2008-11-18 Megica Corporation Method for fabricating chip structure
US7355282B2 (en) 2004-09-09 2008-04-08 Megica Corporation Post passivation interconnection process and structures
US7423346B2 (en) 2004-09-09 2008-09-09 Megica Corporation Post passivation interconnection process and structures
US20060064922A1 (en) * 2004-09-27 2006-03-30 Crispens Jacquelyn R Safety disposable mouse trap
US7382421B2 (en) * 2004-10-12 2008-06-03 Hewlett-Packard Development Company, L.P. Thin film transistor with a passivation layer
US7547969B2 (en) 2004-10-29 2009-06-16 Megica Corporation Semiconductor chip with passivation layer comprising metal interconnect and contact pads
US8384189B2 (en) 2005-03-29 2013-02-26 Megica Corporation High performance system-on-chip using post passivation process
US7468545B2 (en) * 2005-05-06 2008-12-23 Megica Corporation Post passivation structure for a semiconductor device and packaging process for same
US7529106B2 (en) * 2005-08-12 2009-05-05 Kabushiki Kaisha Toyota Jidoshokki Voltage monitoring device and inverter device
US20080284037A1 (en) 2007-05-15 2008-11-20 Andry Paul S Apparatus and Methods for Constructing Semiconductor Chip Packages with Silicon Space Transformer Carriers

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101312170B (zh) * 2007-05-21 2010-07-21 米辑电子股份有限公司 线路组件
CN102621804A (zh) * 2009-08-21 2012-08-01 技鼎股份有限公司 金属微结构形成方法
CN102121944A (zh) * 2010-01-08 2011-07-13 技鼎股份有限公司 一种微探针结构及其制造方法
CN103871912A (zh) * 2012-12-10 2014-06-18 颀邦科技股份有限公司 半导体工艺及其结构
CN103871912B (zh) * 2012-12-10 2017-04-12 颀邦科技股份有限公司 半导体工艺及其结构
CN103887422A (zh) * 2012-12-20 2014-06-25 中芯国际集成电路制造(上海)有限公司 磁阻存储器及其形成方法
CN106550540A (zh) * 2015-09-23 2017-03-29 景硕科技股份有限公司 翅膀线圈及其制作方法
CN106550540B (zh) * 2015-09-23 2018-11-30 景硕科技股份有限公司 翅膀线圈及其制作方法
CN105390440A (zh) * 2015-10-29 2016-03-09 中国电子科技集团公司第四十一研究所 一种用于软介质电路的刻蚀方法
CN105390440B (zh) * 2015-10-29 2018-05-29 中国电子科技集团公司第四十一研究所 一种对软介质基板进行刻蚀形成电路的方法
CN107731786A (zh) * 2016-08-12 2018-02-23 台湾积体电路制造股份有限公司 重配置线路结构的制造方法
CN107731786B (zh) * 2016-08-12 2022-11-11 台湾积体电路制造股份有限公司 重配置线路结构、封装体及导电特征的制造方法
WO2020103874A1 (en) * 2018-11-20 2020-05-28 Changxin Memory Technologies, Inc. Semiconductor structure, redistribution layer (rdl) structure, and manufacturing method thereof
US11798904B2 (en) 2018-11-20 2023-10-24 Changxin Memory Technologies, Inc. Semiconductor structure, redistribution layer (RDL) structure, and manufacturing method thereof

Also Published As

Publication number Publication date
CN1901161B (zh) 2010-10-27
US20110215469A1 (en) 2011-09-08
TW200711091A (en) 2007-03-16
US20070045855A1 (en) 2007-03-01
US7960269B2 (en) 2011-06-14
CN102157494B (zh) 2013-05-01
CN102157494A (zh) 2011-08-17
TW200713503A (en) 2007-04-01
CN1901163A (zh) 2007-01-24
CN1901162B (zh) 2011-04-20
CN1901163B (zh) 2011-04-13
TWI305951B (en) 2009-02-01
TWI320219B (en) 2010-02-01
CN1901162A (zh) 2007-01-24

Similar Documents

Publication Publication Date Title
CN1901161A (zh) 连续电镀制作线路组件的方法及线路组件结构
CN1885532A (zh) 线路组件结构制造方法及其结构
CN1277309C (zh) 半导体器件及其制造方法
CN1311547C (zh) 半导体器件及其制造方法、电路基板和电子装置
TWI240338B (en) Structure of image sensor module and method for manufacturing of wafer level package
CN101958288B (zh) 半导体组件
CN1185709C (zh) 半导体装置及其制造方法
CN1633705A (zh) 半导体装置及其制造方法
JP4542768B2 (ja) 固体撮像装置及びその製造方法
CN1913113A (zh) 半导体器件及其制造方法
CN1705124A (zh) 半导体器件及其制造方法
CN1866467A (zh) 一种线路元件的制作方法
CN101055866A (zh) 光学装置用模块和光学装置用模块的制造方法
CN1610859A (zh) 布线结构、利用该布线结构的薄膜晶体管基片及其制造方法
CN1917149A (zh) 半导体器件的制造方法、半导体器件及电子设备
CN1649162A (zh) 光传感器模块
CN1828827A (zh) 电子元件的制造方法、电子元件以及电子仪器
CN1510745A (zh) 半导体器件及其制造方法
CN1905177A (zh) 线路组件结构及其制作方法
CN1826688A (zh) 半导体器件的制造方法
CN1738027A (zh) 半导体芯片及其制造方法、半导体装置及其制造方法
CN1957464A (zh) 半导体器件、配线基板及其制造方法
CN1992237A (zh) 薄膜晶体管基板的制造方法
CN1901146A (zh) 半导体器件及其制造方法
CN1909237A (zh) 半导体摄像装置及其制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: MEIGETE ACQUIRING CORPORATION

Free format text: FORMER OWNER: MEGICA CORP.

Effective date: 20131202

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20131202

Address after: American California

Patentee after: MEGICA CORP

Address before: Taiwan, China

Patentee before: Megica Corp.

ASS Succession or assignment of patent right

Owner name: QUALCOMM INC.

Free format text: FORMER OWNER: MEIGETE ACQUIRING CORPORATION

Effective date: 20140820

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20140820

Address after: American California

Patentee after: Qualcomm Inc.

Address before: American California

Patentee before: MEGICA CORP